Scanning Auger Electron Microscopy
Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
Scanning Auger Electron Microscopy Martin Prutton University of York, York, UK
Mohamed M. El Gomati University of York, York, UK
Copyright ß 2006
John Wiley & Sons Ltd, The Atrium, Southern Gate, Chichester, West Sussex PO19 8SQ, England Telephone (þ44) 1243 779777
Email (for orders and customer service enquiries):
[email protected] Visit our Home Page on www.wiley.com All Rights Reserved. No part of this publication may be reproduced, stored in a retrieval system or transmitted in any form or by any means, electronic, mechanical, photocopying, recording, scanning or otherwise, except under the terms of the Copyright, Designs and Patents Act 1988 or under the terms of a licence issued by the Copyright Licensing Agency Ltd, 90 Tottenham Court Road, London W1T 4LP, UK, without the permission in writing of the Publisher. Requests to the Publisher should be addressed to the Permissions Department, John Wiley & Sons Ltd, The Atrium, Southern Gate, Chichester, West Sussex PO19 8SQ, England, or emailed to
[email protected], or faxed to (þ44) 1243 770620. Designations used by companies to distinguish their products are often claimed as trademarks. All brand names and product names used in this book are trade names, service marks, trademarks or registered trademarks of their respective owners. The Publisher is not associated with any product or vendor mentioned in this book. This publication is designed to provide accurate and authoritative information in regard to the subject matter covered. It is sold on the understanding that the Publisher is not engaged in rendering professional services. If professional advice or other expert assistance is required, the services of a competent professional should be sought. Other Wiley Editorial Offices John Wiley & Sons Inc., 111 River Street, Hoboken, NJ 07030, USA Jossey-Bass, 989 Market Street, San Francisco, CA 94103-1741, USA Wiley-VCH Verlag GmbH, Boschstr. 12, D-69469 Weinheim, Germany John Wiley & Sons Australia Ltd, 42 McDougall Street, Milton, Queensland 4064, Australia John Wiley & Sons (Asia) Pte Ltd, 2 Clementi Loop #02-01, Jin Xing Distripark, Singapore 129809 John Wiley & Sons Canada Ltd, 22 Worcester Road, Etobicoke, Ontario, Canada M9W 1L1 Wiley also publishes its books in a variety of electronic formats. Some content that appears in print may not be available in electronic books. Library of Congress Cataloging-in-Publication Data Scanning Auger electron microscopy / [edited by] Martin M. Prutton, Mohamed M. El Gomati. p. cm. Includes bibliographical references and index. ISBN-13: 978-0-470-86677-1 (cloth : acid-free paper) ISBN-10: 0-470-86677-2 (cloth : acid-free paper) 1. Scanning Auger electron microscopy. I. Prutton, M. II. El Gomati, Mohamed M. QH212.S24S31 2006 502.80 25–dc22
2005031938
British Library Cataloguing in Publication Data A catalogue record for this book is available from the British Library ISBN-13 978-0-470-86677-1 (HB) ISBN-10 0-470-86677-2 (HB) Typeset in 10/12pt Sabon by Thomson Press (India) Limited, New Delhi Printed and bound in Spain by Grafos S.A., Barcelona This book is printed on acid-free paper responsibly manufactured from sustainable forestry in which at least two trees are planted for each one used for paper production.
To Aisha and in loving memory of Elsie who both believed that MULSAM was a concept worth supporting. You did so with care, encouragement and love. We could not have spent so much time, energy and effort on it without your support.
Contents List of Contributors
ix
Preface
xi
Acknowledgments
xv
1. Introduction M.M. El Gomati and M. Prutton
1
2. The Auger Process J.A.D. Matthew
15
3. Instrumentation M.M. El Gomati and M. Prutton
45
4. The Spatial Resolution M.M. El Gomati
125
5. Forming an Auger Image M.M. El Gomati and M. Prutton
165
6. Image Processing and Interpretation M. Prutton
201
7. Quantification of Auger Images M. Prutton
245
8. Applications: Materials Science R.K. Wild
259
9. Applications: Semiconductor Manufacturing C.F.H. Gondran
295
viii
CONTENTS
10. Concluding Remarks M.M. El Gomati and M. Prutton
341
Author Index
351
Subject Index
359
List of Contributors M. M. El Gomati, Department of Electronics, University of York, Heslington, York, YO10 5DD, UK C. F. H. Gondran Process Characterization Laboratory, ATDF Inc. [A subsidiary of SEMATECH], 2706 Montopolis Drive, Austin, TX 78741, USA J. A. D. Matthew Department of Physics, University of York, Heslington, York, YO10 5DD, UK M. Prutton Department of Physics, University of York, Heslington, York, YO10 5DD, UK R. K. Wild Interface Analysis Centre, Oldbury House, 121 St Michaels Hill, Bristol BS2 8BS, UK
Preface Activity in surface science underwent an enormous explosion in the mid1960s when ultra-high vacuum (UHV) technology became commercially available and so it became possible to clean a surface and maintain sufficient cleanliness for a time adequate to make a measurement of some kind. The activity started with measurements of work functions and of the diffraction of low energy electrons but soon expanded to the development and use of many spectroscopies that yielded information about the electronic and vibrational states of atoms at or near the free surface. Applications to the early stages of chemical reactions and to the metallurgical properties of materials were very prominent at this stage. Thus, the state of a nominally clean surface was of interest as were the effects of various surface contaminants upon its properties and the subsequent reaction with the components of a gaseous atmosphere or a beam of some other kind of atoms. Once the electron spectroscopies had been applied to these problems there was considerable progress in the development of the understanding of surface processes. These spectroscopies included X-ray photoelectron spectroscopies and Auger electron spectroscopy that were sensitive to the number and kind of atoms that were present right at the surface of the sample. However, practical surfaces were unlikely to consist of a clean, flat, arrangement of atoms and the spatial resolution of these spectroscopies was so poor that the information so gleaned required the preparation of such perfect surfaces or was an integration over many differently oriented crystallographic grains or even different materials. Nevertheless, enormous progress was made in revealing the nature of the free surface of a solid and many surprising phenomena were discovered. Electron stimulated Auger electron spectroscopy was a good candidate for a microscopy because the beam of incident electrons upon the sample could be focused onto the surface and could be scanned across the surface. These two properties were critical in the conversion of a spectroscopy to a microscopy that could provide maps or images of the distribution of a selected element in the surface of a sample. All that was
xii
PREFACE
required was a scanning electron microscope that provided a UHV environment and was equipped with an electron energy analyser to select a particular Auger electron energy from the distribution of electron energies emitted from the sample. This apparently simple statement is quite deceptive. This book sets out to describe the considerations required for this development to be brought to reality and then to illustrate the application of scanning Auger electron microscopy to the surfaces of semiconducting device structures and to systems in materials science. It is now a mature methodology that is used in commercial instruments developed in Europe, Japan and the USA. Naturally, the complexity of these instruments means that they are expensive and so unlikely to be found in the corner of any laboratory! Notwithstanding this expense they have provided information crucial, for instance, to the successful production of integrated circuits and to the oxidation of the superalloys, and so the reliability of the jet engines of the aeroplanes that we all use. The editors (and authors) of this book have been contributing to the development and the applications of this microscopy since the early 1970s. They have built, developed and used three complete Auger electron microscopes. The first was a UHV scanning electron microscope (HB200) from Vacuum Generators Ltd and funded by the R.W. Paul Instrument Fund of the Royal Society that we adapted by adding a concentric hemispherical analyser for electron energy analysis. This instrument proved, to our satisfaction, that Auger electron imaging was feasible and delivered our first results. It also showed that analog detection of the electron current leaving the analyser was not a practical way forward and that field emission electron sources were a subject for research in their own right! The second instrument was developed with electron counting techniques for measuring the current leaving the analyser and Schottky field emission sources in the gun for the electron column. This instrument was much more successful and we were able to use it for the study of the surfaces of nickel superalloys and for the identification of artefacts that can occur at the sharp edges of structures on top of a surface. Attempts were started at this stage to acquire quantitative images of the distribution of a given element on a surface. This appeared feasible because of successful attempts to analyse the amount of material on a surface using Auger electron spectroscopy carried out by ourselves and others (see Chapters 2 and 3). The difficulties of this image quantification led us to consider the simultaneous acquisition of other types of electrons and photons from
PREFACE
xiii
the sample in order to try to disentangle the structure of the surface region from the yield of each kind of signal being detected. This led to the invention and development of the third microscope, the multispectral Auger microscope (MULSAM), that was equipped with detectors for simultaneous measurement of images from an area of interest using scanning electron microscopy, energetic back-scattered electrons, loss electrons and characteristic X-rays. This instrument has been used for a wide variety of applications, some of which are described in this book. It may be clear from this description that the design, building and development of these three instruments has been a huge task that has occupied the time of many people. We are very pleased that we are able to acknowledge their various contributions in the subsequent section. Spending all this time on instrument development has meant that the editors felt it unwise to attempt to review other areas of the subject. Accordingly, we are very pleased that Jim Matthew, Bob Wild and Carolyn Gondran were able to contribute Chapters 2, 8 and 9 from their areas of expertise – contributions that reflect the value of their work in this field. The material in this book is intended as a guide to the subject of Auger electron microscopy and so it is hoped that it will be of interest to researchers in this field as well as to others who wish to discover what can be achieved with this technique and what are its limitations. Thus it is hoped that it will be useful to analysts working with scanning Auger electron microscopes, who are hard pressed to hurry up and measure many samples and so have little time to work on other aspects of the behaviour of their instrument or the problems that they may, perhaps unwittingly, encounter. M. M. El Gomati M. Prutton
Acknowledgments The editors (and authors) have many people to thank for the help they have been given in the building, development and use of the MULSAM instrument at York. Building an entire electron microscope from scratch is a far from minor undertaking especially when it has to be a UHV instrument with energy analysis and it is entirely computer controlled. There were times when we wished that we had not committed ourselves to the job! The first and most important acknowledgment is to Oliver Heavens who backed us from the paper study stage through all the trials and tribulations with humour, a deep interest and, most importantly, money. In spite of an absurdly heavy workload when he was head of department, he managed to come by at least once a week to see how we were progressing and to bring along some champagne at times of success. Thank you very much Oliver. The project started with the design and build of a fast concentric hemispherical analyser which was funded by the R.W. Paul Fund of The Royal Society. The Royal Society Assessors for this work were Peter Duncumb and Reg Garton who came not to criticise but to offer their experience and help. This was invaluable and an important contributor to the speed of progress. Peter Bassett worked on this development which successfully began the whole set of moves to build, develop and use a scanning Auger electron microscope. In fact, three scanning Auger electron microscopes were constructed and a number of postdoctoral fellows and research students were involved. The first instrument was an analog realisation to which Ray Browning and the late Dave Peacock were crucial contributors. They quickly demonstrated that although the Auger electrons could be detected in this way it was the wrong way to go about it. Dave and Ray stayed on and were joined by Peter Kenny and Chris Walker (at different times) to build a digital instrument. Peter Kenny worked for 6 years on the software for the microscope (writing some 200 000 lines of
xvi
ACKNOWLEDGMENTS
software which worked first time) with occasional inputs from Martin Prutton and Mohamed El Gomati and from Chris Walker and Dave Peacock. This was an exciting and productive time helped by the fact that we were joined by Ron Roberts from the University of Newcastle, New South Wales, Australia, who brought many skills in experimental techniques and electronics to the project. Ron has made repeated visits to work with us and has been an invaluable help. The final instrument to be built was MULSAM. This is the most complex instrument and took the efforts of many individuals. Matt Wenham contributed to the software with multivariate statistics, John Greenwood and Ian Barkshire contributed to the hardware and software designs and execution in many important ways. David Wilkinson extended the use of an energy dispersive X-ray detector to work simultaneously with the various electron detectors. Support for this work relied on help generously given by Cedric Powell of NIST and Martin Seah of NPL. There were many contributions from D. Phil. students including Ahmed Assa’d, Taib Bakoush, Martin Crone, Barack Kola, John Kudjoe, Dan Loveday, Phil Tenney, John Walton, Torquil Wells, Andy Gelsthorpe and Li Chen, all of whom helped to move the whole project forward. Of course, a big instrumental build of this kind also required the technical assistance of many people. Jack Dee worked as a technician looking after all three microscopes and his help was indispensable. It is a pleasure to be able to thank Colin Ovenden, David Coulthard and Mick Peters for a wide range of skills that helped to keep the instruments running. Staff in the mechanical workshop made beautiful jobs of the concentric hemispherical analyser, the specimen manipulator, the electron column and many other smaller components. They included John Eastwood, the late Lennie Jarvis, Leigh Crosby, Peter Durkin, Bob Easton, Brent Wilkinson, Ian Wright and Pete Turner. Staff in the electronics workshop made excellent high stability power supplies, beam scanning and stigmators as well as many smaller pieces of electronic hardware. They included the late Jim Scott, Steve Lawson, Simon Hart, Pete Turner and Bob Hide. The technical staff was as important to this project as the scientists. Help with electron optical design was generously given in the early stages of the project by Ken Smith and Eric Munro of the Engineering Department, University of Cambridge. We would also like to thank Tom Mulvey, Aston University, Birmingham, for his encouragement and advice from his wide experience of many different kinds of electron
ACKNOWLEDGMENTS
xvii
optical devices. Don Whitehead of the then VSW Ltd was extremely generous with financial support for electron optics for which we are most grateful. Collaboration with colleagues in the semiconductor fabrication industry was very important in demonstrating just what could be learned with an Auger microscope. Funding for the project came from the Alvey Project of the Department of Trade and Industry and from the Adequat Project of the European Union for which we are most grateful. In these projects we worked with Chris Hill, Pete Pearson, Peter Augustus and Kevin Stribley of Plessey, with Barry Lamb of Standard Telecommunications Ltd and Geoff Spiller and Chris Tuppen of British Telecom. We are most grateful to these collaborators and for the excellent samples that they made available to us. Carolyn Gondran would like to thank Mark Clark, Chris Sparks, Charlene Johnson but most especially Milt Godwin and Laurie Modrey for their many, many helpful comments and suggestions, and Marilyn Redmond and Bob Ruliffson from the SEMATECH library for assistance with reference material. She would also like to thank her family: Chris for moral support and her daughters for the endless distractions.
1 Introduction M. M. El Gomati and M. Prutton
The region near to the surface of a solid material can play importantroles in the properties of that solid. Should an atom or molecule arrive at such a surface, be it in vacuo, in air, in a liquid or in contact with the surface of a different material, then the crystallographic structure, the atomic type, the electronic structure, the vibrations of surface atoms and the bonding forces between the arrival and the surface may all affect what happens next. Thus, for example, the arrival may adhere to the solid surface or be scattered off of it, or the arrival may react with the surface forming a new compound locally. Should the temperature, the structure and the binding energies of the atoms in the surface have appropriate values then the arrival may diffuse into the solid or even cause atoms in the solid to diffuse out to the surface. For these reasons solid surfaces are important in many processes in a wide variety of different parts of science, including biology, chemistry, materials science and physics. Further, they are important in many areas of technology such as semiconductor device fabrication and characterisation, the design of catalysts to speed up chemical reactions, and the development of anti-corrosion layers on alloys and metals. The subject of surface science is thus very broad indeed, having scientific and commercial implications in the effects that it has on large industries. Introductions to the subject include books by Prutton1, Walls2, Woodruff and Delchar3 and Zangwill4. The whole area has been reviewed, for instance, by Duke5 and by Duke and Plummer6. What is meant by the surface of a solid? The answer to this question depends upon what surface properties are under investigation and what Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
2
INTRODUCTION
experimental techniques are being used for their measurement. The theoretical physicist may be interested in the wave functions of atoms in the outermost layer of the solid. Most extremely, interest may be on the wave functions and their properties in the region in a vacuum outside the solid surface. The experimental scientist may be measuring the properties of the topmost few atomic layers of the solid or the topmost few hundred layers depending upon the methods being used. Most experimental methods involve the bombardment of the surface under study by particles or photons and the detection of scattered particles or photons. If visible photons are incident and reflected photons are detected then the depth of the region of the solid being probed is of the order of the wavelength of the light being used – the information depth is of the order of many hundreds of nanometers. If energetic X-rays are incident and detected then this depth may be of the order of microns. If energetic X-rays are incident and photoelectrons are detected this depth can be as small as a fraction of a nanometer – only a few atom layers are being probed. A similar information depth is obtained when energetic electrons are incident and Auger electrons are emitted from the atoms in the solid. In this book the surface is taken to be the region of a solid within a depth of a few (<20) atomic layers from its free surface. This information depth depends upon the relative sizes of the depth penetrated by the incident photons or particles – the penetration depth and the depth from which the stimulated particles or photons can arrive at the detector with properties unchanged – the escape depth. If the penetration depth is small compared with the escape depth then it is the penetration depth that determines the information depth. This is the case, for example, in energy dispersive X-ray (EDX) detection where electrons are focused onto a solid sample and may penetrate to a depth of the order of a micron and characteristic X-rays are emitted and detected. The X-rays may reach their detector unchanged from such a relatively small depth so the information depth is the penetration depth. At the other extreme, in Auger electron spectroscopy (AES), energetic (say 10 keV) electrons may be focused onto the solid and low energy Auger electrons are detected. The ingoing electrons may penetrate a micron or so but the Auger electrons have much lower kinetic energies and can only escape from the solid with their energies unchanged if they originate from very near the surface. In this case the escape depth determines the information depth and may be very small – about 0.5 nm depending upon the kinetic energy of the Auger electrons. The information depths for X-ray photoelectron spectroscopy are very similar to those of Auger spectroscopy – particularly when the
SCANNING AUGER ELECTRON MICROSCOPY
3
kinetic energies of the photoelectrons are below about 1 keV. This subject is dealt with more completely in Chapter 2. When the intention is to study the topmost atomic layer in a solid the environment in which the sample is immersed becomes of critical importance. Even in a ‘vacuum’, in every second many atoms or molecules in the ambient atmosphere will strike the surface. This rate depends upon the pressure of the ambient gas – the lower the pressure the lower the rate of impact. Since these events may change the surface by knocking off atoms expected to be present, by sticking to the surface or by reacting with the surface then the surface may be changed from whatever state it was intended to be in – atomically clean, covered with specific atoms of a different kind or whatever the investigator required. The kinetic theory associated with contamination from the ambient atmosphere is discussed in more detail in Chapter 3. Most surface science measurements are conducted in ultra-high vacuum (UHV)7 in which the total pressure is less than about 109 mbar. In such pressures the arrival rate of molecules from the ambient gas can allow measurement times of several hours8 before the surface under study is covered with a single layer (a monolayer) of contaminating molecules. One question that usually needs to be answered about a surface is ‘what is it composed of?’ The answer is revealed with those measurements that can be chemically specific and yet have sufficient sensitivity to detect the small amount of material in the topmost atomic layer. The techniques available to a surface analyst are summarised in Table 1.1 where a rough guide to the sensitivity of each method is given. Table 1.1 The commonly available methods to identify the elements in or near the surface of a solid together with a rough estimate of their sensitivities in terms of atomic monolayers. Most elemental materials contain about 1019 atoms m2
Method Energy dispersive X-ray analysis X-ray photoelectron spectroscopy Auger electron spectroscopy Secondary ion mass spectroscopy
Acronym
Incident ‘particles’
EDX
Electrons (5–30 keV)
XPS
Monochromatic X-rays (5 keV) Monochromatic electrons (5 keV) Monochromatic ions (Ar)
AES SIMS
‘Particles’ detected
Rough sensitivity (monolayers)
Characteristic X-rays (0.5–10 keV) Photoelectrons (0.1–5 keV)
200
Auger electrons (0.1–3 keV) Secondary ions (mass 1–5000)
0.1
0.1
10 atoms
4
INTRODUCTION
Figure 1.1 Potraits of Pierre Auger and Lise Meitner. Both pictures are by courtesy of the American Institute of Physics, Emilio Segre` Visual Archives. The picture of Meitner is part of the Herzfeld Collection in those archives
This book concentrates upon a form of scanning electron microscopy in which electrons are focused onto the surface of a solid sample and Auger electrons are emitted into an energy analyser in which their kinetic energy is established. These electrons were first described as a theoretical possibility in 1923 by Rosseland9 and were identified by Meitner10,11 and independently by Auger12 from the results of cloud chamber experiments. Photographs of Auger and Meitner are reproduced in Figure 1.1 and the story of Lise Meitner’s scientific struggles is described by Sime13. All their work was directed at the explanation of sharp spectral features in b-ray spectroscopy arising from internal conversion in g irradiation. The subject is described in the book by Burhop14. The use of Auger electrons in the analysis of surfaces was first described by Lander as early as 195314. As can be seen in the caption to Figure 1.2, the kinetic energy of an Auger electron is determined by the differences between the electronic energy levels in the atoms involved in the process. This energy depends upon the element emitting Auger electrons and is independent of the energy of the ionising beam of electrons. The intensity (the number of electrons detected) in a particular
SCANNING AUGER ELECTRON MICROSCOPY
5
Figure 1.2 A one-electron energy level diagram for a mythical metallic sample. The labels on the right indicate the notation used for the energy levels in X-ray spectroscopy. The labels on the left correspond to the binding energies of the electrons in each level measured from the vacuum level. (a) The process of generation of an Auger electron is indicated. In this example the electron beam is incident with sufficient kinetic energy to ionise the K shell of the atoms involved. The energy of the ionised atom is reduced by one electron falling into the initial state hole from a less tightly bound state and by a second electron being emitted from the same or another less tightly bound state. The kinetic energy of the electron leaving the atom is approximately EK EL1 EL2;3 . This energy is independent of the energy of the incident electron. This particular process would be described as the emission of a KL1 L2;3 Auger electron. (b) For XPS the monochromatic X-ray beam with energy hn ionises the 1s level and causes the emission of an electron with kinetic energy hn E1s . E1s is the binding energy of the electron in the 1s (or K) state
6
INTRODUCTION
Auger peak in the electron spectrum does depend upon the energy of the ionizing beam. Indeed, using the example in Figure 1.2(a), if this energy is lower than the binding energy of the initial state electron (EK in that example) then no Auger electrons can be emitted based upon that initial state. If the energy is above this threshold then the intensity is determined, in part, by the ionisation cross-section for that initial state. The dependence of Auger intensities upon the energy of the incident electron beam is covered in more detail in Chapter 2. An example of some Auger peaks from a contaminated silver sample is shown in Figure 1.3 and examples of spectra from many elements, compounds and alloys can be found in the handbooks of spectra published by Japan Electron Optics (JEOL Ltd)16 and by Physical Electronics Inc. (PHI)17 and on the web at http://www.lasurface.com. The whole subject of surface analysis has been reviewed in books by Prutton1, Vickerman18, Venables19 and Briggs and Grant20. X-ray photoelectron spectroscopy is similar to Auger electron spectroscopy in that the incident radiation has sufficient energy to ionise core levels in the atoms of the surface. Photoelectrons are emitted with an energy corresponding to the difference between that of the incident X-ray photons and the binding energy of electrons in the level ionised. Thus, in Figure 1.2(b), the ionization was of a K state and so the energy of the photoelectron emitted would be hn EK . Again, for a fixed X-ray beam energy the kinetic energy of the photoelectrons is characteristic of the emitting element. Photoelectron spectroscopy has played an important part in the history of the study of wave particle duality. The effect was described by Innes21 in 1907 and developed into a spectroscopy later by Siegbahn22 and others. Siegbahn gave the name ‘Electron Spectroscopy for Chemical Analysis’ (ESCA) for the use of both Auger and photoelectron spectroscopies excited by X-ray photons. It is technically simple to scan an electron or ion beam across a surface with a deflecting electric or magnetic field acting on the incident beam to cause it to remain focused on the sample but to be displaced across the surface in some desired sequence of movements. This makes scanning microscopy possible. The beam can be scanned across the surface of the sample in a series of steps. Whilst the beam is static the ions or electrons emitted from the sample can be detected and mass or kinetic energy analysed and the number of scattered ions with a particular mass (for SIMS) or the number of Auger electrons with a particular kinetic energy (for AES) counted and stored. The beam is then moved to the next position on the sample and the process repeated. This step, analyse,
0
100000
200000
300000
400000
500000
50
100
150
200
250
Ag + C
Energy (eV)
300
Ag
350
Ag
400
450
500
550
Figure 1.3 A section from an Auger spectrum from a silver sample. The incident electron beam had energy of 5 keV and a beam current of 10 nA. The energy analyser was a concentric hemispherical type in the York MULSAM instrument (see Chapter 3). The peaks are superimposed upon a slowly changing background due to the secondary electrons leaving the sample
Counts
8
INTRODUCTION
count and store cycle is usually carried out in either a square or rectangular array of points on the surface – a so-called digital raster. Either after or during this process an image of the surface can be displayed on a computer monitor or other device in which the position of the incident beam on the sample has a simple mapping onto the screen of the display and the counts detected at that position on the sample determine the intensity of the corresponding point on the display. Thus, places on the sample with a large number of atoms emitting electrons of the chosen kinetic energy appear brighter on the display than places with few of the same kind of atoms. The display thus provides a chemical map of the distribution of that element in the surface. It is a spectroscopic image in the sense that the variations in the intensity of a feature in an energy spectrum have been mapped from place to place on a surface. The first to report the use of Auger electrons to demonstrate scanning Auger microscopy were Harris23 and McDonald24. A diagram comparing the components of a scanning electron microscope and a scanning Auger microscope is shown in Figure 1.4. Scanning Auger microscopy should strictly be defined as scanning Auger electron microscopy (abbreviated as SAEM) but common usage is now to refer to it as scanning Auger microscopy (SAM) which is conveniently shorter and easier to pronounce, at least in English! Clearly it is electrons that are being scanned not an individual whose name is Auger! Spectroscopic imaging of this kind involves the acquisition of a great deal of data. Imagine, for example, the characterization of the surface chemical composition of an area of a sample containing five elements whose concentrations vary from place to place. At each position on the sample at which a measurement is made the heights or areas of at least five peaks in a spectrum must be estimated. The simplest approach might be to make estimates of the peak heights by subtracting the measured background counts in the spectrum with energy above or below each peak from the counts in the spectrum at the peak energy. Thus, for five elements, a minimum of 10 measurements must be made. Consider that a set of five images are to be formed, one for each element, each with 256 by 256 picture points (pixels) for adequate image quality for presentation or subsequent analysis. This means that at least 655360 measurements are required to derive the five images. If it is assumed that 32-bit precision is needed in each measurement in order to have adequate dynamic range in the possible counts and to allow subsequent numerical processing then this image set requires at least 2.5 Mbytes of storage space. If some experimental parameter is to be varied – say
SCANNING AUGER ELECTRON MICROSCOPY
9
Conventional vacuum
1
4
2
3
6
5
10 9 8
7 (a) Ultrahigh vacuum 1
4
2
13 3
6
5
10 12 7
11 8
9
(b)
Figure 1.4 A comparison of the principal components of (a) an SEM and (b) a SAM. Item 1 is an electron source; items 2 and 3 are electron lenses and 4 is a set of power supplies all of which are used to form a focused electron beam on the sample surface, item 7. Items 5 and 6 are components to scan the focused beam across the surface of the sample. Item 8 detects secondary electrons in (a) and electrons of selected kinetic energy emerging from an energy analyser 11 in (b). Items 9 and 10 amplify and condition the signal from 8 to control the brightness of a display 10 or provide input to a control computer 13. Item 12 represents the electronics providing control of the potentials in the electron energy analyser in (b)
10
INTRODUCTION
the sample temperature or exposure to some gas reacting with the surface – then many such sets of data may be required as the surface changes. The implications for data storage are obvious and some form of data compression may be essential for efficient use of available resources. Some considerations of the hardware and software requirements for these instruments are described in Chapter 3. A more important issue arises from the time that must be taken to acquire such a set of images. Most electron energy analysers are sequential devices. The potentials are set on each electrode of an analyser to determine the kinetic energies of electrons that lie in a small band of energies about the energy of the feature to be measured. Counting can then begin and is allowed to continue for the dwell time of measurement. After this time the analyser has to be set for a new energy or the beam exciting the electrons is moved on to the next position on the sample surface. Using the case of Auger electron emission and the equation for the yield of Auger electrons proposed by Bishop and Riviere25 (see Chapter 2), the example described in the previous paragraph can be pursued. Consider, for example, an incident electron beam of 10 nA striking a monolayer of oxygen atoms absorbed upon a silicon surface. Auger electrons with 505 eV kinetic energy are emitted from the oxygen atoms that are present with a density of about 1015 cm2. The cross-section for this process is about 1021 cm2. If, say, 1 % of the Auger electrons emitted enter the energy analyser and are detected then the current collected is about 1016 A or about 600 electrons s1 . Using Poisson statistics for the counting of electrons and a counting time of t s then the signal to noise ratio in a single measurement will be (600t)1/2. Thus, for example, if a measurement is made for 17 ms then about 10 electrons will be detected and the signal to noise ratio will be about 3:1. If this dwell time is chosen for each of the 10 energies in the example above and for each position of an incident electron beam on the sample then the 655360 measurements must take at least 3 h. Less time can be taken only by modifying the energy analyser to acquire several energy channels simultaneously or to collect a greater fraction of the total emission from the sample, accepting a lower number of points in the image, increasing the current in the beam reaching the sample or allowing a further reduction in the signal to noise ratio. The saving in time so gained scales with the square root of the number of electrons counted in each pixel and at each energy and so it is difficult to make large reductions in the total data acquisition time unless radical changes are made to the energy analyser.
SCANNING AUGER ELECTRON MICROSCOPY
11
The argument in the paragraph above assumes that spectral information is acquired in the direct energy distribution NðEÞ. This is a common method of collecting images because, although the differential spectrum is often used for spectroscopy in order to improve discernment of peaks on a slowly changing background, the direct spectrum has a higher signal to noise ratio. Some researchers question the wisdom of acquiring images. This is largely because of the experimental time required to accumulate all of the information. The question therefore arises as to whether it is more efficient to choose places in or on the sample by some criterion such as a characteristically different contrast in, for instance, a rapidly measured scanning electron microscope (SEM) image. These particular places are then carefully observed using spectroscopy and the analysis performed at those places only. A slightly more detailed approach might be to scan a region of a sample along a line using spectroscopic imaging techniques and so reduce the data acquisition time from that required to collect a whole picture (a frame) to that required to collect a single line of that frame whilst ensuring that the line crosses the feature of interest. A criticism of these faster experimental methods is that it is very easy to miss features of special interest. This tactic can be misleading because there can be barely any contrast at all in the SEM image and yet the Auger image may reveal, for example, a distinct layer structure in the sample. Another example which can occur is when unexpected regions or phases have occurred in the sample and they just happen to have identical contrast in whatever fast scanning technique is used to try to classify how many different kinds of regions are present in the sample. Often it is the occurrence of unexpected effects that are both interesting and valuable in science! Finally, it should be stressed that the human eye–brain combination is very powerful at spotting spatial correlations and by analysing at points or along lines, the spatially correlated information about the sample is entirely or largely thrown away. Perhaps also we should bear in mind the cliche´ – ‘a picture is worth a thousand words’! The prediction as to the future of Auger electron spectroscopy made by Lander in his 1953 paper (cited above) had considerable foresight. To quote him explicitly: ‘In many problems of applied physics and chemistry, the identification of surface composition is at present impossible but of considerable importance. Where the material of interest is present to a depth of several atomic layers and the high vacuum condition is not prohibitive, the method offers a positive means of analysis. Problems of
12
INTRODUCTION
this type can be of a widely varied type.’ This has proved to be true. In addition to the pioneering work of Harris and of McDonald together with an enormous volume of work on Auger electron spectroscopy, scanning Auger microscopes have been designed and built by Griffiths et al.26, Bishop27, Brandis28, Christou29, Le Gressus et al.30, Powell et al.31, Todd and Poppa32, Venables et al.33 and Browning et al.34. Clearly, Lander was right. As stated above, this book focuses the reader’s attention upon the imaging of a surface by using a selected peak in the spectrum of Auger electrons. The subject was reviewed some years ago by Ferguson35 but both the methodology and the applications of the technique have developed significantly since that time. As mentioned several times above, Chapters 2 and 3 are concerned, respectively, with the theory of the Auger process and the instrumentation needed in an Auger microscope. Chapters 4 and 5 discuss, respectively, the limits to the spatial resolution of the microscopy and the methods used to separate the chemical information in an Auger image from potentially confusing effects (referred to as imaging artefacts) due to other properties of the sample, the experimental geometry employed or the methods used for collecting or displaying the data. Chapter 6 describes the software tools useful to interpret the information in an Auger image. Chapter 7 discusses methods that can convert the intensities of the pixels in a set of images using different Auger peaks from the same area of a sample into a set of maps revealing the atomic concentrations at each point in the surface – image quantification. Chapters 8 and 9 describe some of the most important applications of Auger microscopy in the fields of metallurgy and of semiconductor device characterisation. Many of the examples of Auger microscopy and the figures in this book were obtained by two of the authors (Mohamed El Gomati and Martin Prutton) in the study of a wide variety of samples using the multi-spectral Auger microscope (MULSAM) at York. This instrument was particularly useful in that it enabled the comparison of several different simultaneous signals from the sample and the combination of these signals in models of the electron scattering. This is not a conventional technique for SAM and so this imbalance is at least partially restored in Chapter 8 where Wild uses results for metals and alloys using an instrument from Physical Electronics (PHI 595) and in Chapter 9 where Gondran describes results for semiconductor device structures using another instrument from Physical Electronics (PHI 670 Field Emission Scanning Auger Microscope).
SCANNING AUGER ELECTRON MICROSCOPY
13
REFERENCES 1. M. Prutton, Introduction to Surface Physics (Oxford University Press, Oxford, 1994). 2. J. M. Walls, Methods of Surface Analysis, Techniques and Application (Cambridge University Press, Cambridge, 1989). 3. D. P. Woodruff and T. A. Delchar, Modern Techniques of Surface Science (Cambridge University Press, Cambridge, 1994). 4. A. Zangwill, Physics at Surfaces (Cambridge University Press, Cambridge, 1988). 5. C. B. Duke, Surface Science 299/300, 1 (1994). 6. C. B. Duke and E. W. Plummer, Surface Science 500, 1 (2002). 7. P. A. Redhead, J. P. Hobson and E. V. Kornelsen, The Physical Basis of Ultra-High Vacuum (Chapman and Hall, London, 1968). 8. A. Chambers, Modern Vacuum Physics (Chapman and Hall/CRC, London, 2004). 9. S. Rosseland, Zeitschrift fu¨r Physik 14, 173 (1923). 10. L. Meitner, Zeitschrift fu¨r Physik 9, 131 (1922). 11. L. Meitner, Zeitschrift fu¨r Physik 17, 54 (1923). 12. P. Auger, Journal de Physique Radium 6, 205 (1925). 13. R. L. Sime, Lise Meitner: A Life in Physics (University of California Press, Berkeley, 1996). 14. E. H. S. Burhop, The Auger Effect and Other Radiationless Transitions (Cambridge University Press, Cambridge, 1952). 15. J. J. Lander, Physical Review 91, 1382 (1953). 16. T. Sekine, Y. Nagasawa, M. Kudoh, Y. Sakai, A. S. Parkes, J. D. Geller, A. Mogami and K. Hirata, Handbook of Auger Electron Spectroscopy (JEOL, Tokyo, 1982). 17. K. D. Childs, B. A. Carlson, L. A. LaVanier, J. F. Moulder, D. F. Paul, W. F. Stickle and D. G. Watson, Handbook of Auger Electron Spectroscopy, 3rd Edition (Physical Electronics Inc., Eden Prairie, 1995). 18. J. C. Vickerman, Surface Analysis – The Principal Techniques (John Wiley & Sons, Ltd, Chichester, 1997). 19. J. A. Venables, Introduction to Surface and Thin Film Processes (Cambridge University Press, Cambridge, 2000). 20. D. Briggs and J. T. Grant, Surface Analysis by Auger and X-ray Photoelectron Spectroscopy (IM Publications and Surface Spectra, Chichester, 2003). 21. P. D. Innes, Proceedings of The Royal Society (London) A79, 442 (1907). 22. K. Siegbahn, C. Nordling, A. Fahlman, R. Nordberg, K. Hamrin, J. Hedman, G. Johansson, T. Bergmark, S. Karlson, I. Lindgren and B. Lindberg, ESCA: Atomic, Molecular and Solid State Structure Studied by Means of Electron Spectroscopy (Almqvist and Wiksells Boktryckeri AB, Uppsala, 1967). 23. L. A. Harris, General Electric Research and Development Report 67-C-201 (1967). 24. N. C. MacDonald, Applied Physics Letters 16, 76 (1970). 25. H. E. Bishop and J. C. Riviere, Journal of Applied Physics 40, 1740 (1969). 26. B. W. Griffiths, A. V. Jones and I. R. M. Wardell, in Scanning Electron Microscopy: Systems and Applications (Institute of Physics, Bristol, 1973), p. 42. 27. H. E. Bishop, Auger spectroscopy in an SEM, Report no. 7899 (AERE, Harwell, 1974). 28. E. K. Brandis, in Scanning Electron Microscopy 1975 (Illinois Institute of Technology and Research, Chicago, 1975), p. 149.
14
INTRODUCTION
29. A. Christou, in IITRI/SEM/1975, edited by O. Johari (SEM Inc., Chicago, 1975), p. 149. 30. C. L. Gressus, D. Massignon and R. Sopizet, Comptes Rendus Hebdomaire Se´ance Academie Sciences Paris B 280, 439 (1975). 31. B. D. Powell, D. P. Woodruff and B. W. Griffiths, Journal of Physics E: Scientific Instruments 8, 548 (1975). 32. G. Todd, H. Poppa, D. Moorhead and M. Bales, Journal of Vacuum Science and Technology 12, 953 (1975). 33. J. A. Venables, A. P. Janssen, C. J. Harland and B. A. Joyce, Philosophical Magazine 34, 495 (1976). 34. R. Browning, P. J. Bassett, M. M. El Gomati and M. Prutton, Proceedings of The Royal Society (London) A357, 213 (1977). 35. I. F. Ferguson, Auger Microprobe Analysis (Institute of Physics Publishing, Bristol, 1989).
2 The Auger Process J. A. D. Matthew
2.1
INTRODUCTION
In Chapter 1 the basic phenomenon of the Auger process was introduced and in this chapter further elaboration is offered on the basic physics of the Auger process showing how the effect provides highly specific atomic concentration information in the surface and near surface region. This has been the subject of many reviews1–4, often in conjunction with analytic aspects of X-ray photoemission. In this respect strong reference is made to the recent book edited by Briggs and Grant5and the useful practical guide of Briggs and Seah6, where in both cases a widely respected ensemble of authors outline the state of the art in analytic electron spectroscopy. Although these references include some discussion of Auger microscopy7 and nanostructures8 the main emphasis in this chapter is on surface analysis without regard to spatial resolution. Therefore, limited reference is made to the complications of microscopy whilst indicating how the basic formulae of surface analysis are underpinned by good science with attention to how some of the results have to be modified at high spatial and depth resolution.
2.2
CLASSIFICATION OF AUGER PROCESSES
Before assessing the kinetic energies of the Auger transitions that provide the signatures used in Auger electron spectroscopy (AES) and scanning Auger microscopy (SAM) it is important to say a little more Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
16
THE AUGER PROCESS
Table 2.1 Notation for XPS and AES processes Quantum numbers 1 2 2 2 3 3 3 3 3 4 4 4 4 4 4 4
0 0 1 1 0 1 1 2 2 0 1 1 2 2 3 3
1/2 1/2 1/2 3/2 1/2 1/2 3/2 3/2 5/2 1/2 1/2 3/2 3/2 5/2 5/2 7/2
X-ray suffix
X-ray level
Spectroscopic level
1 1 2 3 1 2 3 4 5 1 2 3 4 5 6 7
K L1 L2 L3 M1 M2 M3 M4 M5 N1 N2 N3 N4 N5 N6 N7
1s1/2 2s1/2 2p1/2 2p3/2 3s1/2 3p1/2 3p3/2 3d3/2 3d5/2 4s1/2 4p1/2 4p3/2 4d3/2 4d5/2 4f5/2 4f7/2
about the nomenclature used. A single electron state is specified by the quantum numbers n (principal), l (orbital), s (spin) and j (total angular momentum), which has values l þ 1/2 or l-1/2 (l ¼ 1, 2, 3, . . .) and ½ for l ¼ 0. The single electron binding energy E(nlj) is then specified by these three quantum numbers, which are indicated by X-ray photoelectron spectroscopists in the form n ‘l’ j, where ‘l’ uses the letters s,p,d,f, etc., to denote l ¼ 0, 1, 2, 3, etc. In Auger spectroscopy the historical X-ray notation is employed with K, L, M, N, etc., for n ¼ 1, 2, 3, 4, etc., and a suffix to specify the l and j values (Table 2.1). Auger transitions require information on both the initial one electron state from which an electron is removed and the states of the two final state holes. For states of relatively low binding energy spin orbit effects are often not resolved and two j related indices are given together in the X-ray notation, e.g. the KL2,3L2,3 transition in Al involves a 1s electron being removed (no need for the unique index of unity to be given) and two 2p holes being present in the final state. In the resulting 2p4 configuration the Coulomb and exchange interaction between the holes is greater than the spin orbit interaction (LS coupling) leading to final states 1D,1S and 3P with the prefix 2S þ 1 being the total spin multiplicity and S, P and D giving the total orbital angular momentum. The total angular momentum (orbital plus spin) is not present because the spin–orbit interaction is not resolved in this case. Although selection rules in Auger emission are very sparse by comparison with the competitive X-ray emission channel where dipole rules dominate, in this case the 3P transition is disallowed due to requirements of spin and
SCANNING AUGER ELECTRON MICROSCOPY
17
Figure 2.1 Electron excited Auger spectrum of Al showing the main KL2,3L2,3 1D peak and accompanying losses. With permission from IM Publications and Surface Spectra Ltd and courtesy of J. T. Grant5
parity conservation, while the intensity of the 1S peak is much less than that of the 1D feature. Figure 2.1 shows the KLL spectrum of Al in EN(E) format, i.e. displaying the number of emitted electrons per unit energy range multiplied by the energy with electron losses B on the low energy side of the peak: as discussed above a single peak dominates the KL2,3L2,3 spectrum. In contrast the M4N4,5N4,5 and M5N4,5N4,5 spectra of Ag (Figure 1.3 in Chapter 1 ) are resolved from one another through the 6 eV spin orbit splitting of the 3d initial states while the final states give a complex profile involving a superposition of various multiplets with LS values allowed by angular momentum addition rules9. In the examples quoted so far the final states are well described by atomic physics, i.e. the states are well localised. Such transitions are denoted as CCC, where C represents a core as opposed to a valence electron V. If valence/conduction electrons are vacated in the final state (CVV or CCV transitions) the Auger profile may be influenced by the band structure of the material reflecting in some way a local density of states. Such features are sometimes used in surface analysis, e.g. KVV transitions in carbon (Figure 2.2) and L2,3VV transitions in Al and Si. The relatively low energy of these features implies higher surface sensitivity than for CCC transitions, a feature that can be usefully exploited in surface and near surface analysis. There are, however, marginal cases, for example the M4,5N4,5N4,5 transitions in Ag discussed above: the N4,5 electrons correspond to the 4d
18
THE AUGER PROCESS
Figure 2.2 The KVV Auger spectrum of carbon in various systems. With permission from IM Publications and Surface Spectra Ltd and courtesy of D. E. Ramaker24
electrons which combine with sp like electrons to form the conduction band of Ag metal. There is competition between the Coulomb and exchange interaction associated with the 4d holes (an atomic physics effect) and the broadening of the single electron spectral range due to band formation. This struggle is enshrined in the Cini–Sawatsky criterion10,11: if Ueff (the hole–hole interaction) exceeds twice the relevant band width (2W) atomic structure prevails but if Ueff 2W band effects are important. In the case of Ag metal atomic physics wins (only just)12,13, while in the case of the adjacent element Pd the profile is very dependent on chemical environment14–17. This is a very active area of interest for Auger spectroscopists (as opposed to Auger analysts) seeking to understand correlation between valence holes18, but the surface analyst/microscopist can exploit the resulting profile in a suitably empirical way.
SCANNING AUGER ELECTRON MICROSCOPY
2.3
19
AUGER ENERGIES
For a CCC transition involving electrons in localised states the Auger energy is given by: EAXYZ ¼ EX EY EZ Ueff
ð2:1Þ
where X,Y and Z each specify the n, l and j values of the levels involved, and the E values are the binding energies of the corresponding one electron states as determined by X-ray photoemission and referenced to the sample’s Fermi level. The Ueff arises because the Z electron is removed in the presence of a Y hole (or vice versa) and accounts for the hole–hole interaction in the final state. Ueff is very sensitive to the degree of localisation of the final state holes and is affected by the efficiency of screening of holes by the valence/conduction electrons in the material of interest. Generally Ueff increases as EY and EZ become larger, i.e. as localisation is intensified. In practice, Auger microscopes generally concentrate on transitions of energy less than 2 keV with EY and EZ typically varying from a few eV (3d electrons in Cu or 4d electrons in Ag) to around 100 eV (2p electrons in Si): Ueff then varies from a few eV (for the 4d8 final state configuration in Ag M5N4,5N4,5 emission) to about 20 eV for the 2p4 final state for Si KL2,3L2,3. is the work function of the analyser (approximately 4.5 eV but subject to drift) so that the Auger energies are referenced to the vacuum level of the analyser. This contrasts with the common practice in X-ray photoemission where all energies are Fermi level referenced. In that case X-ray excited Auger emission energies can be combined with binding energy data to give a reference free measure of the environmental dependence of the electron spectroscopic data – the so-called Auger parameter19–21. This is not possible using electron excitation but the variation of Auger energies with environment can be usefully employed (see below). Surface analysts sensibly use the most intense available Auger features and Figure 2.3 gives peak energies for the most commonly used XYZ transitions – the energies are for elemental solids except where indicated otherwise. Seah22 has established particularly reliable standard energies for spectra in N(E) form for Cu, Au and Al (see Figure 2.4). The energies in Figure 2.3 are 4–5 eV less than those quoted under X-ray excitation and slightly lower than those observed when the data are expressed in dN(E)/dE form as discussed in Chapter 3. Although there are variations in position and width of these CCC Auger features in different environments the same quasi-atomic phenomenon
Li
H
14.1
Fr
Be
5.0 KVV 99 12 Mg 14.0 KLL 1181 20 Ca 29.9 LMM 286 38 Sr 33.7 LMM 1644 56 Ba 39 MNN 579 88 Ra 45
4
LMM KE eV
A
z
V
Atomic Volume 10 – 6 m 3 / mole
5
B
Ce 21.0 MNN 656 90 Th 19.9 0VV 60
58
60 Pr Nd 20.8 20.6 MNN MNN 694 725 91 92 Pa U 15.0 12.5 OVV 67
59
61
Pm
63 Sm Eu 19.9 28.9 MNN MNN 809 853
62
65 66 Gd Tb Dy 19.9 19.2 19.0 MNN MNN MNN 890 1068 1121
64
4.6 KVV 174 13 Al 10.0 KLL 1383 21 22 23 24 25 26 27 28 29 30 31 Sc Ti V Cr Mn Fe Co Ni Cu Zn Ga 15.0 10.6 8.35 7.23 7.39 7.1 6.7 6.6 7.1 9.2 11.8 LMM LVV LVV LVV LVV LVV LVV LMM MVV LMM LMM 335 413 468 524 584 698 770 843 914 989 1065 39 40 41 42 43 44 45 46 47 48 49 Y Zr Nb Mo Tc Ru Rh Pd Ag Cd In 19.8 14.1 10.8 9.4 8.3 8.3 8.9 10.3 13.1 15.7 MNN MNN MNN MNN MNN MNN MNN MNN MNN MNN 122 142 162 181 268 298 295 351 371 399 57 72 73 74 75 76 77 78 79 80 81 La Hf Ta W Re Os Ir Pt Au Hg Tl 22.5 13.6 10.9 9.53 8.85 8.43 8.54 9.10 10.2 14.8 17.2 MNN NNN NNN NNN NNN NVV NVV NVV NVV NVV 620 180 174 174 171 49 59 71 79 64 89 Ac
AES Transition Kinetic Energy
Atomic Number Element C
N
17.3 KVV 374 15 P 17.0 LMM 115 33 As 13.1 LMM 1223 51 Sb 18.4 MNN 449 83 Bi 21.3 NVV 96
7
O
14 KVV 503 16 S 15.5 LMM 147 34 Se 16.5 LMM 1310 52 Te 20.5 MNN 478 84 Po 22.7
8
F
17.1 KLL 642 17 Cl 18.7 LMM 176 35 Br 23.5 LMM 1371 53 I 25.7 MNN 506 85 At
9
31.8 Ne 16.8 KLL 800 18 Ar 24.2 LMM 210 36 Kr 32.2 MNN 48 54 Xe 42.9 MNN 527 86 Rn
He
10
2
68 69 70 71 Ho Er Tm Yb Lu 18.7 18.4 18.1 24.8 17.8 MNN MNN MNN MNN MNN 1170 1388 1444 1509 1568
67
5.3 KVV 267 14 Si 12.1 LVV 87 32 Ge 13.6 LMM 1142 50 Sn 16.3 MNN 425 82 Pb 18.3 NVV 89
6
Figure 2.3 Energies of Auger transitions commonly used in surface analysis. Referred to the spectrometer vacuum level
87
13.1 KVV 38 11 Na 23.7 KLL 985 19 K 45.3 KLL 247 37 Rb 55.9 LMM 1560 55 Cs 70
3
1
SCANNING AUGER ELECTRON MICROSCOPY
21
Figure 2.4 Calibration peaks for key elements at realistic resolution (0.3 %) in electron energy distribution mode NðEÞ given by the solid lines and in differential form using 2V differentiation amplitude (see Chapter 3). The bottom energy scale is relative to the spectrometer vacuum level while the top scale is referenced to the sample Fermi level. With permission from Journal of Electron Spectroscopy and Related Phenomena and courtesy of M. P. Seah22
is being observed. In contrast CVV and CCV transitions may be very different in energy profile in different systems. Such transitions may be the only available feature for low atomic number elements, e.g. KVV emission in B or C, but can also be used to complement CCC data, e.g. L2,3VV and KLL emission in Si. The resulting profiles reflect the band structure of the system having a breadth approximately twice the relevant band width. In this situation Ueff 0 so that the maximum energy is approximately ECVV ¼ EC . The precise shape of the profiles depends on the local density of states and other factors23, 24 and is not a simple convolution of the bulk density of states. This is illustrated for a number of systems involving carbon in Figure 2.2.
22
2.4
THE AUGER PROCESS
ENVIRONMENTAL SHIFTS
Although CCC transitions can be clearly identified their precise energy does vary in different chemical systems. All the individual EX, EY and EZ values and Ueff shift with environment. There are two reasons for this. Firstly, the electrostatic potential experienced by core electrons will differ from one system to another, e.g. 1s electrons in Al metal or Al2O3 will sense very different valence/conduction electron charge distributions leading to shifts in E1s of a few eV. This is the initial state effect. If the shifts, e, in the initial and final one electron states are approximately the same and Ueff is unaffected EXYZ ¼ e; where the shifts are relative to the elemental solid or another more convenient standard. When a core hole is created the electrons around the site experience an attractive force leading to transfer of electron density towards the location of the hole. This screening of the hole potential lowers the energy of the system and contributes to a lowering of the binding energies by the so-called relaxation energy R. When two core holes are formed in the final state of a CCC Auger process, the potential driving the screening electrons inward is approximately doubled and the response also doubled implying a screening interaction about four times that for a single hole and a reduction in Ueff. The Auger shift represents the difference in energy between a two-hole final state and a one-hole initial state predicting a reduction in energy of 3R. Environmental shifts then take the form: EX ¼ e R EXYZ ¼ e 3R:
ð2:2Þ
In X-ray excited AES e and R can be separated19–21, but this is not possible under electron excitation. However, the Auger shifts are often substantial and can be directly exploited in SAM. Table 2.2 gives binding energy and Auger shifts for a selection of metallic, covalent and ionic bonding systems for some key elements. The ratio of the two shifts gives an indication of the balance of initial and final state shifts. The general hierarchy of Auger shifts goes from ionic systems to semiconductors to metallic alloys, where values less than 1 eV are typical. In imaging as opposed to spectroscopic mode distinction between different chemical regimes for a given element is only possible
SCANNING AUGER ELECTRON MICROSCOPY
23
Table 2.2 Comparison of Auger and binding energy shifts relative to the elemental solid of key elements in various environments: oxides; compound semiconductors; and metallic alloys and silicides. Values are averages of data in the literature except where otherwise indicated. General precision is of order 0.1 eV in binding energy and 0.2–0.3 eV in Auger energies System Oxides
Element
Mg Al Cu Cu Zn Cd In Sn Compound Ga semiconductors As Ga Ga Cd Te Metallic alloys Al and silicides Al Mg Si Si
Compound MgO Al2O3 CuO Cu2O ZnO CdO In2O3 SnO2 GaAs GaAs GaN GaP CdTe CdTe FeAla VAlb(ucA) Mg–30ct %Tic MoSid2 PdSi
Level 2p 2p 2p 2p 2p 3d 3d 3d 3d 3d 3d 3d 3d 3d 1s 1s 1s 2p 2p
BE shift AES (eV) transition þ1.0 þ1.1 þ1.1 0.1 þ0.3 þ0.3 þ0.5 þ1.7 þ0.6 þ0.8 þ0.8 þ0.6 0.1 –0.2 0.6 0.3 þ0.6 þ0.1 þ0.3
KLL KLL LMM LMM LMM MNN MNN MNN LMM LMM LMM LMM MNN MNN KLL KLL KLL KLL KLL
AES shift (eV) 5.2 5.1 0.6 2.1 þ0.8 1.6 4.0 4.7 1.2 1.2 2.8 2.3 1.4 1.5 0.1 þ0.1 þ0.3 þ0.6 þ0.7
Notation: aAbel et. al. Ref 77, bDiplas et. al. Ref 78, cMitchell et. al. Ref 79, dArvantis et. al. 80.
if shifts are a few eV: it is feasible to isolate oxide and metal regions by tracking adjacent emission energies but not different alloy phases. On the other hand it may be possible to exploit changes in CVV Auger emission profiles with chemical environment in order to map the bonding profile of individual elements as in the case of carbon (Figure 2.2).
2.5
THE SPECTRAL BACKGROUND
The Auger peaks discussed above are superposed on a substantial background signal (see Figure 2.5). This has two main sources: although some primary electrons initially of energy E0 are reflected unscathed to form the elastic peak, most lose energy as they travel through the system. Some only suffer a few losses and are observed as identifiable loss peaks, while others undergo multiple losses (rediffused primaries or retarded electrons) and create a relatively smooth background contribution. The primary electrons may lose their energy by exciting plasmons (collective
24
THE AUGER PROCESS
Figure 2.5 Schematic representation of the electron energy distribution NðEÞ from incident electrons of energy Eo
excitations of valence/conduction electrons) or may create secondary electrons by direct one electron transitions of valence or sometimes core electrons, which leads to a secondary electron background that falls off with energy approximately as Em with m 1, the so-called Sickafus profile25,26. The overall electron energy distribution then takes the shape shown in Figure 2.5. Although the Auger electrons of most interest to surface analysts are those which leave the surface without loss of energy, Auger emission creates its own retarded electrons and secondary electron profile with electrons emitted deep in the system generally suffering greater loss. Discrete loss features may be observed on the low kinetic energy side of CCC or CVV transitions of interest: these may take the form of relatively sharp plasmon losses at multiples of the bulk plasmon energy EP ¼ ðNeff e2 =e0 mÞ1=2 , where Neff is the effective concentration of quasifree valence electrons, e the electronic charge, m the electron mass and e0 the permittivity of free space, with values typically in the range 5–20 eV27 (see Figure 2.1). In addition, electrons leaving the surface may excite surface plasmons at energy EP /21=2 through long range interactions with the electron gas near the surface, an effect of particular importance for lower energy Auger emission (<100 eV ). Plasmon losses are well defined in simple metals such as Mg and Al, for covalently bonded semiconductors, e.g. Si and GaAs and even for simple oxides such as MgO, where Neff is well represented by the density of oxygen
SCANNING AUGER ELECTRON MICROSCOPY
25
valence electrons. However, more complex structure over a wider energy range is observed in systems involving transition metals, in which interband transitions complicate the response of the system. In that case Tougaard28 has evolved a standardised functional profile for the loss that can be readily fitted to the data. In addition, the Auger process itself perturbs the valence electrons and produces intrinsic losses at much the same energy as the extrinsic losses associated with electron transport to the surface discussed above. The surface analyst has to separate the ‘no loss signal’ or more correctly the ‘no loss plus intrinsic loss signal’ from the debris at lower kinetic energy and algorithms of varying degrees of sophistication have been developed to do this, e.g. by Tougaard29 and Werner30. The Tougaard scheme can be readily used by non experts and has the bonus of being able to cope with nanosystems where the loss intensity and profile are sensitive to the precise nanostructure8. Practical means of separating ‘no loss’ intensity are discussed in Chapter 3. In the meantime we will assume that such a separation can be carried out and examine how the Auger intensity IAXYZ from atomic species A can be related to the atomic concentration of species A at and near the surface.
2.6
QUANTITATIVE ANALYSIS
Using the notation of Seah31 for the case of a homogeneous system the Auger intensity per unit beam current into solid angle d for a pure element is then: IAXYZ ¼ Io gAXYZ nAX sAX ðEo Þ sec a½1 þ rA ðEAX ; Eo ; aÞ NA QðEAXYZ Þ d ð2:3Þ
lA ðEAXYZ Þ cos y 4p where gAXYZ is the probability that the core hole in level X is filled through an XYZ Auger process, nAX is the number of electrons in level X, sAX ðEo Þ is the ionisation cross-section for an electron in level X for incoming electrons of energy Eo at angle a relative to the surface normal, rA ðEAX ; Eo ; aÞ gives the additional ionisation due to backscattering of incident electrons, NA the atomic density of A atoms, QAðEAXYZÞ takes account of elastic scattering of Auger electrons on their way to the surface, lA(EAXYZ) is the inelastic mean free path at the Auger emission energy and y the angle of emission of the detected electrons from the surface normal.
26
THE AUGER PROCESS
The sensitivity factors given here assume that the instrument response function to be discussed in Chapter 3 has been applied to isolate a fundamental Auger signal. Let us consider the behavior of the individual terms in Equation (2.3).
2.6.1
Inner Shell Ionisation Cross-section sAX ðEÞ
In Auger microanalysis primary electrons of energy Eo much higher than the inner shell binding energies are generally used so that inner shell ionisation cross-sections can be calculated using the Born approximation32–34, where sAX can be expressed as a function of the overvoltage U ¼ Eo/EAX sAX ¼ E2 AX f ðUÞ ln U=U
ð2:4Þ
Most scientists are content with this functional form but there is not a consensus on the precise nature of the relatively slowly varying function fðUÞ. The best compromise is probably that developed by Casnati35,36. However, there is general agreement that sAX peaks around U ¼ 3 and falls off fairly slowly beyond that – see Figure 2.6 for a comparison of cross-sections. Note that sAX scales as E2 AX at a given U so that for an XYZ transition the Auger intensity will decrease significantly with increasing atomic number and consequent increase in binding energy. The nAX factor gives the natural scaling with the number of core electrons in the shell. Typically the cross-sections are such that the probability of an incident electron producing inner shell ionisation in a surface layer is of order 103 104 : taking account of the other factors in Equation (2.3) it requires incoming electrons of order 104 or more to produce an Auger electron of interest and so the Auger signal is superimposed on a high background. The fact that the Auger peak occurs at a specific energy while the background is well spread in energy allows the analyst to isolate relevant events but the fight against background intensity is always important, particularly in Auger microscopy.
2.6.2
Auger Transition Probability gAXYZ
Once a core hole is created the system may de-excite by a number of paths as discussed in Chapter 1. First of all there is competition between X-ray emission and Auger emission. The driving forces for these two processes (a dipole matrix element for fluorescence and a Coulomb/ exchange matrix element for Auger) scale with transition energy in entirely different ways with the nonradiative channel dominant at
SCANNING AUGER ELECTRON MICROSCOPY
27
Figure 2.6 Inner shell ionisation cross sections sAX ðEo =EAX Þ for calculations by Casnati, Gryzinski and Drawin. With permission of Surface and Interface Analysis and courtesy of M. P. Seah and J. S. Gilmore36
energies <1000 eV. Here Nature is being kind because it is just these lower energy electrons we need for surface sensitivity. The Auger channel parameter gAX for any Auger channel from level X is to a good approximation given by gAX ¼ 1 Z4 = Z4 þ Z4o
ð2:5Þ
where Z is the atomic number of element A and Z0 ¼ 32:4 for X ¼ K (i.e.1s), Zo ¼ 89:4 for X ¼ L, Zo ¼ 155:9 for X ¼ M and Zo ¼ 300 for X ¼ N.
28
THE AUGER PROCESS
Indirect core ionisation by Auger cascade from higher energy levels, particularly Coster–Kronig transitions involving initial state and final state holes with the same principal quantum number, significantly contributes to inner shell ionisation. For example, in the transition elements L1 ionisation leads to creation of L2 and L3 holes via L1L2,3 M emission. Such effects are not present for KLL emission but can be accounted for in other Auger processes as outlined by Seah31. The X-ray emission that competes with Auger emission provides another mechanism for inner shell ionisation. Photon ionisation cross–sections are particularly high close to threshold and this extra channel may become important at high primary energies where X-ray production is enhanced37 – see also discussion of this effect in Chapter 4.
2.6.3
Backscattering Factor rAX ðE; EAX ; Eo Þ
The backscattering factor rAX takes account of electrons that travel into the material losing energy but eventually being backscattered through the surface region with sufficient energy to produce inner shell ionisation. Such processes can be modelled by Monte Carlo methods38,39 with the losses described by a Bethe stopping power (the energy loss per unit path length) and elastic scattering. A discussion of such electron transport processes that shape the Auger yield, the loss structure and the secondary electron background is presented at the end of this chapter. However, the qualitative trends in rAX can be readily understood. Incident electrons have to be scattered through a relatively large angle to re-enter the Auger information depth and cause inner shell ionisation. For typical 3–20 keV primary energies used in AES and SAM high atomic number increases the backscattering and so the Auger yield (see Figure 2.7). In mid-periodic table the Auger yield is about doubled by elastic backscattering but the most rapid enhancement in rAX with Z occurs up to Z 20. Furthermore, rAX tends to increase both as EAX decreases and as Eo increases because the rediffused primaries can lose more energy and yet still be capable of core hole creation. This tends to offset the decrease of sAX beyond U ¼ 3 and helps to make higher primary energies competitive.
2.6.4
Inelastic Mean Free Path lA ðEÞ
If the emitted Auger electrons that lose no energy (the ones we are interested in) do not suffer elastic scattering on their path out of the solid
SCANNING AUGER ELECTRON MICROSCOPY
29
Figure 2.7 The energy dependence of the Auger backscattering parameter for a selection of atomic numbers as a function of core binding energy EAX . The incident energy of the electrons is 5 keV and they are at 30 to the surface normal. Permission from John Wiley and Sons Ltd and courtesy of H. J. Mathieu1.
(the straight line approximation), the probability of emission from a depth z is exp(z=lA cos y) implying a net escape depth of lA cos y. The greater surface sensitivity at large exit angles can be exploited to obtain information on the depth distribution of the emitting atoms. However, the Auger electrons may be elastically scattered before emerging from the surface and then have little chance of being rescattered out of the surface with no loss of energy. The net effect is then to reduce Auger yield by the factor QA ðEAXYZ ; yÞ which for reasonable y values (<60 ) varies typically between 0.9 and 1. Quantitative corrections maybe found in Seah and Gilmore41. The dielectric formalism of Penn42 exploits optical data to deduce the variation of lðEÞ with energy. Tanuma, Powell and Penn (TPP)43–48 have successfully fitted the resulting numerical results with an analytic formula n h io ˚ ð2:6Þ lðEÞ ¼ E= E2p b lnðgEÞ CE1 þ DE2 ðAÞ where 1
EP ¼ 28:8ðNV r=MÞ2 ðeVÞ is the effective free electron plasmon energy, expressed in a different but equivalent form to that presented earlier, NV the number of valence electrons per atom for atoms or molecular unit for compounds, r the
30
THE AUGER PROCESS
density in g cm3 and M the atomic or molecular weight. The remaining parameters are fitted to the Penn results as discussed by TPP. At kinetic energies above 200 eV the C and D parameters have little influence and the formula is of the classic Born–Bethe form similar to that of the inner shell ionisation. The values obtained are very sensitive to the valence electron density which in turn depends sensitively on the atomic density, which for elemental solids varies periodically with atomic number, and the number of valence electrons per atom. See Tanuma49 for the assumptions made. Above 200 eV lðEÞ varies roughly as E0.7 (see Figure 2.8). The minimum below 100 eV reflects the maximum in the inelastic cross-section at about three times the peak loss energy, but little surface analysis is done in that regime. Variation in mean free path is particularly marked in going through a transition metal series, e.g. lðEÞ varies from about 3 nm to 1.7 nm for 500 eV electrons between Ca and Cu50. Inorganic materials tend on average to have rather larger mean free paths at a given energy with organic compounds even higher values due to their more open structures.
Figure 2.8 Energy dependence of electron inelastic mean free paths for (a) elemental solids, (b) inorganic compounds and (c) organic compounds. Permission of IM Publications and Surface Spectra Ltd and courtesy of S. Tanuma49
SCANNING AUGER ELECTRON MICROSCOPY
31
How reliable are these estimates? The Penn algorithm satisfies certain important sum rules and this ensures that the cross-section is close to reality provided that good optical data are available. Above 200 eV the TPP fit shows only small deviations from the dielectric model and overall precision of a few percent is anticipated. Comparison with experiment, in particular recent studies involving elastic peak spectroscopy51–53 give strong support to the TPP results.
2.6.5
Other Factors
The Auger yield is naturally proportional to NA while the sec(a) factor reflects the increased path length of the primary electrons in traversing the escape depth region. The emission of Auger electrons is approximately spherical in symmetry, at least in polycrystalline systems so that (d/4p) is the fraction of emission captured by the spectrometer. In some single crystal systems diffraction54 corrections need to be applied. We are now in a position to calculate sensitivity factors for the main Auger features used in AES surface analysis. Because of difficulties in accounting for Coster–Kronig contributions to any one Auger feature it is preferable to sum over the Auger intensities of all transitions involving the same principal quantum number for their initial state. Figure 2.9(a) shows the Auger yield per unit incident current per unit solid angle as calculated by Seah and Gilmore55 for a primary energy of 10 keV. The general structure can be understood from the insights gained in discussing the individual factors in Equation (2.3). At low Z (<15) KLL Auger transitions fall in a suitable surface sensitive energy range and are used for analysis e.g. the Mg KL2,3L2,3 peak is at 1182 eV. With increasing Z the 1s binding energy EAK increases and the inner shell ionisation energy decreases roughly as E2 AK if backscattering is taken into account. This is partially offset by an increase in the mean free path varying approximately as E0:7 AKLL and the decrease in U, while on the other hand the fluorescence yield begins to increase at higher Z. For Al, Si and P both L shell and K shell Auger decay are used in analysis: now the L shell cross-sections decrease with increasing Z – note the difference in gradient for the second row elements in the periodic table and the first transition series which follow – this is strongly influenced by variations in atom density in this region. As Z increases M and N shell atomic number dependence follows similar trends. The net effect of this is that useful Auger yields may vary by almost two orders of magnitude for different elements.
32
THE AUGER PROCESS
Figure 2.9 (a) Calculated Auger electron yields for electrons incident at 30o to the surface normal. The intensities predicted sum over all K or L or M or N or O transitions. The incident energy is 10 keV. (b) Corresponding experimental yields with those below 150 eV considered to be less accurate
How well are these trends reflected in observation? In making such a comparison it is important to separate the ‘no loss’ Auger signal from the secondary electron background and extrinsic loss structure on the low energy side of the peak as well as removing instrumental response effects. Seah and Gilmore56 have made high quality intensity measurements of Auger intensities from data in NðEÞ mode, i.e. from the electron energy distribution: the ways of isolating the relevant yield
SCANNING AUGER ELECTRON MICROSCOPY
Figure 2.10
33
Ratio of the experimental to theoretical sensitivities
from the spectrometer signal are discussed in Chapter 5. In Figure 2.9(b) the experimental data is separated into two regions: below 150 eV the Auger peak is competing against a strong secondary electron background which is difficult to subtract off with great precision, but above 180 eV the intensities carry greater reliability. Figure 2.10 ratios the experimental to theoretical predictions in that regime. Given the uncertainties in various aspects of the theory discussed above the correlation between theory and experiment is remarkably good especially for the K, L and M series with an average discrepancy of 4 % and an overall scatter factor of 30 %. The sensitivity factors for homogeneous solids are therefore on the whole well understood, but one must test how robust these factors are for complex inhomogeneous systems and for investigating nanostructural information sought by Auger spectroscopists. Ideally the analyst would happily bypass theory and hope to estimate the atomic concentration XA in some environment with a formula along the lines X 1 1 Ii =Ii ð2:7Þ XA ¼ ðIA =IA Þ= i
where the Ii are the observed Auger intensities for element i (including i ¼ A) and the Ii1 are the elemental standard signals obtained under identical experimental conditions. Since only intensity ratios are involved the intensities used have only to be proportional to the ‘no loss’ Auger area. The theoretical understanding outlined above demonstrates the limitations of such an approach. Although the inner
34
THE AUGER PROCESS
shell ionisation cross-sections are to a good approximation independent of environment, the total atomic concentration, the elastic scattering correction factor, the backscattering factor and the mean free path vary significantly from system to system. Neglecting the complication of Coster–Kronig effects for the moment, Equation (2.3) requires a matrix correction to the form X
1 1 ð2:8Þ Fim Iim =Ii XA ¼ FAm IAm =IA i
where FAm ¼ ½NA QA f1 þ rA glA =½Nm Qm f1 þ rm glm and the suffix m or A is associated with values of the relevant quantity in matrix m or the element A. The total concentration Nm may vary wildly from system to system and the other quantities also change significantly particularly when A is combined with elements of very different atomic number. This means that FAm is itself dependent on XA and furthermore will vary by well over an order of magnitude, i.e. Equation (2.8) is far from ideal. In addition, the contribution of Coster–Kronig transitions needs to be accounted for by summing over all channels with the same principal quantum number as X. Seah and Gilmore55 elegantly cut through these complications by replacing the elemental solid standards Ii1 and IA1 by those of an average matrix IiAV and IAAV . These are related to the empirical elemental standards by a theoretical scaling through AV AV that appropriately assigned parameters for NAV , QAV A , lA , and rA have been assigned intuitively but successfully by Seah and Gilmore. Now, by replacing the pure element relative sensitivity factors (PERSFs) by new average matrix relative sensitivity factors (AMRSFs) matrix corrections are greatly reduced so that the formula X AV AV ð2:9Þ Iim =Ii XA ¼ IAm =IA i
is a good starting point, a result much in the spirit of the pragmatic approach of Palmberg57 in the early days of AES. However, it is theoretical understanding that allows us to have confidence in the AMRSFs approach. Details of the idealised but useful average matrices are given in Seah and Gilmore55,56. The results above apply, of course, only to homogeneous systems, much simpler than those usually faced in microscopy. For thin
SCANNING AUGER ELECTRON MICROSCOPY
35
homogeneous overlayers of A of thickness d on substrate B the signals are approximately related to the bulk Auger emission signal at angle y by IA ¼ IA1 f1 exp½d=LA ðEA Þ cos y g IB ¼ IB1 exp½d=LA ðEB Þ cos y
ð2:10Þ
where EA and EB are the respective Auger energies. In the absence of elastic scattering LA ¼ lA ðEA Þ, etc., are the corresponding inelastic mean free paths. However, the yield is modified by high angle elastic scattering which largely prevents these electrons leaving the system without losing energy because of the increase in their path lengths – this is of course the origin of the QA term in the bulk case. For not too large exit angles (<60 to the normal) LA ðEÞ can be approximated by an attenuation length lA ðEÞ which is less than liA ðEÞ, i.e. the signals still show an approximate exponential dependence on thickness. Quantitative calculations of lA ðEÞ involving modeling the path length distribution of emitted electrons, for example by Jablonski and Powell58 and Seah and Gilmore41, predict lA values typically 10–20 % lower than liA values at a given energy. For fractions of a monolayer f , d can be replaced by fd, where d is the thickness of a monolayer. The appropriate value of LA is now less clear as high angle elastic scattering of Auger electrons emitted out of the surface layer will have low probability and there are reasonable arguments for using LA ¼ liA in IA but not necessarily for IB . It is not easy to invert data from such formulae to determine d but further discussion of the overlayer problem is given by Cumpson59 and Seah31. Microscopists face much more complex morphologies than those presented in this chapter, but the thin layer formulae presented here give some insight into the depth sensitivity of the Auger technique with sub nm depth resolution at least for nonorganic systems up to 1000 eV kinetic energy.
2.7
ELECTRON TRANSPORT
As discussed above, the Auger signal that the analyst cherishes is in competition with a background of electrons due to re-diffused primaries and fast secondary electrons and indeed the Auger electrons themselves add to the background through Auger electrons travelling to the surface. The balance of signal to background depends sensitively on the paths of
36
THE AUGER PROCESS
primary, secondary and Auger electrons and this requires an understanding of electron transport in solids. First it is necessary to define the basic electron scattering processes which in turn define a number of important length scales that have been highlighted by Tougaard and Sigmund60 and others30,61–68.
2.7.1
Elastic Scattering
Collisions with no loss of energy are dominated by the screened Coulomb potential of atoms and are readily calculated using potential scattering programs with Thomas–Fermi–Dirac69 or Hartree–Fock potentials calculated from free atom charge densities70. For typical Auger energies there is always a strong forward scattering peak, i.e. small angle scattering (Figure 2.11), that is greater at high atomic number: these involve quasi-classical terms in scattering from the ‘edge’ of the atom, i.e. with a relatively high impact parameter. Although such low angle scattering will differ somewhat between atom and solid, it contributes little to controlling the path length of electrons within the solid. It is the high angle scattering events that principally influence background signals at the surface: they involve low impact parameter scattering within the atoms that is well described by
Figure 2.11 Comparison of the differential elastic scattering cross-sections for (a) Al and (b) Pt at relevant energies. Permission of IM Publications and Surface Spectra Ltd and courtesy of W. S. Werner30.
SCANNING AUGER ELECTRON MICROSCOPY
37
atomic cross-sections. For low atomic numbers and reasonably high energies the differential elastic cross-section dse =d is relatively weak and falls off smoothly in accordance with modified Rutherford scattering in the screened nuclear potential71,72. For high atomic number systems the greatly enhanced nuclear potential leads to much stronger backscattering in the higher primary energy regime (a few keV) but below 1 keV the cross-section has a number of deep minima (Figure 2.10) in a phenomenon called the generalised Ramsauer effect73. Crudely this can be thought of as destructive interference occurring in multiple scattering events within the atom. The backscattering cross-sections are no longer necessarily greater at high atomic number. It is therefore expedient to define two separate elastic mean free paths, one le representing the average distance between elastic collisions and another ltr which emphasises high-angle collisions through a ð1 cos yÞ weighting. Then, for elemental solids, we have l1 e and
ð
¼ NA se ¼ NA ðds=dÞd
ð ¼ N s ¼ N l1 A tr A ðdse =dÞð1 cos yÞd tr
ð2:10Þ
ð2:11Þ
where NA is, as before, the elemental atomic concentration.
2.7.2
Inelastic Scattering
As previously discussed in studying the yield of Auger electrons the mean distance between elastic collisions is given by the inelastic mean free path li whose energy dependence largely determines the surface sensitivity of AES. The dominant contribution to li generally comes from relatively low energy excitations (<40 eV) which are strongly forward scattered and therefore in themselves do not greatly influence the path length distribution in the solid. These are observed both on the low energy side of the elastic peak at Eo and below the Auger peaks. Much of the background on which the Auger electrons are superimposed are re-diffused primaries or high energy secondary electrons which have undergone multiple losses in travelling from well below the surface to a region within of that the Auger attenuation length. It is useful then to specify the losses through the stopping power, the energy
38
THE AUGER PROCESS
Figure 2.12 Inelastic li , elastic le and transport ltr mean free paths and linear range R for (a) Al and (b) Pt calculated by Werner30 as a function of energy. The data points are experimental results obtained by elastic peak spectroscopy. Permission of IM Publications and Surface Spectra Ltd and courtesy of W. S. Werner30.
loss per unit path length S, that decreases with energy beyond a multiple of the dominant loss energy. It is then possible to estimate a range for the incident electrons defined plausibly as ð R ¼ SðEÞdE with a range of integration between Eo and Emin , which is usually set at 50 eV. The way in which these scattering parameters vary with energy has been vividly illustrated by Werner30 – see Figure 2.12 for Al and Pt metals that have the same fcc lattice structure and similar lattice constants, i.e. the NA are comparable. li ðEÞ is consistently slightly lower than for Al in the dominant 200–2000 eV analysing regime, while le is dramatically lower due to the much higher atomic number. Al Although lPt tr < ltr down to E 500 eV, the two elements backscatter with similar average cross-sections between 100 and 500 eV due to the interference effects at high atomic number discussed above. For both systems ltr li consistent with the relatively modest elastic scattering correction QA calculated for Auger yields. Note also the sharp fall in R below 1000 eV implying that the primary electrons have much larger ranges than even the fastest Auger electrons used in surface analysis. While Figure 2.12 gives a very clear picture of the dependence of various kinds of scattering on energy it is important to remember the very strong periodic variation of NA with atomic number which influences both ltr directly and li through the knock-on effect on the valence density.
SCANNING AUGER ELECTRON MICROSCOPY
39
Once these length scales are established how can one use transport theory to give further understanding of AES and the further complications of the nanoscales inherent in SAM? There are two basic approaches, one through solving Boltzmann transport equations in which str appears as a natural parameter or by Monte Carlo methods where random numbers are used to control both elastic and inelastic scattering weighted properly to the calculated cross-sections. A detailed discussion is not attempted here but attention is drawn to how it affects various aspects of AES and SAM.
2.7.2.1 Backscattering corrections The atomic number and energy dependence are well modelled by the Monte Carlo approach which can be readily adapted to incorporate complex geometries and local atomic number variations that are present in applications to nanotechnology. The general decrease with atomic number reflects the reduction above 500 eV in the high angle backscattering events required for re-diffused primaries to cause ionisation in the surface region. The results of the Monte Carlo simulations can then be fitted to a polymomial expression incorporating the relevant dimensionless variables Z, o and E/Eo , which can conveniently be used by analysts. Here o¼
li li þ ltr
is a measure of the relative strengths of high angle elastic scattering and inelastic scattering.
2.7.2.2 Attenuation lengths Detailed electron transport analysis implies that the yield of Auger electrons may be characterised by an attenuation length la somewhat less than liA but there is no guarantee that the depth distribution of emitted electrons will be exponential; quite complicated behavior can occur particularly at high exit angle. For example, Monte Carlo modelling by Jablonski and Powell58 can be fitted by la ¼ liA ð1 GoÞ
40
THE AUGER PROCESS
where G is a number of order 0.7. The correction is therefore an appropriate ratio of the scaling lengths discussed above.
2.7.2.3 Electron energy loss In reflection electron energy loss spectroscopy (REELS) the surface is bombarded with electrons of primary energy Eo and backscattered electrons may suffer various surface and bulk losses. Models of electron path distributions are required to separate multiple scattering components from the loss structure to isolate the single loss profile which gives information about the optical properties of the material and the inelastic electron mean free path30,74–76. REELS structure at the same primary energy as the Auger energy EAXYZ can be used to isolate the ‘no loss’ signal that can then be used in quantitative analysis77.
Figure 2.13 Simulation by Tougaard8 of photoemission from Cu on Au for various different surface morphologies
SCANNING AUGER ELECTRON MICROSCOPY
41
The Auger loss structure is strongly dependent on the local environment. A monolayer of a particular element A will dominantly have a ‘no loss’ peak with mainly intrinsic loss structure below the main peak. In contrast, emission from a monolayer of A that is a few mean free paths below the surface will be dominated by extrinsic loss structure with little evidence of a ‘no loss’ peak. This is illustrated for photoemission from Cu where four widely different structures of Cu on Au give identical ‘no loss’ intensities but dramatically different loss structure (Figure 2.13). These effects have been modelled by Tougaard8 who has developed readily usable algorithms for coping with diverse nanostructures, mainly for XPS, but with ready adaptation to AES and SAM78, where the finite excitation depth distribution further complicates the resulting profile.
2.8
SUMMARY
Auger emission is instigated by an atomically specific electron scattering cross-section (that for inner shell ionisation) but the Auger yield is then controlled by a combination of elastic and inelastic scattering events which are very sensitive to environment through the local atomic density and the inherent atomic number dependence of the relevant crosssections. The preceding discussion has paved the way to an understanding of the various processes that take place in an electron–solid interaction leading to the escape of an Auger electron. In applications of Auger microscopy featuring micro- and nanoscale dimensions as discussed in Chapters 4 and 5 it will be necessary to employ Monte Carlo simulations building on the basic physics developed in this chapter.
REFERENCES 1. H. J. Mathieu, in Surface Analysis. The Principal Techniques, edited by J. C. Vickerman (John Wiley & Sons, Ltd, Chichester, 1997), p. 99. 2. G. C. Smith, Surface Analysis by Electron Spectroscopy (Plenum Press, New York and London, 1994). 3. M. Thompson, M. D. Baker, A. Christie and J. T. Tyson, Auger Electron Spectroscopy (John Wiley & Sons, Ltd, New York, 1985). 4. J. F. Watts and J. Wolstenholme, An Introduction to Surface Analysis (John Wiley & Sons, Ltd, Chichester, 2003). 5. D. Briggs and J. T. Grant (eds) Surface Analysis by Auger and X-ray Photoelectron Spectroscopy (IM Publications and Surface Spectra Ltd, Chichester, 2003).
42
THE AUGER PROCESS
6. D. Briggs and M. P. Seah, Practical Surface Analysis. Auger and X-ray Photoelectron Spectroscopy (John Wiley & Sons, Ltd, Chichester, 1990). 7. M. Prutton, in Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications and Surface Spectra Ltd, Chichester, 2003), Vol. 1, p. 899. 8. S. Tougaard, in Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications and Surface Spectra Ltd, Chichester, 2003), p. 295. 9. H. Haken and H. C. Wolf, Atomic and Quantum Physics (Springer Verlag, Berlin, 2005). 10. M. Cini, Solid State Communications 24, 681 (1977). 11. G. A. Sawatsky, Physical Review Letters 39, 504 (1977). 12. P. J. Bassett, T. E. Gallon, J. A. D. Matthew and M. Prutton, Surface Science 35, 432 (1973). 13. C. J. Powell, Physical Review Letters 30, 1179 (1973). 14. P. Weightman and P. T. Andrews, Journal of Physics C 13, L815 (1980). 15. P. Weightman, P. T. Andrews, G. M. Stocks and H. Winter, Journal of Physics C 16, L81 (1983). 16. P. Weightman, Reports on Progress in Physics 45, 753 (1982). 17. P. Weightman, Journal of Electron Spectroscopy and Related Phenomena 68, 127 (1994). 18. P. Weightman, in Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications and Surface Spectra Ltd, Chichester, 2003), p. 797. 19. G. Moretti, in Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications and Surface Spectra Ltd, Chichester, 2003), p. 501. 20. C. D. Wagner, Faraday Discussions of the Chemical Society 60, 291 (1975). 21. C. D. Wagner and A. Joshi, Journal of Electron Spectroscopy and Related Phenomena 47, 283 (1988). 22. M. P. Seah, Journal of Electron Spectroscopy and Related Phenomena 97, 235 (1998). 23. D. E. Ramaker, Critical Reviews in Solid State and Materials Science 17, 211 (1991). 24. D. E. Ramaker, in Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications and Surface Spectra Ltd, Chichester, 2003), p. 465. 25. J. C. Greenwood, M. Prutton and R. H. Roberts, Physical Review B 49, 12485 (1994). 26. E. N. Sickafus, Physical Review B 16, 1436 (1977). 27. H. Raether, Excitation of Plasmons and Interband Transitions by Electrons (Springer Verlag, Berlin, 1980). 28. S. Tougaard, Solid State Communications 61, 547 (1987). 29. S. Tougaard, Surface and Interface Analysis 11, 453 (1988). 30. W. S. Werner, in Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications and Surface Spectra Ltd, Chichester, 2003), p. 235. 31. M. P. Seah, in Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications and Surface Spectra Ltd, Chichester, 2003), p. 345.
SCANNING AUGER ELECTRON MICROSCOPY
43
32. H. Bethe, Annal de Physique 5, 325 (1930). 33. H. E. Bishop and J. C. Riviere, Journal of Applied Physics 40, 1740 (1969). 34. C. J. Powell, in Electron Impact Ionisation, edited by T. D. Mark and G. H. Dunn (Springer, Berlin, 1985), p. 198. 35. E. Casnati, A. Tartari and C. Baraldi, Journal of Physics B 15, 155 (1982). 36. M. P. Seah and I. S. Gilmore, Surface and Interface Analysis 26, 815 (1998). 37. J. Cazaux, D. Gramari, S. Moutou and A. G. Nassiopoulos, Journal de Physique Colloque C2, 337 (1984). 38. R. Shimizu, Japanese Journal of Applied Physics 22, 1631 (1983). 39. D. Ze-jun and R. Shimizu, in Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications and Surface Spectra Ltd, Chichester, 2003), p. 587. 40. P. Cumpson, Journal of Electron Spectroscopy and Related Phenomena 73, 25 (1995). 41. M. P. Seah and I. S. Gilmore, Surface and Interface Analysis 31, 835 (2001). 42. D. R. Penn, Physical Review B 35, 482 (1987). 43. S. Tanuma, C. J. Powell and D. R. Penn, Surface and Interface Analysis 17, 911 (1991). 44. S. Tanuma, C. J. Powell and D. R. Penn, Surface and Interface Analysis 17, 927 (1991). 45. S. Tanuma, C. J. Powell and D. R. Penn, Surface Science 192, L849 (1987). 46. S. Tanuma, C. J. Powell and D. R. Penn, Surface and Interface Analysis 11, 577 (1988). 47. S. Tanuma, C. J. Powell and D. R. Penn, Surface and Interface Analysis 20, 77 (1993). 48. S. Tanuma, C. J. Powell and D. R. Penn, Surface and Interface Analysis 21, 165 (1994). 49. S. Tanuma, in Surface Analysis By Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications and Surface Spectra Ltd, Chichester, 2003), p. 259. 50. W. S. M. Werner, Surface and Interface Analysis 18, 217 (1992). 51. G. Gergely, Surface and Interface Analysis 3, 201 (1981). 52. C. J. Powell and A. Jablonski, Journal of Chemical and Physical Reference Data 28, 19 (1999). 53. W. S. M. Werner, C. Tomastik, T. Cabela, R. Richter and H. Stori, Surface Science 470, L123 (2001). 54. H. E. Bishop, B. Chornic, C. Le Gressus and A. Le Mondel, Surface and Interface Analysis 6, 116 (1984). 55. M. P. Seah and J. S. Gilmore, Surface and Interface Analysis 26, 908 (1998). 56. M. P. Seah and I. S. Gilmore, Surface and Interface Analysis 31, 778 (2001). 57. P. W. Palmberg, Analytical Chemistry 45, 549A (1973). 58. A. Jablonski and C. J. Powell, Surface Science Reports 47, 33 (2002). 59. P. J. Cumpson, Surface and Interface Analysis 29, 403 (2000). 60. S. Tougaard and P. Sigmund, Physical Review B 25, 4452 (1982). 61. V. M. Dwyer and J. A. D. Matthew, Surface Science 143, 57 (1984). 62. V. M. Dwyer and J. M. Richards, Surface and Interface Analysis 18, 555 (1992). 63. A. Jablonski, Surface Science 364, 380 (1996). 64. R. Mayol and F. Salvat, Atomic Data and Nuclear Data 65, 55 (1997).
44
THE AUGER PROCESS
65. C. J. Powell, A. Jablonski, I. S. Tilinin, S. Tanuma and D. R. Penn, Journal of Electron Spectroscopy and Related Phenomena 98, 1 (1999). 66. I. S. Tilinin and W. S. M. Werner, Surface Science 290, 119 (1993). 67. S. Tougaard, Surface and Interface Analysis 26, 249 (1998). 68. W. S. M. Werner, Surface and Interface Analysis 31, 141 (2001). 69. A. Jablonski and C. J. Powell, Journal of Electron Spectroscopy and Related Phenomena 85, 205 (1997). 70. Z. Czyewski, Journal of Applied Physics 68, 3066 (1990). 71. J. A. D. Matthew, A. R. Jackson and M. M. El Gomati, Journal of Physics Condensed Matter 9, 8601 (1997). 72. I. S. Tilinin, Mikrochimica Acta 114, 485 (1994). 73. P. J. Cumpson, Surface and Interface Analysis 25, 447 (1997). 74. S. Tougaard and I. Chorkendorff, Physical Review B 35, 6570 (1987). 75. S. Tougaard and F. Yubero, Surface and Interface Analysis 36, 824 (2004). 76. F. Yubero, J. M. Sanz, B. Ramskor and S.Tougaard, Physical Review B 53, 9719 (1996). 77. M. P. Seah, Surface Science 471, 185 (2001). 78. D. Fujita, M. Schleberger and S. Tougaard, Surface and Interface Analysis 24, 211 (1996).
3 Instrumentation M. M. El Gomati and M. Prutton
3.1
INTRODUCTION
This chapter covers some of the design principles of a scanning Auger microscope. Rather than give detailed descriptions of each of the instruments that are, or have been, commercially available or designed and built in the facility where they are used, the emphasis in this chapter is placed upon the key factors to be taken into consideration in the hardware and software design. Before describing the components of a scanning Auger microscopy (SAM) system in more detail an idea of the features of an example of an entire microscope is shown in the diagram of Figure 3.1. Here, the key components are indicated with the exception (for clarity in the figure) of the sample manipulator essential to translate and rotate the sample into the position desired. The complexity of the entire system is clear. After brief consideration of several different methods of obtaining analytical images attention is turned to the particular requirements of SAM. The first matter of importance is an estimation of the time taken to acquire a single Auger image – the frame scan time. This is important because acquisition may take many minutes and the design of components to try to reduce the frame scan time by collecting as large a fraction of the Auger electrons leaving the sample is of central significance. Further, because of the relatively long frame scan times the contamination of the sample by any ambient gas and the stability of the measurement conditions are also very important. All these matters are considered in this chapter. Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
46
INSTRUMENTATION SEM Display
SEM Electronics
Computer Display
EDX Controller
Scanning Electronics
Control Computer
Analyser Controller
Ion Gun Controller
Electron Gun and Column
Electron Energy Analyser
X-ray Detector
Ion Gun SEM Detector
Sample Transfer Mechanism
Sample Mass Spectrometer
Sample Entry
Ionisation Gauge
Pump
Pump
Mass Spectrometer Electronics
Pump Controller
Gauge Controller
Gas Admittance
Pump Controller
Sample Heating
Mass Spectrum Display
Figure 3.1 Schematic of an example scanning Auger microscope showing the principal components. The sample manipulator and the sample transfer device are omitted for clarity in the display of the other components. All the components in the analysis chamber (on the left) may not be mounted in a single plane in order that the axis of each device may pass through a common point in the surface of the sample without the components fouling each other. There may well be UHV valves incorporated between the sample entry and cleaning chamber on the right and the analysis chamber as well as between each pump and its chamber
SCANNING AUGER ELECTRON MICROSCOPY
3.2
47
THE ACQUISITION OF ANALYTICAL IMAGES
There are two modes of acquisition of analytical images – scanning and direct. The scanning modes use a focused probe of particles or radiation that is scanned in an analog or digital fashion across the sample under study. Some of the scattered particles or radiation intended for use in microanalysis are collected by a spectrometer/detector arrangement and the signal measured is either stored in the memory of a control computer or is used to modulate the brightness of the beam in a cathode ray tube display which is being scanned synchronously with the motion of the probe on the sample. This is the principle used in the well-known scanning electron microscope (SEM). The general arrangement is outlined in Figure 3.2(a). The subject has been extensively reviewed in a number of textbooks and research monographs. The SEM and the physics of the scattering of an incident electron beam into a variety of signals have been described by Reimer1. Examples of analytical techniques that use a scanned probe and detect scattered particles or radiation to form an image are: Secondary ion mass spectrometry (SIMS) in which the probe is a beam of focused, monochromatic ions and the signal is formed by selecting a particular mass of secondary sputtered ion using a mass spectrometer. SIMS methods are described by Briggs and Seah2, Dowsett and Collins3 and Wittmaack4. Scanning transmission electron microscopy (STEM) in which the probe is a focused beam of high-energy electrons (usually 100 keV) and the signals detected are those arising at the bottom surface of a thin sample through which the electrons have been transmitted. These signals might be the true secondary electrons, those electrons which have undergone many energy loss processes in the sample but still emerge with considerable kinetic energy (say greater than about 50 eV), characteristic X-rays excited by ionisation of the atoms in the sample, Auger electrons also excited by ionisations, incident electrons which have lost energy to a single ionisation of the atoms of the sample (this is the basis of electron energy loss spectroscopy or EELS), or incident electrons which have lost energy to the excitation of plasmons or phonons in the sample. In this kind of instrument there are many processes causing electron scattering and many kinds of signals that can be measured. Some STEMs are equipped with multiple detectors so that several different signals can be measured from the same place on the sample
48
INSTRUMENTATION
Figure 3.2 (a) Scanning imaging: the incident beam is focused onto the sample. An energy analyser and detector collect electrons from the place that is illuminated. (b) Direct imaging: the surface is evenly illuminated with electrons and the energy analyser is designed to pass electrons in a selected energy band as well as to image the surface of the sample onto a multichannel detector. Reproduced by permission of IOP Publishing Ltd from Prutton27
simultaneously. This allows subsequent use of image correlation techniques to learn more about the sample than can be gleaned from individual measurements. STEM is described by Brown5 and Browning et al.6. Imaging energy or wavelength dispersive X-ray analysis (EDX or WDX). Here an electron probe is scanned across the sample and Xray emission is detected. The wavelengths or energies of the X-rays are characteristic of the types of atoms in the sample. EDX and WDX are described by Heinrich and Newbury7, Reed8 and Scott and Love9. EDX and WDX are also called EDS and WDS, where S stands for spectroscopy, as well as EPMA (electron probe microanalysis).
SCANNING AUGER ELECTRON MICROSCOPY
49
Scanning Auger microscopy (SAM). This is analogous to EDX except that the Auger electrons ejected from a sample after ionisation of component atoms by energetic electrons are used to form the signal. This technique is very sensitive to the distributions of atoms in the top few atomic monolayers of the sample and is the subject of this book. Scanning low energy electron loss microscopy (SLEELM). This is a scanned electron beam technique in which the spectrometer is set up to pass electrons that have lost rather small energies in their interactions with the sample. Examples of such excitations are phonon, plasmon and exciton processes and interband transitions in insulators and semiconductors10–12. Direct imaging methods of microanalysis (Figure 3.2(b)) are designed so that the sample is illuminated over a large area instead of the incident radiation being focused into a fine probe. The spectrometer is of a type that images the emitting region of the sample onto a detector array. This kind of instrument has the substantial advantage that all regions in the area of interest are imaged simultaneously and so it is usually much faster than the scanned probe techniques. Examples of such direct methods are: Parallel electron energy loss spectroscopy (PEELS). Here a high energy resolution electron spectrometer collects electrons from an illuminated area on a sample and images those electrons which have lost a selected amount of energy through ionisations or other excitations onto an array of solid state electron detectors. EELS and PEELS are described by Krivanek13 and by Williams and Newbury14. Low energy electron microscopy (LEEM). Ingenious lens designs are used in this technique to illuminate a sample with low energy electrons (say 100 eV kinetic energy) and then to collect an image of the elastically scattered electrons backscattered from the surface of the sample. This is a powerful method for detecting crystallographic variations from place to place. LEEM was invented by Bauer et al.15. Photoelectron microscopy or imaging XPS (PEEM). In this imaging technique X-rays or ultraviolet photons illuminate an area of the sample and the analyser images a selected photoelectron energy onto a detector or detector array. PEEM is described by Bauer16.
50
INSTRUMENTATION
This (incomplete) list of techniques is given to indicate the range of incident probes and detected signals that are in use in both scanning and direct methodologies. Acronyms abound! Although attention will be focused hereafter upon the scanning methods and upon Auger imaging in particular many of the data manipulation and interpretation techniques that will be described are applicable to the other kinds of images. References to the literature of microanalytical imaging methods are as numerous as the subject matter is broad. Electron optical matters are described in Hawkes and Kasper17. SEM is reviewed in Reimer1 and Wells et al.18 where many of the physical processes occurring when electrons are scattered by solids are explained. The literature relevant to image processing and interpretation are of similar scale. Much image processing technique has been developed by researchers involved with space vehicles and is to be found in libraries held by the National Aeronautics and Space Administration (NASA). Examples are by Gonzalez and Wintz19, Niblack20 and Moik21. More specific but general references to processing and interpretation can be found in Newbury22, Bright et al.23, Jeanguillaume and Colliex24, King et al.25, El Gomati et al.26, Prutton27–30 and Bonnet31, 32.
3.3
FRAME SCAN TIMES
The relatively long data acquisition times required to obtain Auger images with acceptable signal to noise ratios were outlined briefly in Chapter 1. These times have some important effects on the design of the hardware and software of the instrument. In particular, the needs for ultra-high vacuum (UHV) environment, for adequate mechanical stability in the chamber, electron column and manipulator and for stability in the electronic components in the system will be considered in this chapter. Further, increasing the current in the electron beam scanning the sample can reduce the data acquisition times. This increase can be secured by exploiting the use of high brightness electron sources in the column – a matter that will be discussed further below. Using the description of the yield of Auger electrons leaving a surface found in Chapter 2 the analysis leading to the estimation of the time required for one scan of an area on the surface (referred to as a ‘frame scan’ – following TV usage) is presented in Figure 3.3. If the discussion below is, to some extent, anticipated, reasonable practical values for the parameters in Figure 3.3 can be inserted into the equations there to make a rough estimate of the order of magnitude of the frame scan time to be expected. Thus, for the values:
SCANNING AUGER ELECTRON MICROSCOPY
51
The Image Acquisition Time Following Bishop and Riviere (see Chapter 2) the yield, YA, of Auger electrons generated due to ionisation by an electron beam with current ib is given by:
YA = ibϕω (1+r)λ nsecθ In this expression:
φ ω r λ n θ
is the ionisation cross-section for the Auger process being observed, is the fluorescence yield, is the Auger backscattering factor, is the inelastic mean free path for those electrons giving yield YA, is the number density of atoms contributing to YA, is the angle of incidence of the electron beam.
This yield is delivered into 4 π steradians of which only a fraction Ω / 4π enter the energy analyser whose acceptance solid angle is Ω . Thus, the number of Auger electrons measured – the signal, S, is given by:
S =YA Ωτ
4π e
Here, the measurement time per pixel of a digital image is
τ s.
Neglecting other electrons counted at the same energy but in the spectral background enables estimation of the minimum value of τ and so the minimum image acquisition time. If the electrons reaching a detector can be counted with no extraneous (noise) counts and Poisson statistics are assumed to be applicable then the smallest measurable signal is about
3 S . Electron counting systems can be designed to detect individual electrons and so let us set the minimum number of detectable electrons at 10. Then the three equations above can be manipulated to give a minimum dwell time of:
τ min =
400π e 9ιb ϕω (1+r)λ nΩ secθ
Thus the minimum acquisition time T min for an image containing N×N pixels can be seen to be
Τmin = Ν 2 τ min
Figure 3.3 A simple estimation of the frame scan time. The sample is assumed to consist only of one element
52
INSTRUMENTATION
Beam current Angle of incidence Sample Atomic density Ionisation energy Ionisation cross-section Auger backscattering factor Fluorescent yield Inelastic mean free path Collection solid angle Scan size Average no. of electrons per pixel
10 nA 0 Carbon 3 1022 atoms cm3 272 eV 1:5 1014 cm2 eV2 1 1 3 108 cm 1% of 4p steradians 128 128 pixels 36
The expected frame scan time is about 210 s and the dwell time of the beam on each point on the surface is about 13 ms. Even this estimate is optimistic in that the Auger peak used for imaging is likely to be superimposed upon a background of inelastically scattered and secondary electrons which require more electrons to be counted at each point for the same signal to noise ratio assumed above. Dwell times of 100 or 200 ms are often practical with the corresponding frame scan times being about 1600 or 3200 s. If the beam current is increased by changing the operation (or even the design of the electron column) then the minimum diameter of the spot of electrons striking the sample is usually increased. Since it is this spot size that determines the spatial resolution in the resulting Auger image there is a compromise between frame scan time and spatial resolution.
3.4
THE VACUUM ENVIRONMENT
There are a number of texts available which explain the science and methodology of UHV technique. Particular attention is drawn here to the book by Redhead et al.33 and to works by Chambers34 and by Czanderna et al.35. For this reason the UHV considerations will simply be outlined here.
3.4.1
Kinetic Theory
The rate at which a surface can be covered with molecules from the ambient atmosphere is the key reason why most surface analytical techniques require the use of UHV. The kinetic theory outlined in Figure 3.4 leads to an expression for the flux of molecules arriving at
SCANNING AUGER ELECTRON MICROSCOPY
53
Monolayer Formation due to Ambient Gas
The average velocity of a gas molecule is known from the kinetic theory of ideal gases. It is:
v = 8kT πm for Boltzmann’s constant k, absolute temperature T and molecular mass m. The impingement rate, J, of molecules at a surface situated in an ideal gas containing n molecules m-3 is:
J = nv . 4 Using the fact that, for a gas pressure p
n= p kT from the ideal gas law, the values of n and v from the equations above can be substituted in the expression for J to give:
J=
p 2π mkT
,
in which values for the constants can be inserted so resulting in:
J = bp M rT for relative molecular weight M r and the constant b given by
b = 2.63 × 1024 molecules m -2 s-1 K ½ Pa -1 b = 3.51 × 1026 molecules m -2 s-1 K ½ Torr -1 b = 2.63 × 1026 molecules m -2 s-1 K ½ mbar -1
Figure 3.4 The estimation of the rate of arrival of molecules (the flux) at a surface in a vacuum system
54
INSTRUMENTATION
a surface immersed in an atmosphere of molecules of relative weight Mr at pressure p. In Figure 3.4 this flux is expressed numerically for the pressure measured in Pascals (Pa), torr (mm mercury) and millibars (mbar). In a simple vacuum system with no materials or procedures used to obtain UHV the pressure is likely to be of the order of 106 mbar (104 Nm2) and the ambient atmosphere is composed mostly of nitrogen molecules. This leads to an arrival rate of nitrogen molecules on a surface at 295 K in this system of roughly 3 1014 cm2 s1 . Since a layer one molecule thick (a monolayer) contains about 1015 molecules cm2 this means that a surface prepared to be clean at this pressure will be contaminated with a monolayer of the ambient gas molecules in about 3 s after the cleaning process is stopped – not a great deal of time to carry out any experiment on a supposedly clean surface! As the flux from the ambient gas is proportional to the pressure of that gas then if the pressure can be reduced to 1010 mbar the arrival time for a complete monolayer is increased to about 8 h. The regime of pressures below about 109 mbar is regarded as UHV and is essential for most surface studies. The estimates given above of the rate of contamination due to the gas in the vacuum are pessimistic because some molecular types have a low probability of staying on the surface once having landed. The sticking probability is very low for atoms or molecules of the noble gases because their binding to the surface is very weak being dominated by the van der Waals forces. On the other hand molecules that bind strongly to the surface through ionic or covalent bonds (oxygen or carbon and their compounds) can have sticking probabilities of very near to unity. This means that the times for a monolayer of contamination to occur are generally longer than those estimated above. However, some molecules in the vacuum will be preferred for adsorption because of their higher sticking probabilities. Details of the measurement and values of sticking probabilities can be found in Czanderna et al.35, Hudson36, Redhead et al.33 and Somorjai37. Other contamination effects associated with electron beam damage and accidental admission of impurity gases during the preparations for sample oxidation or inert gas admission for ion bombardment are discussed separately below.
3.4.2
Vacuum Hardware
Some of the important features of a UHV system are outlined below. More information can be obtained from many of the books cited above33,36–38.
SCANNING AUGER ELECTRON MICROSCOPY
55
The vacuum chamber and its associated pipework are normally fabricated with stainless steel, the permanent structure being connected with argon-arc welding or vacuum braising. This material has a low corrosion rate in air and has low rates of outgassing of absorbed gas. Some chambers are fabricated using a material with high magnetic permeability in order to provide magnetic screening of the internal components. Alternatively, a magnetic shield may be fitted as a sleeve inside the vacuum system – a procedure that involves lower mechanical stresses on the magnetic material and so smaller probability of loss of its high permeability. Screening of some kind is common practice in Auger microscopes to reduce the penetration of both the earth’s magnetic field and any alternating magnetic fields from neighboring equipment into components where the kinetic energies of the electrons are low. The vacuum junctions are made with metal gaskets. Gold O-rings or flat copper rings are normally used here. The use of metal gaskets avoids release of organic contaminants, reduces leakage of water vapor from the atmosphere into the system, and allows the baking procedures described below. The whole chamber assembly and its internal components and permanent wiring are designed to be heated in air whilst pumps are operating. This baking procedure causes accelerated desorption of water vapor (and other gases) from all the internal surfaces. When the system is cooled to room temperature for normal operation the ultimate pressure attainable is thus substantially reduced. Bakeout temperatures can be as high as 550 K but some internal components may not survive such temperatures with properties unaltered – channeltrons, microchannel plates, some semiconducting devices, and some special UHV adhesives may require bakeout to be below 420 K. This lower temperature bakeout results in slower desorption of gases from all the surfaces and so can take substantially longer times. Many systems are equipped for unattended bakeout that can be carried out overnight. Bakeout times vary between a few hours and several days depending upon the materials and components inside the vacuum system. Pressures of the order of 1010 mbar are routinely available. Evacuation of the chamber is usually by means of combinations of diffusion pumps (with special construction methods and fluids), ion pumps, titanium sublimation pumps and turbomolecular pumps. These methods avoid the use of conventional oils and their associated contamination of the system. UHV diffusion pumps are fabricated from stainless steel and filled with polyphenyl ether – a fluid that has a low vapor pressure at the temperatures required to achieve pumping. Initial
56
INSTRUMENTATION
pumping of the chamber from atmospheric pressure is usually by conventional rotary pumps provided with efficient traps to prevent flow or diffusion of oil into the chamber or any other component. The chamber may be separated from any diffusion pump or turbomolecular pump by a trap cooled to liquid nitrogen temperatures. The cold surfaces of any such trap reduce diffusion and creep of fluids from the pump or pumps. Similarly, it is possible for water vapor to enter the sample chamber together with gas that may be admitted deliberately for a surface reaction or for surface cleaning. Very small proportions of water vapor can be present in bottles used for to admit nominally pure gases. A simple trap composed of a spiral of the tube carrying this gas but immersed in dry ice is an effective method of removing traces of water vapor. The selection of materials used inside the vacuum chamber is made to avoid materials with high vapor pressures during bakeout or at room temperature. Stainless steel, molybdenum and tantalum are commonly used to fabricate metallic components. Oxygen-free high conductivity copper is commonly used as an electrical conductor. High-density ceramics such as alumina, glass, fibreglass woven material (without an organic binder) and kapton are used as insulating materials. Some lubricants are possible on bearings – a thin coating of silver or very small amounts of molybdenum disulfide can be used.
3.4.3
Vacuum Measurement
The measurement of the pressure in various parts of the vacuum system of an Auger microscope is most often made with a variant of the ionisation gauge called a Bayard–Alpert (BA) gauge for the lower pressure range and a Pirani gauge for higher pressures. The Pirani gauge works by measuring the change of resistance of a hot wire cooled by heat exchange with the gas in which it is situated. It is a robust and easily fabricated device that can be constructed with UHV compatible materials. It is useful in the pressure range 101–103 mbar. The BA gauge exploits the ionisation of gas molecules by electrons generated by a thermionic filament and accelerated by a grid electrode to about 150 eV. Ion current is measured at a collector situated at the center of the cylindrical grid. The gauge is usually mounted ‘naked’ in the vacuum system to minimize the effects of the pumping action it has most noticeably when in a restricted space. This gauge can be used in the range 104 to 1011 mbar. It needs to be outgassed by changing the
SCANNING AUGER ELECTRON MICROSCOPY
57
electrode potentials so that electrons from the filament heat them and any surrounding metal and cause desorption of gases at temperatures higher than that of normal gauge operation. Facilities for this degassing are usually incorporated in the electronics supplied by the gauge manufacturer. It is sometimes convenient (e.g. the ionisation gauge may not be operational) to use the current being drawn by any ion pump in the system. The ion pump works by ionising molecules that enter the region between its electrodes where there is arranged to be a high electrostatic field. The current drawn by this discharge is proportional to the number of gas molecules in this region and so to the pressure. Most ion pump power supplies are provided with metering of this ion current that can be useful as a rough guide to the pressure in the system.
3.4.4
Interlocking
Most scanning Auger microscopes contain components that may have high electrostatic potentials applied to their electrodes with correspondingly high electric fields. This is particularly true of field emission electron sources and some electron detectors such as channeltrons and microchannel plates. Further, sudden application of high operating potentials can result in transient pulses that reach sensitive detectors such as single electron counters or high input impedance analog amplifiers (electrometers) that can be so damaged (expensively!). In addition, some components can be damaged should the pressure in the vacuum system rise unexpectedly causing a discharge inside those components. This can happen for instance inside a microchannel plate pair used for electron counting. Finally, the logistics of operating the vacuum system, its bakeout routine, pumping down from atmosphere and admitting gas to return to atmospheric pressure can be sufficiently complex that these procedures are also a source of possible damage should the wrong procedure be adopted. For these reasons these complex and expensive microscopes are usually provided with comprehensive interlocking for the correct sequence in pumping from atmospheric pressure with all potentials turned off and then a controlled rate of application of these potentials once sufficiently low pressures have been achieved so that discharges will not occur. Similarly, related interlocking is provided for ensuring safe conditions during bakeout and safe sequencing of turning off operations during the return to atmospheric pressure.
58
3.5
INSTRUMENTATION
ELECTRON EMITTERS IN HIGH CURRENT PROBES
The discussions in the previous sections (Figure 3.3 and associated text) have established that a beam current of the order of 5–10 nA is required for reasonably short Auger frame scan times (<500 s), with an electron spot size defined by the highest spatial resolution needed. These requirements necessitate the use of adequately stable, high brightness and long life electron sources. The brightness (b) is defined as the number of Asr1cm2 an electron source supplies to its probe forming system, and a high value is required for adequate beam current and spatial resolution applications. High electron beam stability is needed because the acquisition time of an Auger electron image (or a set of images) can be several tens of minutes to several hours, and the user may be engaged in the analysis (through mapping) of elements that may be present with concentrations of only few atomic % on a specimen surface. For such analytical work the beam current has to have a stability of better than 1 % per hour or the variation in the beam current during the frame scan time will interfere with (or even conceal) the variations in the concentration of the element being mapped. Finally, the long lifetime of the source is a requirement partially dictated by the need to establish UHV conditions around the specimen, an operation that can normally take 2–3 days to achieve (see previous section). In addition, an Auger spectroscopy measurement at a point on the sample or over a small scanned area may last for several tens of hours. These considerations lead to the need for source lifetimes in excess of 1000 h. Table 3.1 lists a comparison of the important source parameters for the most widely used electron sources in high resolution electron columns. As can be seen from this comparison, field electron emitters have the highest brightness and longest lifetimes, but tend to be less Table 3.1 A comparison of some parameters for various electron sources
Source Tungsten hairpin LaB6 Cold field emitters Schottky field emitter
Brightness, (b) (A cm2 sr1) 1:5 105 1–5 106 109 >108
Vacuum Stability requirement (% h1) (mbar) 2–3 1–2 5 <1
<104 <106 <109 < 5 109
Lifetime (h)
Source size, d0 (lm)
<500 <1000 >5000 >5000
25 1–5 0.03–0.05 0.03–0.05
SCANNING AUGER ELECTRON MICROSCOPY
59
stable than the thermionic and Schottky electron sources. In the early days of AES developments, only thermionic sources were available for use in surface analysis instruments. However, soon after SAM was demonstrated in an SEM by MacDonald39 in 1970, both field emission based and LaB6 sources were introduced to microscopy, making possible their use in surface analysis instruments. In the following discussion a brief overview of thermionic sources is given first and this is followed by a more detailed discussion of the LaB6 and Schottky field emitters, because these are the more commonly used sources in modern instruments. An overview of how the various parameters of an electron source contribute to the final electron beam diameter is then outlined. In simple electron optical terms, the final beam diameter is an image of the emitting source that is degraded because of the aberrations of the electron optical arrangement used to form the beam striking the sample. In other words, there are two major inputs to the final beam diameter; one due to the source properties and one due to the lenses used in the subsequent electron column. These effects can be described by: dT ¼
qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi do2 þ dd2 þ dc2 þ ds2
ð3:1Þ
where dd ¼ 0:61 l=ai ds ¼ 0:5Csi a3i dc ¼ 2Cci ai V=Vi : Here, dT is the total beam diameter at the sample, do is the diameter of the emitting source, dd is the contribution due to diffraction effects in the column, dc and ds are the chromatic and spherical aberration contributions to the beam diameter, respectively, l is electron wavep length and is given by l ¼ 1:25= Vi nm, ai is the beam angle in the image side, Csi and Cci are, respectively, the spherical and chromatic aberration coefficients of the lens and V is the energy spread of the electron source used. It is important to note at this point that the chromatic aberration is directly proportional to the energy spread, which in this context is represented by the term V which is a composite from both the energy distribution of the emitted electrons and the ripple of the power supply used to provide the beam energy.
60
3.5.1
INSTRUMENTATION
Thermionic Cathodes
The heated tungsten hairpin filament has been the most commonly used electron source in conventional electron probes. Its properties are well documented40. The filament is commonly made from tungsten wire about 125 mm in diameter and is operated at a temperature of the order 2850 K and a pressure below 104 mbar for stable emission. This high temperature limits the life of the filament to a few tens of hours because of evaporation of filament material. Brores41 shows that a brightness of about 1:5 105 A cm2 sr1 is obtained from such cathodes with a lifetime of 10–15 h. A pointed cathode can improve the brightness with a slight reduction in the operating temperature. This results from two effects, first the high surface field applied to prevent the limitation of the current by space charge and secondly the emission enhancement by the Schottky effect. Brightnesses of about 5 105 A cm2 sr1 have been reported by Brores41 at pressure of about 107 mbar. A source size of about 25 mm is expected for this type of emitter. One of the most important parameters of electron sources is the energy spread because this determines the contribution of the chromatic aberrations in the column to the final probe diameter. For thermionic emission, the energy distribution would be of the form e de e > 0 ð3:2Þ FðeÞde / e exp kT FðeÞ ¼ 0
e<0
ð3:3Þ
where e is the energy above the vacuum level, k is Boltzmann’s constant and T is the absolute temperature. The full width at half the maximum (FWHM) of this distribution is 2:9 kT, which for 3000 K is 0.27 eV as can be inferred in Figure 3.5. In low brightness operation, figures closer to the above theoretical values can be obtained. For high brightness systems, a much higher value of 4–5 eV is obtained40. This broadening is due to the Boersch effect42. 3.5.1.1 Lanthanum hexaboride (LaB6) Lanthanum hexaboride (LaB6), was recognised as an alternative high brightness electron source in 1951 by Lafferty43. However, its use was restricted because of its reactivity at high temperature. In 1969 Brores44 realised a gun in which the LaB6 cathode was heated by electron
SCANNING AUGER ELECTRON MICROSCOPY
61
Figure 3.5 Theoretical total energy distribution plots for various values of ðkT=dÞ. From Swanson and Crouser70 courtesy of The Journal of Applied Physics
bombardment. Further improvements to the design by Ahmed45 have allowed a reduction in the heater power. A brightness of about 5 106 A cm2 sr1 and an energy spread of about 3–4 eV are typical for a 1 mm diameter tip41. A vacuum of better than 106 mbar is also essential for the operation of such emitters. For pressures greater than 106 mbar, the oxygen content around the source causes its erosion and hence a change in the source shape which, in turn, results in a change in the position of the virtual source, both leading to a deterioration in the electron optical performance of the column. Shimizu et al.46 have devised a method to fabricate single crystal LaB6 in sharp needle shapes suitable for field emission. Zaima et al.47 reported an energy spread of about 1 eV for a (100) single crystal LaB6 tip operated in the TF mode (defined below) with a temperature between 1000 K and 1500 K. The current density was 3:9 105 A cm2 and the tip radius was estimated to be about 0.13 mm from Fowler–Nordheim plots48. This figure was in good agreement with measurements of the emitter radius estimated using an SEM. Thermionic sources have been used only in the early SAM instruments. However, LaB6 sources soon became widely used in commercial SAM instruments (VG Microscopes, Perkin Elmer, PHI, and JEOL).
62
3.5.2
INSTRUMENTATION
Field Electron Emitters
At the beginning of the development of SAM instruments, field electron emitters were being introduced as electron sources in commercial SEMs (e.g. the Vacuum Generators HB200 and the Welter and Coates SEM). Both instruments used a single crystal oriented W(310) field emitter operated at room temperature (frequently referred to colloquially as cold field emission), and were used with a gun-lens based on the design of Crewe et al.49. Routine use of these early instruments was difficult because of poor beam current stability. The reason for this was the lack of understanding of the operational requirements of field emitters as electron sources, e.g. low pressure ð< 1 1010 mbarÞ, cleanliness of the surrounding electrodes, the choice of emitter crystal orientation, and the mode of operating the emitter for a particular instrument. For example, in the transmission electron microscope a total emission current of a few mA is normally adequate for forming a probe with sufficient current, while in the SEM and other probes used in microanalysis (e.g. the electron microprobe analyser) or for lithography application, higher emission currents (>50 mA) were required depending on the specific application. The early work in SAM instrument developments were based around SEM columns that employ field emitters as the electron source. This choice meant that a beam current of about an order of magnitude higher than is normally used in the SEM mode was essential. This was a further constraint on cold field emitters because emission fluctuations increase with the higher emission currents needed50. Several studies have been carried out to establish reliable ways of operating field emitters at relatively high emission currents with improved lifetimes51–53. However, it soon became clear that these requirements are not easily achievable in instruments that are provided with fast entry air-locks, that are designed for multi-users and are used for a wide variety of applications and, perhaps, in different research or industrial environments. Even in modern SEMs, the use of cold field emitters requires the periodic cleaning of the emitter to re-establish a sufficient beam current. This is usually achieved with the aid of an electronic circuit that senses the total emission current and switches on the filament current to heat the tip if the emission current drops below a given value. However, the use of cold field emitters in the SEM is tolerated due to their lower energy spread in the beam leaving the source and because the acquisition of secondary electron images takes only a matter of seconds or a few minutes at most, during which the beam
SCANNING AUGER ELECTRON MICROSCOPY
63
current will not change dramatically. This is different from SAM imaging that can last for many minutes or even a few hours. In the late 1970s, Swanson and co-workers were able to measure the characteristics of a tungsten field emission based electron source which has the work function of the (100) plane lowered from 4.6 eV to 2.8 eV by the addition of zirconium and oxygen54. Further work from the same group established the operational conditions of this source in various environments. The Zr/W(100) emitter, now known as the Schottky field emitter (SFE), soon became the industry standard for SEMs, TEMs, Auger and lithography instruments. It is instructive here to give some introductory remarks on field electron emitters and their operational requirements because it is most likely that most current SEMs and SAM instruments will feature electron columns employing Schottky emitters. 3.5.2.1 The characteristics and operational requirements of field emitter electron sources The physics of field emitters. When a large electric field of the order of 2–5 107 V cm1 is applied to a metal surface, the potential step barrier is changed into a barrier of finite width through which electrons can tunnel into the vacuum with a high current density. This effect is known as field electron emission. Complete discussion of the phenomena can be found in several articles55–58. Figure 3.6 shows the energy diagram of
Figure 3.6 Energy diagram for a free electron metal electrode with an electric field applied normal to its surface. (a) Potential energy due to the external electric field. (b) Resultant potential barrier
64
INSTRUMENTATION
electrons in a metal and the effects of an applied electric field. Fowler and Nordheim48 derived an expression relating the field emission current density J (A cm2), to the electric field at the surface E (V cm1), and the work function f (eV). They assumed a triangular potential distribution and neglected the outside image force acting on the emitted electrons. If this image force is taken into consideration and a linear applied macroscopic electric field is assumed, their expression can be written as55: " ! # AE2 f3=2 ðyÞ ð3:4Þ J ¼ 2 exp B ft ðyÞ E where A ¼ 1:54 106 B ¼ 6:87 107 y ¼ 3:79 104 E1=2 =f tðyÞ ¼ nðyÞ 1:5yd½nðyÞ =dy
ð3:5Þ
Over the useful operating ranges of field emitters, the slowly varying functions n(y) and t(y) have the following approximate values59 t2 ðyÞ ¼ 1:1
and nðyÞ ¼ 0:95 y2 :
ð3:6Þ
It is useful to note that, by plotting the IðVÞ characteristics of the emitter as shown in Figure 3.7, one should obtain a straight line that reflects the occurrence of field emission behavior. This plot is known as the Fowler–Nordheim plot. Field emitter cathodes are generally made of a single crystal wire of diameter about 125 mm welded to a heater hairpin and electropolished to a sharp point. This is illustrated in Figure 3.8(a). Methods of fabricating field emitters are described by Good55 and Dyke56. Due to its high tensile strength and thus its ability to withstand the applied electrostatic stresses, and its high melting point (3670 K), single crystal tungsten wires have been used as electron sources in field emission probe forming systems. The emitter radius typically ranges from 0.01 to 1.0 mm, depending on the particular application of the emitter. However, the actual source size is much smaller than this. Crewe et al.49 have estimated the source diameter to be about 2 nm, and Cleaver60 suggests a diameter of 1.5 nm. Wiesner61 assumed different tip shapes and diameters and included the contributions of spherical aberration, energy spread E, and diffraction for the calculation of the source size. His
SCANNING AUGER ELECTRON MICROSCOPY
65
Figure 3.7 A typical Fowler–Nordheim plot of the current versus applied voltage for field emission. It is the total current leaving the tip and Ip is the current in the beam reaching the sample. From Swanson and Martin54 courtesy of The Journal of Applied Physics
results suggest a source diameter ranging from 4 to 10 nm, assuming an energy spread E ¼ 0:3 eV. He found an optimum source size for a tip diameter of 0.6 mm operated with an extraction potential of 4 kV. Note that any extractor voltage quoted throughout this discussion is that needed to establish an electric field at the tip of the emitter of greater than 2 107 V cm1 . It is obvious in this respect that the distance
66
INSTRUMENTATION
Figure 3.8 (a) An image depicting the shape of the etched tungsten single crystal and the position of the zirconium reservoir on the shank. (b) SEM micrograph of a Zr/O/W(100) built-up emitter showing the polyhedral shape of the emitter tip
between the emitter and the extractor is an important consideration should only an extractor voltage be stated. Experimental measurements of the source size in the case of cold field emitters agree well with Wiesner’s predictions62. Stringent vacuum conditions (<1010 mbar) are, however, necessary for stable emission as will be seen later. Following Young63, the FWHM of the total energy distribution ðEÞ for cold field emission is given by: E ¼ 6:76 109 Ef1=2 tðy2 Þ
ð3:7Þ
where tðyÞ is given by Equation (3.5). For typical applied fields (2–5 107 V cm1), this ranges between 0.063 eV and 0.155 eV. At relatively low current densities, measured values of 0.14 –0.22 eV, in close agreement with the theory, have been reported64–66. At high current densities, the Boersch effect42 (i.e. Coulomb interaction of the electrons within the emitting area) is known to play an important role in broadening the energy distribution. Cumming and Smith67 have measured the energy spread from W(111) to be 3.2 eV at 2 mA emission current. In the thermally assisted field emission mode (the TF mode), where the emitter is operated at a temperature of about 1200 K to 1500 K in order to desorb any contaminants that may be accumulated during
SCANNING AUGER ELECTRON MICROSCOPY
67
operation and which alter the local work function as with cold field emission, the energy spread is usually higher than either for cold field emission or thermionic emission68. Veneklasen et al.69 have assumed an energy spread of 2.5 eV at 100 mA emission current for an emitter operated at 1200 K. Such high values in this mode can be explained as follows. Following Swanson and Crouser70, the total energy distribution J(d) assuming a free-electron model is given by: JðdÞ ¼ J
ed=d dð1 þ ed=kT Þ
ð3:8Þ
with d ¼ 1:44E where d is the electron energy relative to the Fermi level, J is given by Equation (3.4). Equation (3.8) breaks down for kT > 1 and is regarded as unreliable for kT > 0:7. Figure 3.5 shows J(d) as a function of d/d for various values of kT=d70. At low temperatures ðkT=d 1Þ the energy distribution is narrow, as most emitted electrons are a result of ‘cold’ field emission. As the temperature is raised, the potential ‘barrier’ will be depressed below the vacuum level allowing more electrons to be emitted thermionically. This condition occurs at kT=d ¼ 0:5, which for tungsten, assuming E ¼ 4 107 V cm1 and f ¼ 4:5 eV, corresponds to a temperature of 1400 K. The FWHM in this case will be about 3–4 eV. As the temperature is raised further, the majority of electrons will be thermionically emitted and the energy distribution will become narrower. Practical aspects of operating field emitters in probe forming systems. A method of reducing the angular spread of the field emitted electrons (i.e. angularly confining the emission) and selectively lowering the work function of W(100) was developed by Swanson and coworkers54,70 in what is now has come to be known as the Schottky field emitter (SFE) cathode. The technique involves the deposition of a mixed zirconium and oxygen layer on the emitter shank which acts as a reservoir for subsequent diffusion along the shank to the emitting region. The mixed oxide at the tip was found to reduce the W(100) work function from a value of 4.6 eV to 2.6–2.8 eV. Furthermore, the oxide confines the electron emission to about 0.1–0.15 sr. Extraction voltages, Ve , of 3–8 kV giving total emission in excess of 100 mA were obtained. The energy spread at a temperature of 1350–1450 K was found to be 1 eV. In a detailed study of this cathode, Swanson and Martin54 suggest an emitter temperature of about 1700–1800 K for long
68
INSTRUMENTATION
term stability and for an energy spread of the order of 0.6–0.7 eV. A lifetime exceeding 5000 h at a pressure of <108 mbar and a temperature of 1350–1450 K is also reported by the same authors. However, it is now accepted that the appropriate temperature to use for prolonged and stable emission is in the range 1700–1800 K. One advantage of this coated emitter is the resulting low work function of the (100) plane at the end of the tip that allows the use of emitters with relatively larger radii than those that are uncoated. The use of a larger radius reduces the probability of emitter destruction in the event of an electrical breakdown in the column. The experience at York and elsewhere has found that an emitter radius of about 0.4–0.6 mm operated at about 1750 K with adequate zirconium on the emitter shank can last for several years of daily operation with beam current stability of <1 %. The SFE is today the more accepted emitter for use in most electron microscopes, with the exception of the TEM and some SEMs that are used for higher spatial resolutions than are otherwise obtainable. However, the stability obtained from the SFEs, particularly for beam currents in excess of 1 nA, makes their use attractive. Figure 3.8(a) shows a typical SFE depicting the profile of the etched single crystal and the position of the zirconium reservoir on the emitter shank. Figure 3.8(b) is an SEM image of the end-form of a typical SFE and Figure 3.9(a) shows the corresponding emission pattern observed in a field electron emission microscope. Note that the end form of the emitter seen in Figure 3.8(b) displays clear crystal facetting of the various planes of the tungsten single crystal wire. The flat at the tip of the emitter is the
Figure 3.9 Field electron micrographs of the emission patterns from Zr/O/W(100). (a) The case of a pattern from a fully processed emitter. (b) The case when the emitter has lost its end-form faceting that gives rise to the emission pattern shown in (a). In this case while the total emission current may be similar to the clean case, the on axis current is much lower and is unstable. (Note that the dark spot in the middle of the pattern is that for a Faraday cup for measuring the on axis current density)
SCANNING AUGER ELECTRON MICROSCOPY
69
origin of the emitted electrons used to form the probe. If, for some reason (e.g. faults in tip manufacture or problems inside the SAM column), the chemical composition or the shape of the end form change from that shown in Figure 3.8(b), the emission pattern may take a form such as that depicted in Figure 3.9(b), that shows a series of ring patterns. The emission current in the central spot of this pattern (used to form the beam) will then show fluctuations of about 10 % whilst the total emission current may show stability of about 2–3 %. To restore current stability (i.e. where emission returns to a single central spot), the user may need to subject the emitter to a high temperature treatment (normally >2000 K) in the presence of a moderate field of about half that used for operating the emitter. This is then followed by a prolonged period of processing under the correct field for emission and temperature in the range 1750–1800 K. Although the SFE emitter behaves in a similar way to conventional thermionic cathodes, great care is needed for reliable operation. The following procedure was found by El Gomati and co-workers at the University of York both to reduce the probability of cathode destruction due to excessive emitted current at the early stages of operation and to maintain the emitter shape shown in Figure 3.8(b) which is a prerequisite for the many advantages displayed by this type of cathode. Following a bakeout operation to the column or after the replacement or installation of a new emitter, first, an extractor voltage is set to about 1.5–2 kV and the emitter is flashed to a temperature of about 1750– 1850 K for a period of 20–30 s to desorb the loosely bound contaminants on the emitter’s end form accumulated due to exposure to the atmosphere. The filament current is then set to give a temperature of about 1400 K and is subsequently increased slowly and smoothly to achieve a value of 1700–1750 K over a period of 15–30 min. At the end of this period, the emission current, Ie , rises to about 5–10 mA. It is recommended that Ie should not exceed this value at the early stages of activation in order to reduce the probability of arcs initiated by the emitted current. This mechanism54, is responsible for the destruction of the emitter. The extractor voltage of the given emitter is then slowly increased until its value, as specified in the data sheet issued by the manufacturer, is achieved in about 30–60 min. A rate of increase of the order of 10 V s1 is found to be safe to use. It is important that the user operates the column using the manufacturer’s recommended values. This is particularly necessary in order to obtain a small probe diameter (i.e. for high resolution applications). As
70
INSTRUMENTATION
Figure 3.10 Diagrams for electron emission from a free electron metal together with the respective energy distributions of the emitted electrons. Thermionic emission: (a) without applied field; (b) in the presence of the applied field (Schottky emission). (c) Field emission (FE). Permission of Academic Press and courtesy of Vu Thien Binh et al132
can be seen from Figure 3.10, operating the emitter at a different temperature could lead to a different emission regime (Schottky versus thermally assisted field emission). The consequence of this is reflected in the resulting energy spread, which increases the chromatic aberration component of the probe. This is important because most columns are chromatic aberration limited as will be seen in the following section.
SCANNING AUGER ELECTRON MICROSCOPY
3.6 3.6.1
71
ELECTRON COLUMNS Introduction
Electron columns are the part of any microprobe instrument that has the functions of focusing, accelerating or retarding and deflecting the electrons after they leave the source, be this of the thermionic or field emission type, i.e. it is the probe forming part of the instrument. This component contains the electron lenses, deflector plates and stigmator corrector electrodes. There are basically two types of electron columns employed in SAM: magnetic and electrostatic. Traditionally, magnetic columns have been used in electron microscopes in general as these offer better focusing properties than electrostatic lenses due to their smaller aberration coefficients. However, the comparison is not straightforward and there are specific situations where one type will be preferred to another. For example, electrostatic lenses suffer no image rotation, consume neglible power and are light in weight. Magnetic lenses on the other hand require no high voltage insulation and need no stable high voltages. In the early days of SAM developments, most of the electrostatic small diameter columns were developed by academic groups51,71, while most commercial columns featured a magnetic column. In what follows, an overview of the major components of a typical electron column as used in SAM instruments will be given. The subject of electron columns, however, is very wide and the reader is directed to books and review articles that cover the subject in greater detail17,58,72,73.
3.6.2
Electrostatic and Magnetic Columns
The general function of the column is to attempt to provide faithful point to point imaging of a small object, normally the emitting area of the electron source to the probe at the sample. These electrons leave the source with an angular spread and hence the column requirement of refocusing them into a small spot. The performance of the column’s lens (or combination of lenses), however, deviates from the ideal due to two kinds of aberrations: mechanical and electronic, both acting to deform the shape of the final electron spot. Mechanical aberration is due to imperfections in the different lens shapes and the material used in their manufacture, which result in astigmatism, ellipticity and coma. Electronic aberration is due to the charge and speed of the charged particles used (electrons in the present case), which cause chromatic and space charge
72
INSTRUMENTATION
effects. Spherical aberration, on the other hand, depends on the form of the lenses used and the convergence in the beam that they cause. The contributions to the final spot size are summarized in Equation (3.1) in the previous section. For field electron emitters and Schottky emitters the diameter of the emitting region on the source is also referred to as the virtual source size, do , because it is the diameter of the region within the emitter from which the electrons appear to originate. The value of do has been estimated to be of the order of 25–30 nm for Schottky emitters74 and for cold field emitters it is estimated to be of the order of 5–10 nm. Electrostatic columns were used in SAM instruments developed in universities for several reasons: their compatibility with UHV technology, their small size that enables the entire column to be fitted inside the electron energy analyser (particularly the CMA type) and that no magnetic fields are present to interfere with the analysis of the very low energy secondary electrons that are backscattered from the surface of the sample under investigation. In addition, it was found that the high beam currents required for SAM applications at long working distances, as the case normally is in SAMs, can be achieved in beam diameters only slightly worse than those produced by magnetic columns. Figure 3.11
Figure 3.11 A schematic diagram of an electrostatic column developed at York. It consists of a pre-aligned Schottky field electron emitter and extractor, gun-lens and low energy electron detector. It is 45 mm in diameter by 91 mm long
SCANNING AUGER ELECTRON MICROSCOPY
73
Figure 3.12 An image of the pre-aligned Schottky emitter and extractor developed by YPS Ltd[1] for use in electron columns. The module measures 20 20 mm
shows, as an example of the electrostatic columns used in SAMs, one of several such columns developed at the University of York. The column measures only 91 mm in total length and 45 mm in diameter and fits inside a single pass CMA. It contains the Schottky electron source, two lenses (gun/condenser and objective type), an in-lens low energy electron detector as used in the cathode lens mode where the incident beam energy can continuously be varied in the range 1–10 000 eV75 and all the deflectors and stigmator corrector electrodes. Another important feature of this column is the pre-aligned nature of all its components, including the Schottky emitter which is depicted in Figure 3.12. Although the development of small electrostatic columns is not currently being pursued in SAM instruments any more, the use of such small columns is still rather attractive in electron beam lithography applications, particularly in maskless type instruments. Mankos et al.76 proposed an instrument featuring an array of small columns of about 20 mm diameter operating in parallel. While such an instrument has not been offered in commercial instruments yet, its realisation is still being actively76 pursued by a number of research groups worldwide. [1]
See www.yps-ltd.com
74
INSTRUMENTATION
The coefficients CS and CC of magnetic lenses are far superior to those for electrostatic lenses and it is because of this that most high resolution electron microscopes (for spot diameters less than 10 nm) employ one or two magnetic lenses to form the focused electron beam. Further, as described previously in this chapter, Auger electron spectroscopy and microscopy require a UHV environment to be carried out, a process that requires baking the instrument to temperatures exceeding 100 C. This requirement was technically challenging for the use of SEM columns employing magnetic lenses in the early stages of developing Auger electron microscopy because all the components used were not compatible with UHV technology. Recently, the development of Schottky field electron emitters as the most widely used electron source in electron microscopy, has finally persuaded most instrument manufacturers to develop magnetic lenses for use in UHV SEM instruments. These columns are also used in modern commercial SAMs (Thermo VG Scientific, Physical Electronics USA, Japan Electron Optics Ltd and Omicron GmbH). Figure 3.13 depicts a schematic of a magnetic column of the type used in SAM instruments. All the above manufacturers offer SAM columns with probe diameters of less than 10 nm as used in the SAM mode, as indicated in Table 3.2.
3.6.3
Astigmatism
The imperfections in the mechanical shapes of the lenses or the materials used in their construction normally leads to a form of aberration referred to as astigmatism (i.e. effects due to a lack of cylindrical symmetry). This defect refers to the fact that the focusing power of a lens will vary for charged particles (electrons in our case) travelling within different planes with respect to the axes of the asymmetry. This defect is similar to that which occurs in the human eye, when the lens shape departs from being round. In electrostatic lenses imperfections with regard to the circularity of the lens apertures are the main cause of astigmatism. In the case of a magnetic electron lens, the lack of axial symmetry of the magnetic field that has the focusing action is normally the result of mechanical imperfections in the shape of the pole pieces of the lens and can also be due to magnetic inhomogeneity (i.e. variation in permeability) within the pole-piece material. If the axis of the column is taken to be the z-direction, differences in the focusing power of the lenses in the x–z and y–z planes of a column will cause the focus of a single point object to appear as a line focus. The
SCANNING AUGER ELECTRON MICROSCOPY
75
Figure 3.13 A schematic diagram of a magnetic electron column inside a CMA. Courtesy of Physical Electronics USA
Table 3.2 A comparison of the performance of several commercial electron columns in SAMs
Manufacturer and model JEOL JAMP 7900F OMICRON MULTISCAN LAB PHI 2000 Thermo VG Microlab 350
SEM resolution (nm) 3 3 6 8
Auger resolution (nm) 8 6–8 8 <12
Beam voltage (keV) 30 15 10 30
76
INSTRUMENTATION
correction of such an aberration is achieved via the insertion of a weak lens on the column’s optical axis, whose focusing power (in the two perpendicular planes x–z and y–z) and orientation can be adjusted. This lens is called the stigmator77–79. In magnetic columns practical stigmators take the form of two quadrupole lenses, each consisting of four electromagnets with similar poles facing each other. In the case of electrostatic stigmators, four plates carrying similar voltages are arranged with pairs facing each other. The two quadrupoles are oriented at 45 to each other to avoid mechanically rotating them. Connecting a voltage Va to the first quadrupole and Vb on the second results in a field of magnitude and direction controlled by the relative sizes of the two voltages. Similarly, in magnetic octopole stigmators a similar set-up can be achieved with eight saddle windings. By varying the relative strengths of the currents Ia and Ib through the two quadrupoles, a quadrupole field of controllable strength and orientation can be generated. Both types of stigmator require the use of high stability power supplies.
3.7 3.7.1
MECHANICAL POSITIONING AND STABILITY Introduction
The sample positioning and orientation of the sample in an Auger microscope has many similarities with the same task in a conventional electron microscope. The precision required of movements and the stability of sample position are similar but the task is made more difficult because of the need for UHV compatibility of the components and the bearing surfaces of the manipulator. This extra difficulty is offset to some extent in comparison with the sample handling in a transmission electron microscope because there is usually somewhat more space around the sample in a SAM. This section outlines some of the requirements for a SAM sample manipulator and illustrates one design.
3.7.2
Sample Manipulators
A practical specification for the sample stage is that it should be capable of locating an area of interest on the sample surface and allowing data acquisition for, say, 10 h whilst moving less than one-tenth of the spatial resolution obtainable in the instrument. Since SAM instruments can
SCANNING AUGER ELECTRON MICROSCOPY
77
have spatial resolutions of the order of 5 nm this means there is a stringent criterion that having located the area of interest the sample will not drift in position at a rate greater than about 0.05 nm per hour if realisation of this resolution is to be achieved. Changes in the temperature of the laboratory or relief of stresses stored in mechanical motions coupled to the sample stage can easily cause drift rates greater than this. As well as the UHV compatibility of the materials making up the manipulator other important matters are the size of any differential thermal expansion effects, the magnetic properties of the materials and their surroundings (hopefully there are no magnetic materials in the manipulator or surrounding parts) and frictional effects in mechanical drives and their bearings. Differential thermal expansion effects can be significant both internal to the manipulator assembly itself and between the manipulator and the vacuum chamber upon which it is mounted. The thermal conductivity of stainless steel is not very high and it is possible for temperature gradients to exist around the chamber and between the chamber wall and its contents. The former can cause small changes in the shape of the chamber to which the electron column and the manipulator are usually mounted on separate flanges. The effect of changes in the temperature of the air temperature in the laboratory then cause the electron beam to strike a different place on the sample surface – beware of blasts of air from any air conditioning or heaters in the laboratory that may be thermostatically controlled. An ingenious solution to this problem was described by Todd et al.80 who mounted the sample manipulator rigidly onto the framework of a CMA that contained a coaxial electron column. The sample could be moved using flexible drives (Bowden cables) driven by rotary feedthroughs. Because analyser, column and manipulator were all mounted from a single flange on the UHV wall differential thermal effects were almost completely eliminated. This general principle is possible for all instruments with CMA energy analysers but is not possible when a CHA is used because the input transfer lens cannot coincide with the electron column. All the constraints on the choice of materials for construction of UHV compatible components are as described earlier in this chapter. The bearings for any translations or rotations are a potential source of problems because almost all normal lubricants are oils with high vapor pressures at both room and bakeout temperatures that will cause contamination of the sample surface as well as any semiconducting materials used as detectors. If no lubricants are used then the surfaces of the moving and fixed parts of any bearing tend to diffuse
78
INSTRUMENTATION
into each other causing cold-welding of the materials and loss of the motion that is needed. Very small quantities of the lubricants Dycronite (tungsten disulfide) or Rocol (molybenum disulfide) in a low vapor pressure solvent can be used at critical places. If the frictional forces at rotating or sliding contacts of metals are allowed to rise then stage stability can be degraded because energy can be stored as stress in any drives causing these motions. Once the drives have reached their desired settings this energy can cause very slow motions to continue as the elastic energy in a torque or tension is released. The source of friction has to be identified and the effects reduced for stage specifications to be recovered. An example of a sample manipulator assembly by Physical Electronics USA is shown in Figure 3.14. This manipulator has five degrees of freedom: The sample can be translated parallel to its surface by 25 mm in mutually perpendicular X and Y directions. The sample can be translated by 20 mm normal to its surface. The sample can be tilted about an axis in its surface in the range 0–60 . The sample can be rotated continuously through the full 360 about its normal. These motions are driven by internal UHV electric motors thus obviating the need for UHV motion feedthroughs and allowing remote electronic control of all the motions. An important feature of the use of motor driven motions for a sample stage are that the sample position and orientation can be computer controlled. This allows the controlling software to set absolute or relative sample positions, to save and recall previous positions of interest to the analyst and even maintain the position of the sample relative to the electron beam should temperature or other changes cause a movement. Because of the long times needed to bake the vacuum system after it has been allowed to reach atmospheric pressure it is usually very important to have a sample introduction mechanism that allows specimen insertion or removal without requiring such admission of air. This can be done by having an appendage chamber with small volume attached to the main chamber via a valve and equipped with separate means of pumping. A mechanical transfer mechanism for the sample then passes through the open valve when the pressure in the small
SCANNING AUGER ELECTRON MICROSCOPY
79
Figure 3.14 The 15-680 sample manipulator from Physical Electronics USA. This manipulator has five degrees of freedom and is operated by internal UHV compatible electric motors under computer control. The sample is mounted on a holder that is inserted into the stage at the position indicated by the arrow where it is retained by a clip. Courtesy of Dennis Paul and Physical Electronics USA
80
INSTRUMENTATION
chamber is low. The valve is then closed and the small chamber allowed to rise to atmospheric pressure. A sample (or samples) can then be removed or introduced, the small chamber closed off and pumped to a low pressure and the transfer mechanism used again. This can save a good deal of valuable instrument time compared with opening the whole system to air. A further convenience can be to have a carrousel inside the UHV system that acts as a temporary storage device in UHV for many samples. The transfer mechanism can be organised to move samples between the carrousel and the sample stage as needed – a quick and convenient operation. Having positioned the sample so that the region of interest on the surface can be studied and having provided adequate stability in the mechanics of sample location there remain related issues as to the stability of the electronic systems locating the beam on the sample and energy analysing the emitted electrons. This topic is discussed briefly in the last section of this chapter.
3.8 3.8.1
ENERGY ANALYSERS Introduction
The frequent aspiration to reduce the frame scan time in order to speed up measurements or reduce any electron beam damage of the sample leads to an interest in the properties of the electron energy analyser. For instance, to what extent can the solid angle of acceptance of the analyser be increased so as to increase the electron counting rate? For a given analyser design, increasing the size of the entrance aperture (which usually determines the solid angle of acceptance) the energy resolution of the analysis is degraded. This may be acceptable up to the point where neighboring peaks in the electron spectrum are caused to overlap due to the degraded energy resolution. This may not be acceptable when the peaks that now overlap contain information that the analyst is seeking. Conversely, if the energy resolution is made unnecessarily high then electron counts may be reduced and frame scan times increased unacceptably when detailed information about peak shapes or chemical shifts is not sought. These considerations are amplified below. The electron energy analyser (or strictly, the electron velocity analyser) is at the center of the construction and operation of a scanning Auger microscope. It accepts a fraction of the electrons scattered from
SCANNING AUGER ELECTRON MICROSCOPY
81
the point where the beam strikes the sample, allows the detection of only those electrons in a selected range of kinetic energies and rejects all others without causing any undesirable secondary effects. In principle the analyser can be of magnetic or electrostatic type (or even a combination of both) but electrostatic types have been preferred for Auger electron spectroscopy and microscopy. This is because the useful Auger electron spectrum extends to quite low kinetic energies (a few tens of eV) and any magnetic fields have to be very carefully controlled or even nearly eliminated if these electrons are not to have their trajectories severely disturbed in the region outside the analyser. Screening of electrostatic fields from components of a spectrometer is simple and requires only a grounded conducting enclosure around the source of disturbance. Screening of magnetic fields is possible with high magnetic permeability shields but it is more difficult to avoid the disturbing effects of stray magnetic fields leaking through the holes in the shield that are essential for entry and exit of the electrons whose velocity is to be analysed and that are essential for pumping the volume where the analyser is situated. Two types of electrostatic analyser have been in widespread use – the cylindrical mirror analyser (CMA) and the concentric hemispherical analyser (CHA). These two types are described below together with a more recent type – the hyperbolic field analyser (HFA). Auger electron spectroscopy started with the retarding field analyser (RFA)81 but this was rapidly displaced by the CMA and CHA because of signal to noise considerations82,83. The RFA detects all electrons with kinetic energies above a controllable threshold – it is a high pass filter. The Auger signals are recognised by differentiating the spectrum with respect to kinetic energy and have a size determined by the gradients at the sides of the peaks in the spectrum. This is closely related to the peak height for most peaks because the peak widths are roughly the same for most Auger features. However, the noise in the measurement of these gradients is determined by the fluctuations in the total number of electrons collected. Since all electrons above the kinetic energy of a peak are collected the noise in the measurement is larger than it would be if the analyser passed to its detector only electrons with kinetic energies in a narrow range around the peak. This behavior is provided by band pass filters, i.e. analysers that are dispersive and detect electrons over a narrow controllable energy range. The CMA and CHA are analysers of this type. It is convenient to define the energy resolution of a dispersive analyser in two ways that reflect practical modes of operation. By analogy with
82
INSTRUMENTATION
other types of spectroscopy the absolute resolution is defined as the full width at half maximum (FWHM), E, of a chosen measured peak and the relative resolution, R, is defined as the ratio of E to the kinetic energy Eo of the peak maximum. Thus R¼
E Eo
ð3:9Þ
The relative resolution is often expressed as a resolving power, r, by using the reciprocal of R. Thus, r¼
Eo E
ð3:10Þ
In conventional AES it is common to operate the analyser at constant resolving power. This is helpful as the shape of energy distribution in the spectrum falls with increasing kinetic energy and so the use of constant resolving power helps to ‘flatten’ out the shape of the spectrum because the energy window widens as the energy increases. This would be a disadvantage if it were not that the Auger peaks themselves have intrinsic widths of a few electron volts and so are relatively wide features. An average value of E of 1–2 eV over the entire range from 50 to 2000 eV with a resolving power of about 400 is a practical choice for most spectroscopy.
3.8.2
Cylindrical Mirror Analysers
Auger electron spectroscopy owes much of its popularity to the use of the CMA for the rapid collection of moderately high resolution energy spectra. This is because of the ease of construction and operation of this type of analyser and for its high signal transmission due to its cylindrical symmetry (that gives rise to a high sensitivity) as well as its modestly high energy resolution in comparison with many other analysers used in the early days of AES. There are several authors who independently paved the way for the development of this analyser as a charged particle detector84–89. However, it was Palmberg and co-workers82 who first used the CMA for the detection of Auger electron features (peaks) in the secondary electron spectrum that made it one of the most widely used analysers in electron spectroscopy for surface analysis. This picture has been altered in recent years with extensive work on the CHA as a higher
SCANNING AUGER ELECTRON MICROSCOPY
83
Figure 3.15 The electron trajectories in a CMA. r2 and r1 are the radii of the outer and inner cylinders, respectively. This inner cylinder is at ground potential and the outer cylinder is provided with a potential V with respect to ground. The sample under investigation is placed at S, which also represents the position of the source of electrons in this diagram. Electrons emitted within an angular range a travel through a mesh-covered aperture and are refocused at F where the distance between S and F is denoted by L
resolution electron energy analyser of more flexible electron optical design. The electron optical principles of the CMA are relatively straightforward. The design involves the use of two co-axial cylinders of radii, r1 and r2 (with r2 > r1 ), whereby the outer cylinder is placed at a voltage (V with respect to ground) while the inner cylinder is earthed; this configuration forms a type of condenser device. As shown in Figure 3.15, electrons leaving a surface at the analyser’s focal point S with energy E, have straight line trajectories in the field free space within the inner cylinder. These then pass through an annular aperture in the wall of the inner cylinder, covered with a high transparency metal mesh, to be deflected by a repulsive field from the outer cylinder causing them to pass through a second mesh-covered annular aperture near the output of the analyser where they focus at F. In this configuration, the field between the two cylinders causes the potential at a distance r (where r2 > r > r1 ) to behave as lnðr/r1 Þ. The focal condition of the analyser then becomes: E¼
eK0 V lnðr2 =r1 Þ
ð3:11Þ
where e is the electron charge and K0 ¼ L/r1 with L the distance between S and F. The CMA analyser, however, is best operated under the second order focusing condition in order to increase its collection efficiency. This becomes clear by examining the relationship between K0
84
INSTRUMENTATION
and the angle of electron emission a from the sample normal. Following Sar-El88 and Seah90, it was found that this occurs when the angle a has a value of 42 18.50 . As pointed out by Hafner et al.85, this configuration is very useful indeed because it allows electrons of a wide range of a values to focus at F for a fixed value of L given by: L ¼ 6:13R1
ð3:12Þ
It is found that, under second order focusing, it is possible to choose a value of K0 that allows a reasonably large range of electron emission angles from the sample to be chosen, a desirable effect that increases the analyser’s sensitivity. However, for small semi-angles a, either side of the angle a there is a range of electron energies, E, that enter the dispersive region. This energy spread will cause a shift in the distance L between the focal points of the analyser F and S, by an amount L. Because the position of the analyser’s detector is normally fixed at F for ease of construction and operation, this geometry defines the positional sensitivity of the sample in this class of analysers – the depth of field L. Following Hafner et al.85 and Seah90, this shift is given by: L ¼ 5:6r1 ðE=EÞ 15:4r1 ðaÞ3 þ 10:3ðE=EÞa
ð3:13Þ
This relationship is significant in the determination of the positioning of the sample at the focus S in order to detect the electrons at the energy specified by Equation (3.11) above. In electron optical terms, the quantity L is the depth of focus of the CMA. As an example, consider an analyser having r1 ¼ 15 mm. A shift of the sample position from S by 1 mm will result in an apparent energy shift of 1000 eV electrons by about 12 eV. This energy shift is in addition to loss of electron signal at the detector. In other words, misplacing the sample away from its correct electron optical position will cause a proportional percentage change in position of the resulting Auger electron peak. This property is the most serious disadvantage of the CMA and care has to be taken in setting up the analyser before any data collection. A procedure for correct setting up the sample position will be outlined below. The relationship above can also be used to estimate the theoretical energy resolution of the CMA. For small a and for very small L this reduces to: E=E ¼ 2:255ðaÞ3 ;
ð3:14Þ
which can be further improved with use of an annular aperture at the CMA exit, as will be shown below.
SCANNING AUGER ELECTRON MICROSCOPY
85
Assuming isotropic electron emission from the sample, the fraction of electrons that enter the analyser is defined by 2sina a. Thus, for an angle a of 42 18.50 this gives a value for the fraction T of emitted electrons transmitted through the analyser and reaching the detector as T ¼ 1:346a:
ð3:15Þ
Many of the commercial CMA designs have an acceptance angle a ¼ 5 or 6 , which theoretically gives a transmission factor T of about 12 % or 14 % and an energy resolution of 0.37 % or 0.64 %, respectively. It is easy to see therefore that the transmission and the energy resolution of this analyser are strong functions of the acceptance semiangle a. Further inspection of the CMA’s electron optical properties shows that this instrument is limited by spherical aberration, and hence there exists a circle of least confusion on the analyser’s axis short of the image plane. This occurs at a radius of 5.28 r1 ðaÞ2 and leads to a reduction of the coefficient ðaÞ3 by a factor of 4 in the expressions describing the depth of focus and the energy resolution90. By placing a ring slit of width W at this position, Sar-El88 shows that the energy resolution improves to E=E ¼ 0:18W=r1 þ 5:5=4ðaÞ3
ð3:16Þ
In the above case with a semi-angle of 6 , an inner cylinder of 25 mm radius and a slit width of 125 mm, this gives an improved resolution of about 0.25 %. Most CMAs in SAM instruments employ an annular aperture at the analyser’s exit (i.e at r0 in Figure 3.15) in order to improve the energy resolution. Hence, the expression above should be used to estimate the energy resolution of such instruments. As the CMA’s energy window is proportional to the analysis energy, E, it is important to note here that the shapes of any measured energy spectra from a CMA are ENðEÞ versus E and not NðEÞ versus E, where the latter is the energy distribution of the emitted electrons. This is another useful property of this type of analyser, which improves the signal to noise ratio of the high energy, weak intensity, Auger peaks; a useful property when imaging. As described below, a CHA can be used to obtain a spectrum proportional to NðEÞ itself. An important advantage of the CMA, that has not been fully exploited, derives from its collection geometry that spans an azimuthal angle of 2p. Physical Electronics have used a detector which is divided
86
INSTRUMENTATION
Figure 3.16 Diagram of the CMA equipped with a six-channel electron detector, after Gelsthorpe and El Gomati92. An electrostatic lens at the output of the CMA is used to linearise the trajectories of the electrons. Courtesy of A. Gelsthorpe, D. Phil. Thesis, University of York, 2001
into several annuli to improve the obtained signal to noise ratio using appropriate methods of summing the signals from the annuli in the data acquisition software. However, although this in principle can be used to span a range of energies, to the authors’ knowledge this has not been used for this mode of operation. El Gomati and co-workers divided the detector annulus into six segments/channels spanning 360 as shown in Figure 3.16 that gives parallel detection using six angles. This arrangement collects separately electrons emitted from different azimuthal angles and hence can be used to identify and later correct for topographical artefacts in the measured signal91 as shown in Chapter 4. In order to avoid any nonlinearity in the emitted electron trajectories, Gelsthorpe and El Gomati92 used a small lens at the output of the analyser which is electrically connected to the outer cylinder’s voltage. An electron trajectory simulation of low and high energy electrons that exit the output aperture of the CMA are shown in Figure 3.17. This multichannel detector is particularly useful for a spectrometer involving the use of a coaxial electron column, as featured by most CMAs. Another important property of any electron energy analyser is its field of view (FOV), i.e. the maximum area from which the analyser can collect electrons. This is normally defined as the diameter of the area on a homogeneous surface from which the measured signal changes by less
Figure 3.17 A simulation of the electron trajectories of low and high energy electrons as they leave the output aperture of the CMA before being collected by the six-channel detector. Courtesy of A. Gelsthorpe, D. Phil. Thesis, University of York, 2001
88
INSTRUMENTATION
Figure 3.18 Possible sources of internal scattering in a single pass CMA. (a) Electrons emitted within the range a with the correct kinetic energy (corresponding to the pass energy of the analyser) pass through the input aperture and are focused at the detector as indicated in the bottom half of the diagram. Electrons emitted with higher energies than the pass energy of the analyser, as shown in the upper half of the diagram, strike the inner surfaces of the outer cylinder where they generate secondary and back-scattered electrons. Some of the latter electrons may have energies and directions of emission that allow them to reach the electron detector and contribute to the total electron count reaching the external electronics. (b) Simulation of electron trajectories showing the effect of the field trimmers in the generation of internal scattering in a single pass CMA. 1000 eV electrons leaving the sample are shown impinging upon the outer cylinder of a CMA operated with a pass energy of 500 eV. Secondary electrons are simulated with kinetic energies randomly distributed up to the impingement energy. Low energy secondaries are attracted to and reach the inner cylinder but the majority of the higher energy secondaries strike the surfaces of the field trimmers where they generate tertiary electrons that find their way through the exit aperture to the electron detector. Permission of Surface and Interface Analysis and courtesy of El Gomati and El Bakoush96.
than 10 %. The analyser may accept some electrons from a much wider area than this but as they leave the surface further away from the intersection of the optic axis of the analyser with the sample surface the smaller is the fraction that will arrive at the detector. Eagen and
SCANNING AUGER ELECTRON MICROSCOPY
89
Sickafus93 have completed a careful study of this property for single pass CMA and found it to be about 200 mm. A detailed study of the transfer function of a CMA has been described by Eagen and Sickafus93 and the FOV of a double pass CMA has been reported by Erikson and Powell94. For quantitative analysis, it is important that data are collected from the sample with the minimum of (and preferably no) interference from other sources in the spectrometer. One such source of noise is internal scattering of electrons that leave the sample and strike the inner surfaces of the various components of the analyser so generating secondary electrons that can add an unwanted contribution to the measured signal. The effects of these internally scattered electrons are to reduce the peak to background ratio of the collected spectrum and complicate the data analysis and quantification process. Seah95 and El Gomati and El Bakush96 have made extensive studies of the possible sources of internal scattering of electrons in single and double pass CMAs. Figure 3.18 depicts the possible sources of internal scattering. All these sources were found not to contribute to the collected spectrum in a single pass CMA, except the field trimmers at the back of the analyser and the final aperture in front of the electron detector. By placing a high transparency mesh that is negatively biased to 10–20 V, the effect of these electrons on the collected spectra can be eliminated or reduced. Placing the sample at the analyser’s focal point turns out to be the most serious disadvantage of this class of analyser. This is due to its small depth of focus. It is found that the sample needs to be placed to within 100 mm of the focal point S (see Figure 3.15) to maintain an elastic peak height of greater than or equal to 90% of its maximum height. This setup procedure must be rigorously followed before any spectrum or image collection takes place.
3.8.3
Concentric Hemispherical Analysers
The CHA was first described by Purcell97 and developed for atomic spectroscopy by Kuyatt and Simpson98. It consists of a pair of concentric metallic surfaces as indicated in Figure 3.19. The surfaces are negative with respect to ground potential and the outer hemisphere is more negative than the inner. The field between the hemispheres causes electrons leaving a point sourced at S to focus onto a point at D with trajectories around the median of radius R0 provided that their kinetic energy is appropriate for the values of V1 and V2 as indicated in
90
INSTRUMENTATION
Figure 3.19 The principles of the CHA. Electrons are velocity analysed using the central force between two concentric hemispherical surfaces at potentials V1 and V2 . The pass energy and the energy resolution are set out in the two boxes
SCANNING AUGER ELECTRON MICROSCOPY
91
Figure 3.1999. Using the equations in Figure 3.19 it can be seen, for example, that 500 eV electrons pass on the median trajectory between hemispheres of radius 8 and 10 cm with a potential difference of 416.7 V. With respect to ground potential the inner hemisphere has to be set at 250 V and the outer at 666.7 V. Given a slit width W of 1 mm and an angular spread da of 0.02 rad the energy resolution is 2.6 eV. This analyser would have constant resolving power of 192. As is common with most spectroscopies, the resolution can be improved by reducing the slit width but this improvement is accompanied by a reduction in the number of electrons leaving the slit and travelling towards the detector. Most practical CHAs are used in conjunction with electrostatic lenses that transfer electrons from the sample to the entrance of the analyser at the diameter of the hemispheres and to transfer the energy analysed electrons leaving the slit to a detector. A typical arrangement is indicated in Figure 3.20. The transfer lenses can be designed to offer several advantages: (i) The input lens acts as a buffer between the space where electrons leave a sample that is usually at ground potential to a space where the potential corresponds to the kinetic energy of electrons on the median path around the CHA. Similarly, the output lens acts as a buffer between the space where electrons exit the hemispheres and the space of an electron detector. Such buffering serves the particularly important function of matching the electric fields at the hemisphere entrance and exit planes to the lens fields. This can minimise the distortion away from the hemispherical shape intended for the analyser fields in these regions. (ii) The input lens can be designed to allow the sample to be further away from physical obstructions than it would if the sample was near to the entrance slit of the analyser. This allows access to the sample for electron, ion or atom beams as well as space for other devices such as cleavage attachments. Coxon100 has reported a design in which the sample is as much as 5 cm away from the front end of the input transfer lens. (iii) The input lens can act to retard the electrons in order that they enter the analyser with lower kinetic energies. Since the hemispheres have constant resolving power this means that the energy resolution is smaller and yet the kinetic energy corresponds to that they had when leaving the sample. The retardation can be controlled by varying the potentials on the lens elements so allowing flexibility in the properties of the entire analyser.
92
INSTRUMENTATION
Figure 3.20 A CHA equipped with a four-element input lens and a three-element output lens. The input lens can be operated in constant resolving power or constant energy resolution modes by controlling the potentials applied to its elements and to the hemispheres. The output lens images the output plane of the hemispheres onto the surface of an electron detector such as a channeltron or microchannel plate
(iv) Alternative lens designs can allow the spherical aberrations in the input transfer lens to be exploited101,102 so that the virtual slit width is controlled along with the focusing of the electrons onto the analyser’s entrance plane in order to provide a constant resolution over a wide range of kinetic energies of electrons leaving the sample. This is particularly useful if a position sensitive electron detector is the detector because it allows multi-channel operation (see later) with the same energy resolution in each channel.
SCANNING AUGER ELECTRON MICROSCOPY
93
Figure 3.21 The CHA in the York MULSAM instrument. For clarity the mumetal shield is not shown and the wiring to the lens elements and hemispheres is not in place. Courtesy of J.C. Greenwood, D.Phil. Thesis, Department of Physics, University of York, 1990
A photograph of a CHA and its lenses together with a position sensitive detector is shown in Figure 3.21. An example of an input lens reported by Greenwood et al.102 and used in the York MULSAM instrument is shown in Figure 3.22. This design
Figure 3.22 An input lens for the hemispheres of the York MULSAM instrument. (a) Lens dimensions. The lens gaps are specified as distances from the sample surface that is 10 mm from the front surface of the lens. The lens gaps are one-tenth of the lens diameter (25 mm). (b) An example of the most extreme path of electrons entering the lens at angle ya and focusing on the entrance plane of the hemispheres. The kinetic energies of electrons in elements 2 and 3 are denoted by E2 and E3 and are varied to maintain the same focal position as the pass energy of the hemispheres, E0 is held constant and the retardation of the lens is changed as a spectrum is acquired. (c) The locus AB of the values of E3 =E0 versus E2 =E0 as the lens retardation is varied. Courtesy of J.C. Greenwood, D.Phil. Thesis, Department of Physics, University of York, 1990
SCANNING AUGER ELECTRON MICROSCOPY
95
permits the spectrometer to operate with constant transmission, TðEÞ, and constant energy resolution, E, as the lens retardation is varied and the pass energy, E0 , is held constant. Typically, E is 1 eV for retardations between 50 eV and 2000 eV and a pass energy of 128 eV. This may be regarded as a rather poor resolution for spectroscopy but it is practical for SAM because the sensitivity can be quite high, 1.7 % of the electrons leaving the sample with the appropriate energy reaching the detector. The resolution can be improved for spectroscopy by raising the retardation and lowering the pass energy of the hemispheres. By this means E can be 0.2 eV using a pass energy of 20 eV. The output lens is not so demanding to design as the input lens. The detector has a sensitivity independent of the kinetic energy of the electrons leaving the sample103 provided that the potential at its sensitive surface is arranged to cause electrons arriving there to have a constant kinetic energy. If the hemispheres are operating at constant pass energy this means that the lens is operating at constant retardation. A simple three-element lens104 is sufficient for this task. This arrangement is suitable for a spectrometer that is designed to make measurements at a single energy at one time – a single channel analyser. The CHA can be operated in a multi-channel mode if the detector is position sensitive because the analyser brings electrons near the energy E0 to a focus shifted in the dispersion plane from the median between the hemispheres. This can be exploited to detect several energies simultaneously so speeding up the acquisition of a spectrum or of images at several closely spaced energies. Fifteen channel detection systems have been described by Weightman105 and by Prutton et al.106. In this case it is convenient to place the position sensitive detector near to the output focus of the analyser and the output lens may be dispensed with (see later). As mentioned in the section concerning the CMA, the FOV of the analyser is an important property in the application to microscopy. It is frequently required to collect an Auger image at low magnification in order to obtain an overview of a sample before zooming in to investigate regions of special interest in more detail. The limit to the lowest magnification that can be obtained is usually set by the size of the region of the sample that can deliver electrons detectable after passage through the analyser. As the beam is scanned away from the point where the analyser or input lens axis intersects the sample surface the transmission efficiency of the analyser falls as some electrons are intercepted by the edges of any internal apertures. An example of the effects of this loss of signal as the beam goes off-axis is illustrated for a CHA in
96
INSTRUMENTATION
Figure 3.23 The size of the FoV of the CHA in the York MULSAM instrument. The pass energy was set at 50 eV and the FoV measured using electrons from 50 to 2000 eV kinetic energy using a 64 64 pixel scan of a flat single crystal Cr(110) surface. Reprinted from Peacock et al.108, Copyright (1984), with permission from Elsevier
Figure 3.23. Using the imaging method described by Seah107 a relatively large area of a flat chromium sample was scanned in a 64 64 pixel array of points. If the signal rose above a preselected threshold proportion of the maximum signal for a given kinetic energy leaving the sample, a display of the scan was brightened up. This work has been described by Peacock et al.108 who define the edge of the FOV as the locus of beam positions where the signal from the analyser falls to 80 % of its value at the center. With this instrument the lowest usable magnification was about 10 times. Another important property of an energy analyser is depth of field – that is, the range of distances of the sample from the front end of the entrance lens that causes an Auger peak to appear at the output within acceptable deviations from its known energy and its maximum peak height. In the study of the FOV of the York CHA, Peacock et al.108 also reported such depth of field effects. The shifts in the observed energy of
SCANNING AUGER ELECTRON MICROSCOPY
97
an elastic scattering peak as the sample was translated along the axis of the input lens were found to be very small (<1 eV at 1000 eV). Much more important was the fall in height of the elastic peak (and, similarly, Auger peaks) as the sample to lens aperture distance was varied. These authors reported a drop of 10 % in peak height for a 600 mm displacement towards or away from the entrance aperture. Further, especially at energies above about 800 eV, the electron spectrum changed shape for displacements greater than 600 mm. Thus, these authors concluded that this analyser required the sample to be positioned within 200 mm of the intersection of the electron column and input lens axes to ensure an accuracy in integrated Auger currents of 10 %. This is compared with similar properties of other analyser types in a later section of this chapter. In a SAM that necessarily has low beam currents (a few nA) the Auger peak heights are small and the analysis methods need to employ electron counting with shot noise limited statistics in order to keep the frame scan times as low as possible. Any electrons reaching the detector that are additional to those in the spectrum simply add to the background counts under any Auger peaks and contribute to the noise. One such effect is internal scattering – an effect in which electrons striking surfaces inside the analyser generate secondary electrons some of which may reach the detector. This effect has been reported in CHAs by Seah et al.109, Seah and Smith110 and by Greenwood et al.111. Examples of two places in a CHA where internal scattering can arise are shown in Figure 3.24. In both instances shown there, electrons entering the entrance aperture of the input lens having kinetic energies above those that will pass around the hemispheres strike either the last element of the input lens (Figure 3.24(a)) or the inner surface of the outer hemisphere (Figure 3.24(b)). Of course, electrons with higher energies than those passed by the analyser are always present in an electron spectrum and so such internal scattering is always present to some extent and adds background to the ‘true’ electron spectrum. The scattering from the input lens can be reduced by adding an aperture at the output of this lens with a diameter chosen to allow through only those electrons coming to a focus at the hemisphere’s entrance plane. However, some electrons may still enter the gap between the hemispheres and have a direction and velocity adequate to reach the detector. Further, the introduction of such an aperture will modify the equipotentials in this region and cause an increase in the width of the energy window. Fortunately, the energy resolution required for SAM is not as high as is required in many forms of electron spectroscopy because the principal objective is to collect as
98
INSTRUMENTATION
Figure 3.24 Two sources of the contribution of internal scattering to the spectrum. In both cases electrons enter the analyser assembly with kinetic energies above that which will pass around the analyser. (a) Electrons strike the internal surfaces of the input lens and generate secondary electrons some of which enter the volume between the hemispheres in directions and with energies that can reach the output. (b) Electrons above the pass energy strike the outer hemisphere near to the output plane where they generate secondaries that can reach the output
many Auger electrons as possible to maintain an adequate signal to noise ratio. Therefore this degradation in energy resolution is not of practical importance. On the other hand, scattering from the outer hemispheres surface near the exit plane of the hemispheres can be rather more difficult to reduce significantly. In a single channel analyser the energy analysed electrons form a spot at the exit plane but the internally scattered electrons are spread over the entire space between the hemispheres. This analyser can be fitted with an output lens that focuses the spot onto the detector (probably a channel electron multiplier) and rejects most of the internally scattered electrons because they have the wrong directions, positions and energies. However, for a multichannel analyser it is desirable to use the space between the hemispheres at the exit plane as much as possible. This is because electrons with energies slightly different from those with the pass energy are brought to a focus at different positions on this exit plane and this can be exploited by placing a position sensitive electron detector at or near to this plane. Thus, electrons in several energy channels may be detected simultaneously. This speeds up the acquisition of spectra by a factor equal to the number of energy channels that can be collected simultaneously. It also speeds up the acquisition of energy analysed images in
SCANNING AUGER ELECTRON MICROSCOPY
99
cases where simultaneously one energy channel can be deployed to collect electrons at or near the Auger peak energy and another channel to collect electrons with energies above the peak to be used for subsequent background subtraction. This mode of operation, if it is to be combined with an output lens to reduce internal scattering effects, presents problems in lens design. These problems arise because the size of the object is a significant fraction of the space between the hemispheres and this has to be imaged onto a similarly sized position sensitive detector whilst rejecting internally scattered electrons and not degrading the image resolution too much due to lens aberrations. In the CHA in the York MULSAM instrument a compromise was struck by using an Einzel lens made with thin metallic sheets between the hemispheres and the position sensitive detector. This compromise left some internal scattering from the hemispheres in the data collected. Possible corrections for this effect are described in Chapter 5.
3.8.4
Other Analyser Types
3.8.4.1 Combined magnetic and electrostatic transfer lenses All electrostatic lens systems suffer from large spherical aberration effects relative to purely magnetic systems with the same focal lengths and acceptance angles. By combining the two lens types into a single transfer lens Walker112 was able to show how some release from the tight constraints of electrostatic lens design could be achieved and acceptance angles could be increased for practical imaging X-ray photoelectron spectroscopy (XPS) to be carried out. This combination exploited the properties of a single pole-piece magnetic lens just below the sample position as described by Mulvey113. It has the important property that a high proportion of the electrons leaving the sample surface can be collected and energy analysed with, for instance, a CHA. The magnetic and electrostatic lenses need to be cylindrically symmetric about the normal to the sample surface and, for XPS, the incident X-rays strike the surface at oblique incidence in order to avoid striking the transfer lens assembly. As a photoelectron spectrum is scanned both the lens potentials and the magnetic field strength are varied to maintain constant focal properties for the transfer lens. This is practical for X-rays because the incident beam is not deflected by the varying monopole magnetic field. No application of this powerful collection
100
INSTRUMENTATION
and analysis method has been reported for electron excited Auger spectroscopy or imaging presumably because of the difficulty of retaining a constant position of the electron beam on the surface during spectroscopy or providing a controlled scan form of the electron beam during SAM. The subject of energy analysers for imaging XPS has been reviewed by Drummond114,115. The microscope that has demonstrated the highest spatial resolution in SAM images has been built and used by workers at the Universities of Sussex and of Arizona State and is based upon a heavily modified STEM. The instrument is known as MIDAS (Microscope for Imaging, Diffraction and Analysis of Surfaces). It has been reported by Hembree et al.116. Here the magnetic element in the analyser is a paralleliser mounted below the specimen in a magnetic STEM. Scattered electrons are deflected into a CHA whose input axis is perpendicular to the electron optical axis of the STEM. The resolution was demonstrated using oriented silver microcrystallites grown on a silicon (100) substrate117 where an edge resolution of 4 nm was shown. The spatial resolution of the scanning Auger microscope is discussed in Chapter 4.
3.8.4.2 The hyperbolic field analyser (HFA) It is demonstrated above that the sequential acquisition of the data forming an Auger image is a slow process. This is because of the need to obtain adequate precision in the electron counting statistics combined with the serial natures of the scanning process and the setting of the different electron kinetic energies for each Auger peak in the elements of the sample. If the entire spectrum of the electrons leaving the sample could be energy analysed simultaneously then a substantial reduction in the acquisition time could be realised. Thus, an analyser with no smaller acceptance angle than a CMA or a CHA but with simultaneous detection of sufficient energy channels to span all the energies needed for the N Auger peaks characterizing the sample would acquire all the data needed for collection and processing of this data at least N times faster than could those analysers. Since the data for background subtraction would be measured simultaneously with the data for the peak heights this could be 2N or 3N times faster depending on the method of background subtraction. One new analyser capable of this improved speed has been described by Jacka et al.118 and has been called the hyperbolic field analyser (HFA) by them. A related analyser intended for ion spectroscopy has been
SCANNING AUGER ELECTRON MICROSCOPY
101
Figure 3.25 The focusing and dispersion of electrons by a hyperbolic field. Reproduced by permission of American Institute of Physics from Jacka et al.118
described by Curtis and Hsieh119. The elements of the HFA are shown in Figure 3.25 and a practical version is shown in Figure 3.26. The electrodes E1 to E6 are provided with potentials that cause the electrostatic field in the region of the electron trajectories to approximate to a hyperbolic form. As a result electrons from the sample are focused onto
Figure 3.26 The construction of a hyperbolic field analyser. Reproduced by permission of American Institute of Physics from Jacka et al.118
102
INSTRUMENTATION
a detector at different positions according to their kinetic energies. The distance x from the entrance aperture of the focal point for electrons of kinetic energy E is given by x ¼ kE1=2
ð3:17Þ
and the device operates as an energy analyser with resolving power that increases as E1=2 with increasing E for a constant increment E. More recent versions of the HFA use a detector assembly that consists of a pair of microchannel plates followed by a phosphor screen followed by a position sensitive detector made with a linear array of 1024 photodetectors. The properties of this analyser are compared with other types in a separate section below.
3.8.5
Comparison of Analyser Types
It is clear from the discussion above that the performance of the energy analyser is critical if the shortest data acquisition time is to be obtained for a given signal to noise ratio. In order to compare analysers it is useful to devise a figure of merit containing only parameters universal to all analysers. Such a figure of merit has been devised by Kruit and Venables120 with the objective of optimising the design of the electron column and the analyser as a pair of components. Another figure of merit has been reported by Seah et al.121 using measurements of the signal to noise ratio and the peak to background ratio of the Cu LMM peak near 920 eV. This figure of merit is useful for comparison of the performance of different instruments. In order to give a simple estimate of an analyser’s suitability for Auger imaging, another figure of merit F is F¼
N
E
ð3:18Þ
where N is the number of energy channels measured simultaneously,
is the fraction of 2p steradians accepted by the analyser and E is the energy resolution of a single channel. This definition of F puts emphasis upon the importance of obtaining as much signal as possible whilst allowing that the analyser must also be used for electron spectroscopy for which energy resolution is important. It has been used to compare analyser types in Table 3.3.
SCANNING AUGER ELECTRON MICROSCOPY
103
Table 3.3 A comparison of various types of electron energy analyser. N is the number of energy channels detected simultaneously. is the solid angle subtended at the sample by the entrance aperture of the analyser. The CMA is a constant resolving power device so the energy window is quoted here for electrons with 500 eV kinetic energy. The parallel detector CMA resolves 6 different angles simultaneously not 6 energies.
N Single channel CHA (MULSAM) 1 Parallel detector CHA (MULSAM) 15 Single channel CMA 1 Parallel detector CMA 6
O (%)
Figure E of merit (eV) (eV1)
Working Field Depth distance of view of field (lm) (lm) (lm)
0.5
1.0
0.005
10
200
500
0.5 16 16
1.0 2.0 2.0
0.075 0.08 0.48
10 12 12
200 150 150
500 50 50
The data in Table 3.3 indicate that the best figure of merit is for the 6 channel CMA because of the large collection angle combined with 6 channel detection. However, this may not be the only criterion for choice of analyser. The CMA is a large structure that is close to the sample whereas the transfer lens for a CHA is a smaller structure that allows other equipment into the space near to the sample. Secondly, the depth of focus of the CHA transfer lens is greater than that of a CMA, a fact that allows a larger tolerance in the location of the sample.
3.9 3.9.1
ELECTRON DETECTORS Faraday Cups
A direct measurement of the current in an electron beam is possible by focusing the beam to enter a Faraday cup. This can be as simple as a deep hole bored into an electrically isolated piece of metal with the beam current measured as the current flowing to ground. The design of Faraday cups has been investigated by Gilmore and Seah122. The accuracy with which the beam current can be determined depends upon the fraction of the total secondary electron emission from the inner surfaces of the hole that can escape back into the vacuum system. This can be reduced if the cup is biased with a positive potential with respect to ground so preventing the escape of many of the secondary electrons. Seah and Gilmore show that if the beam enters a hole of depth
104
INSTRUMENTATION
more than five times the diameter that is biased by more than þ30 V with respect to ground the beam current can be measured to better than 1 % for beams with energies below 25 keV. This precision is adequate for most spectroscopic and quantitative imaging work. The minimum beam current that can be measured with this method depends upon the sensitivity of the current measuring device and the leakage current flowing through the insulation between the Faraday cup and ground. Minimum currents of 1012 A are usually possible. This kind of Faraday cup can usually form an integral part of the sample attachment components on the specimen manipulator. Alternatively it may be a separate component that can be moved from a carrousel storing other samples in situ and placed in the normal position where a sample can be mounted.
3.9.2
Channel Electron Multipliers
If the electron current leaving the analyser is lower than about 10 pA some form of amplification is needed for measurement to be made in a reasonable time. Channel electron multipliers (CEMs) are useful for this task. Sketches of two commercial CEMs are shown in Figure 3.27. They
Figure 3.27 Two commercial channel electron multiplier types. (a) A design by Galileo. (b) A design by Mullard. From Seah103 courtesy of Journal of Electron Spectroscopy and Related Phenomena
SCANNING AUGER ELECTRON MICROSCOPY
105
are fabricated from a lead glass treated such that it has a secondary electron yield of about 2. A potential difference of usually between 1 kV and 3 kV is applied across the device. Electrons striking the inner surface of the horn at the front of the device generate secondary electrons that are accelerated into the curved tubular channel by the field in the horn. As they pass down the channel they collide with the wall where more secondaries are generated. Thus a cascade of electrons emerges from the end of the channel where it can be detected. Since a pulse of electrons is produced at the output due to a single electron striking the horn then it is possible to count individual electrons reaching the horn. In the pulse counting mode the potential difference applied across the CEM is usually about 3 kV and the gain of the device is about 108. If the arrival rate of electrons is above about 106 s1 then the pulse counting mode becomes very nonlinear and analog detection of the output electron current is used with the CEM operated with a gain of between 1000 and 10 000. The efficiency, gain and linearity of CEMs for both pulse counting and analog modes has been reported by Seah103 and by Seah and Tosa123. The average gain per electron, G, is related to the secondary electron coefficients of the surface of the horn, dh , and the inner surface of the channel, dc by: G ¼ dh ðEÞdm c
ð3:19Þ
In this expression E is the kinetic energy of the electrons striking the horn and m is the number of collisions of electrons with the channel wall as they form the cascade. The number of electrons emitted at the horn surface is dependent upon E so, for constant gain of the CEM as the analyser sweeps a spectrum or is switched to acquire a different energy analysed image, electron optical measures must be taken to ensure that E is kept constant. The yield dh can be kept high and constant if E is constant and in the range 100–200 eV. The linearity of the CEM has been studied by Seah and Tosa123 who found that G is constant up to count rates equivalent of up to about 10 % of the standing current flowing through the wall of the CEM due to the potential difference across it. The first mechanism for nonlinearity arises in the pulse counting circuits where a pulse arriving during the time required to handle a previous pulse is ignored. This nonextended counting type is discussed in Chapter 5 and can be corrected to some extent by calibrating the CEM gain as a function of input electron arrival rate and establishing a ‘dead time’ for the counter. The second nonlinearity is fundamental to the CEM design. If the electron arrival
106
INSTRUMENTATION
Frequency
Electron pulses
Noise and Interference Pulses
Pulse Height Threshold
Figure 3.28 A sketch of the pulse height distribution reaching the electronics detecting and shaping electron pulses from a CEM. At low pulse heights there can be a distribution arising from noise and interference coupled to the wires from the CEM or MCP to the electronics outside the vacuum system. The true pulse height distribution due to electrons arriving at the CEM needs to be larger than the noise distribution as indicated. The electronics contains a discriminator that has a threshold pulse height above which the true electron pulses are amplified and shaped for counting
rate becomes too high the amplified electron current near to the end of the CEM becomes so high that depletion of electrons occurs from the wall current. The gain then falls sharply as the flux of input electrons is increased – eventually falling to zero. The pulse heights leaving the CEM are not constant but, because of the statistical nature of the cascade of electrons down the channel, they arrive at the electronics with a distribution of heights. This distribution is indicated schematically in Figure 3.28. Other pulses can arrive at the output due to ion feedback. This occurs when an atom reaches the output end of the CEM where it is ionised by the electron cascade emerging there. The positive ion so created is accelerated down the channel in the opposite direction to the electron cascade. The CEM manufacturers minimise this effect by curving the channel as can be seen in Figure 3.27(b). This curvature allows the relatively
SCANNING AUGER ELECTRON MICROSCOPY
107
massive ions to travel only a short distance down the wall where they are adsorbed. The long-term stability of the gain of a CEM depends upon both the vacuum and temperature conditions during system bakeout and the ambient gas pressure during operation. Since the gain of the device depends upon the secondary electron yield at its internal surfaces it is not surprising that the gain will change if contamination occurs. This is not likely to be a problem if the CEM is maintained in UHV during operation. If, however, gases are admitted to the microscope for modification of the surface of a sample under study then the analyst needs to be aware that the CEM gain may change and so system sensitivity may alter. During bakeout the CEM will reach the same temperature as the walls of the vacuum system and this may be high enough for the composition of the electron emitting surfaces to change so changing the gain of the device. For this reason most CEM manufacturers recommend that bakeout temperatures should not exceed 180 C. This low bakeout temperature leads to long bakeout times to reduce water vapor content in the walls and the vacuum – maybe several tens of hours. If a sample introduction vacuum lock is available on the equipment then new samples can be introduced without the need for another bakeout so reducing the need for bakeouts to the occasions when the internal components of the microscope need to be serviced or changed.
3.9.3
Microchannel Plates
If a number of CEMs are combined in an integrated array then a detector is available for amplifying the intensity distribution in an electron image. This is the microchannel plate (MCP). An example of the construction of an MCP is shown in Figure 3.29. It is a thin, usually circular, glass plate with metallic alloy electrodes on both faces that are used to apply a potential difference across all the channels that penetrate the plate in its thin direction. The channels can be specified to have diameters in the range 10–25 mm. The insulating properties of the glass limit the maximum potential difference that can be applied across the plate to about 1600 V. They are typically operated with a potential difference of near 1000 V for which the channels have an electron gain of about 104. In order to achieve gains comparable with those obtainable from CEMs a pair of MCPs can be used sequentially so realising gains of about 108. For energy analysis they are combined with a
108
INSTRUMENTATION
Figure 3.29
Diagram of the structure of a multi-channel plate electron multiplier
position sensitive detector that enables electron charge pulses associated with particular kinetic energies of the electrons arriving at the MCP pair to be detected. In the York MULSAM instrument the position sensitive detector is an array of 15 gold strips on a sapphire disk with the central strip aligned to receive electrons passing round the central trajectory through the hemispheres. The structure of this detector array is indicated in Figure 3.30. To reduce the effects of ion feedback in two MCPs used in cascade the manufacturers incline the channels at a small angle (typically 13 ) to the surface of each plate. The two plates are then assembled with their channels in opposite directions so reducing the probability of a positive ion traveling down channels in both plates. The stability of the gain of MCPs and the limitations on bakeout temperatures are very similar to those described above for CEMs. Assembly requires somewhat more care than used for CEMs because it is easy to block the channels with small dust particles from the laboratory atmosphere. Recommended practice is to change, repair or modify the detector assembly whilst in a dust free clean room.
3.9.4
SEM, BSE and EDX Detectors
As already mentioned it is very useful to equip a SAM with UHV versions of the detectors often found in conventional SEMs. The secondary electron emission and the sample absorption current are both useful for speedy image acquisition and to help relate the
SCANNING AUGER ELECTRON MICROSCOPY
109
Figure 3.30 The 15-channel detector in the York MULSAM instrument. A, gold plated electrical contact disks; CP, the two MCPs; C, ceramic spacers; D, 15-strip detector array; H, detector mount; P, aperture plate that also clamps the assembly together; G, aperture plate covered with metallic mesh reducing potential penetration into the CHA. Courtesy of J.C. Greenwood, D.Phil. Thesis, Department of Physics, University of York, 1990
appearance of the sample to that observed in preliminary work in an SEM. The characteristic X-ray emission is powerful for identifying the elements present inside the solid – an observation that is often complementary to the Auger imaging that reveals what is present at or very near to the surface itself. Some detectors for these signals are described in the books by Wells18 and Reimer1. However these designs are not usually compatible with the UHV requirements of a surface analytical instrument. UHV versions of the well-known Everhart and Thornley124 SEM detector are commercially available. They usually consist of a positively biased grid facing the sample behind which is a scintillator biased even more positively to accelerate electrons and cause optical emission. The light so generated is coupled via a UHV window or a light pipe to the photocathode of a light sensitive device such as a photomultiplier. A more compact arrangement is to follow the grid with a channeltron. This requires only the electrical signal to be conveyed to the laboratory
110
INSTRUMENTATION
as opposed to an optical path of some kind. Such a CEM detector has a long life in the UHV environment of a SAM. A high current (low electrical resistance), analog CEM is usually employed in this application because the secondary electron yield from the sample and the high collection efficiency of this detector combined with the high beam current in a SAM mean that secondary electron currents as high as 109 A may reach the detector. A simple electrical modification to the CEM electron detector is to bias the grid positively by Vb with respect to the potential of the field electron source in the gun of the microscope (the potential of the sample) so repelling electrons with kinetic energies less than Ep eVb . The current from this detector contains both elastically scattered electrons and those that have lost less energy than eVb in their scattering by the sample. It is thus a loss electron signal that can provide a useful indication of the atomic number of the material below the surface. The use of this detector has been described by Barkshire et al.125. Another UHV compatible detector for fast image acquisition and for obtaining both topographical and atomic number contrast of subsurface material is a back-scattered electron (BSE) device described by Walker et al.126. The various types of BSE detectors are described by both Reimer1 and Wells18. These detectors form an electrical signal from all the electrons with kinetic energies above some threshold that may be set by the design of the sensor. A sketch of a UHV compatible, planar, p–n diode device[1] installed in the York MULSAM instrument is shown in Figure 3.31126. It consists of four quadrants of a circular silicon structure consisting of a planar diode about 2 mm below the free surface that is exposed to the electrons scattered from the sample. It has a hole at its center through which the electron beam passes onto its way to the sample. This structure is sensitive to electrons with kinetic energies above about 2 keV. Each BSE reaching the depletion layer of the p–n junction generates electron-hole pairs that are swept out of the device with a small bias across the junction. The current so generated is amplified and used as a signal related to the number of BSEs leaving the sample. If the signals from each quadrant are added then the total signal reveals changes in the average atomic number Z in the region of the sample immediately underneath the surface. This total signal increases as Z in the region struck by the beam increases. If the outputs [1]
Developed from a sensor supplied by KE Developments Ltd, The Mount, Toft, Cambridge CB3 7RL, UK.
SCANNING AUGER ELECTRON MICROSCOPY
111
Figure 3.31 The UHV BSE detector in the York MULSAM instrument. Plan and side views. 1, the p–n diode; 2, gold conductors; 3, gold coated phosphor bronze pins; 4, fine gold wires carrying the signals from the detectors; 5, stainless steel packing plate; 6, tantalum shield; 7, ceramic base plate; 8, stainless steel base plate; 9, machinable glass ceramic with tapped holes for pins. Courtesy of J.C. Greenwood, D.Phil. Thesis, Department of Physics, University of York, 1990
from the detectors are subtracted the difference signal reveals contrast due to the inclination of the sample to surface to the incident beam direction127. This is a valuable resource in Auger microscopy because it enables the separation of the chemical contrast in the SAM images from contrast due to backscattering coefficient variations in the material underneath the surface as well as contrast in an Auger image due to variations in the angle of incidence of the electron beam due to the surface topography. These matters are discussed in more detail in Chapter 6. Many conventional SEMs are equipped with an analytical facility using the detection of characteristic X-rays excited in the sample by the electron beam. This is a valuable method for the chemical analysis and chemical imaging of the sub-surface region of the sample. A common form of detector for energy dispersive X-ray (EDX) detection is a silicon crystal doped with lithium – Si(Li). This detector also results in the generation of electron–hole pairs due to the X-rays ionising lithium
112
INSTRUMENTATION
atoms. The number of electron–hole pairs generated is proportional to the energy of each X-ray photon striking the detector and so the spectrum of X-rays leaving the sample can be observed by carrying out pulse height analysis (often, less transparently, called multi-channel analysis) of the pulses leaving the detector. This detector needs to be maintained at liquid nitrogen temperatures at all times to prevent diffusion of the lithium. The normal procedure is to keep the Si(Li) in a subsidiary vacuum system that is pumped at all times and which has a thin window (usually beryllium) to admit the X-rays to the detector. This requirement is difficult when it is to be used in a UHV system. One solution to this problem is to withdraw the detector using flexible bellows so that it is outside the bakeout region during the baking process. This is not a very desirable solution because, as well as the assembly being rather large and clumsy, the detector is contaminated by ambient gases in the higher pressure residual atmosphere and, because it is cold, the gas molecules stick to the detector surface or any thin X-ray window in front of it. When the detector is in its normal operating position for analysis these contaminants may be desorbed from its surfaces by any scattered electrons and there is a line of sight to the sample surface that may become contaminated itself. This problem has
Figure 3.32 The UHV EDX detector in the York MULSAM instrument. The beryllium window is 12 mm thick and is sealed to the stainless steel housing using a compressed gold wire. The inner shield T3 reaches a temperature of about 70 C when the outer jacket T1 is being baked at 250 C. Normal bakeout is at 180 C. Reproduced by permission of IOP Publishing Ltd from El Gomati et al.128
SCANNING AUGER ELECTRON MICROSCOPY
113
been avoided by El Gomati et al.128 by surrounding the detector assembly with an inner copper heat shield in addition to the normal internal heat shield. The outer is constructed with normal bakeable stainless steel. This design is shown in Figure 3.32. The X-ray window is a beryllium window 12 mm thick that is UHV sealed to a stainless steel outer with a thin gold wire gasket. This detector can be kept in the normal analytical position during system bakeout and reaches only 70 C when the bakeout temperature is 250 C. A photograph of the arrangement of detectors around the sample as installed in the York MULSAM instrument is shown in Figure 3.33. This
Figure 3.33 The detectors as they are arranged around the sample in the York MULSAM instrument. The sample and its manipulator normally can be seen left of center but have been removed to show the detectors for this illustration. A, the transfer lens for the CHA; B, the Si(Li) EDX detector; C, the ion gun for cleaning and beveling; D, the BSE quadrant detectors. The electron beam comes through the hole in the center; E, the SEM detector. Courtesy of R.H. Roberts, D.Phil. Thesis, Department of Physics, University of York, 1998
114
INSTRUMENTATION
is intended to illustrate one way in which several detectors can be disposed around the sample. The sample manipulator, together with the sample, would normally be seen in this view appearing from the left hand side but it has been removed to reveal the detectors in more detail.
3.10 ELECTRONIC SYSTEMS 3.10.1
Introduction
The design of the entire Auger microscope involves not only the vacuum components but also the analog and digital systems used to detect, condition and record the output signals from the various detectors that may be in the vacuum system. Further, electronic systems are needed to control various electron column functions such as the beam energy and focusing, the deflection of the electron beam to provide a scan across the sample surface and the correction of any astigmatism in the column. The energy analyser usually has several electron optical elements that have to be provided with potentials setting the range of kinetic energies of electrons entering the analyser that will successfully reach an electron detector. Finally, as described above, there may be other detectors in the instrument – a secondary electron detector, a BSE detector or a characteristic X-ray detector for instance – all of which may require control voltages and amplification and/or signal conditioning and which have special requirements as to sensitivity, input and output impedances and stability. These electronics design considerations are not dealt with here as they can be found in a wide variety of published texts. Rather, some considerations of the necessary noise and stability relevant to microscopy are outlined below. The data acquisition functions are usually handled by a digital computer equipped with an instrument control interface to the microscope. Various issues arise such as should standalone or networked computer systems be used? Do the data quantities lead to a requirement for data compression facilities? Should data acquisition be separated from data visualisation and processing? Since the data are often four dimensional – measured signal as a function of electron kinetic energy, and coordinates of the position on the sample struck by the electron beam, SðE; x; yÞ – are there data visualisation issues presenting any challenges? There is some discussion of these matters later in this chapter.
SCANNING AUGER ELECTRON MICROSCOPY
3.10.2
115
High Voltage Supplies
The kinetic energy of the focused electron beam that is scanned over the sample surface is usually established by the potential difference between the sample (that is at, or very near, ground potential) and the electron source – often a field electron emitter. For an electrostatic column this means that the power supplies providing the extraction, focusing and astigmatism correction potentials are most conveniently organised to have their common rail at the potential corresponding to the beam energy. An example of the arrangement of power supplies for an electrostatic column is shown in Figure 3.34. For safety reasons, and to minimise the coupling of main supply frequency interference to the generally rather long cables between the power supplies and the column elements themselves, the supplies are usually in a single screened case and the cables are surrounded by a grounded screen. The most sensitive supply as far as the effects of noise and ripple are concerned is that providing the scan voltages and the corrections for astigmatism in the column. This is because the scan and astigmatism electrodes cause deflection of the electron beam at the sample and so noise and ripple cause degradation of the spatial resolution. For fast scanning of the sample, the bandwidth of the connections to the deflectors has to be one of the highest in the entire system and the capacitance of the connecting cables has to be kept as low as possible whilst maintaining good screening from external sources. The supplies determining the beam energy and column focusing are not so critical because the energy of Auger peaks is independent of the beam energy and the focus is not a sensitive function of the potentials on those electrodes. They are often computer controlled. The supplies heating a SFE source and extracting the electrons for delivery to the rest of the column are floating on the beam energy supply and require very high stability because the stability of the emission from the Schottky source is a critical function of the tip temperature and the emission current is a fast function of the extractor–tip potential difference. Filament current stability of better than 10 mA in 2 A is essential and the extractor potential difference should typically be constant to better than 0.1 V in 2000 V if the beam current is to be constant to better than 1 % over 10 or more hours. Similarly, the beam voltage supply should be stable to 1 part in 105.
116
INSTRUMENTATION
Vd
Vfil
Vs
Ve
Vg
Vo
Vp
Figure 3.34 A power supply arrangement for an electron column. The sample is shown as grounded although there may be a nanoammeter in the grounding wire to measure the sample absorption current. Vp is the beam energy supply. Vfil is the tip heating supply for a Schottky source. Vs is the suppressor supply providing rejection of thermionically emitted electrons. Ve is the extractor supply accelerating electrons out of the FE tip. Vg is the supply for the potentials on the gun lens. Vd is the supply to deflect, scan and correct for astigmatism. Vo is the supply for the potential on the objective lens
3.10.3
Energy Analyser Supplies
An example for a configuration of power supplies for a CHA with a four-element transfer lens and a multi-channel detector (MCD) is shown in Figure 3.35. The stability, the noise and interference and the slew rates of these supplies are important.
SCANNING AUGER ELECTRON MICROSCOPY
-
V2
+
-
V3
+
117
AMPS
-
V4
+ +
-
VCHA ++
- VP
VMCP -
VOH VIH
+
Figure 3.35 A power supply arrangement for a CHA with a four-element transfer lens and a multi-channel plate plus position sensitive detector. V2 , V3 and V4 are the supplies for the second, third and fourth elements of the transfer lens. V2 and V3 may be nonlinear functions of the analysis energy eVP . In which case they may each consist of two programmable power supplies in series – one determining an offset voltage that is constant for a given analyser pass energy and the other that provides a nonlinear potential maintaining focus for the input lens as Vp is varied. VMCP provides the potential difference across the multi-channel plate as well as a power supply biasing the front face of the MCP in order that electrons strike it with a kinetic energy independent of the analysis energy
Unlike the supplies for the column the analyser requires the potentials on its elements to be changed quickly as a spectrum or a set of images are acquired. Delays involved with the speed of response to a computer controlled input to a supply or to the time required for a potential to reach its intended value at an electrode both add to the data acquisition time. These delays can be avoided by using supplies that operate as high
118
INSTRUMENTATION
voltage operational amplifiers that have high bandwidths and by careful choice or design of the capacitance in the cables from the supplies to the analyser electrodes. The former is usually specified by the slew rate of the supplies – a number that specifies the rate at which the output can be changed. Slew rates of about 106 Vs1 can be achieved[2] in supplies that provide up to several kV. It is worth noting that the combination of a high output impedance in a power supply plus a high capacitance in the interconnecting cable can introduce an unacceptably high time constant for a potential to reach its intended value. Since the voltages being handled are quite high it is not unusual for readily available supplies to have high output impedances. The noise and interference is particularly important on the hemisphere potentials because it can degrade the energy resolution as well as adding noise to the output signal. This can normally be kept to below 1 mV peak to peak by careful attention to the screening of cable both outside and inside the vacuum system. The stability of these supplies is important if long acquisition times are to be used. This may be necessary, for example, in order to search for a feature in a spectrum with a low peak to background ratio or for a minority element in an Auger image. Stabilities of the order of 10 mV h1 C1 are useful for acquisition times that are (exceptionally) of the order of several hours.
3.10.4
Sensor Signal Conditioning
The charge pulses (containing about 1:6 1011 C) leaving the MCD need to be changed into square pulses at an appropriate source impedance for counting. This can be done, for instance with a single integrated circuit chip[3] in each channel that contains a charge-tovoltage converter and a discriminator. For single channel electron counting, of course only one such chip is needed. For analog detection a high gain, low noise, preamplifier is required. Whichever of these signal conditioning devices is needed, it is mounted close to the air side of the vacuum flange carrying the signals out of the vacuum system in order both to reduce interference and additional stray capacitance. The outputs of these devices can then be processed by pulse counting or by analog to digital conversion (ADC) in a control computer. ½2
For example, Kepco OPS2000 from Kepco Inc., 131–138 Sanford Avenue, Flushing, NY 11352, USA. [3] For example, Amptek Inc., 6 De Angelo Drive, Bedford, MA 01730, USA.
SCANNING AUGER ELECTRON MICROSCOPY
119
Analog signals from an SEM detector, a specimen current amplifier or an electron energy loss detector can be amplified by small preamplifiers again mounted near to the corresponding feedthroughs and then prepared for measurement by ADCs or voltage-to-frequency converters. The signals from an EDX system are somewhat different in that the signal conditioning is normally supplied integral with the Si(Li) detector inside the detector cryostat and the output is a square pulse whose height is proportional to the energy of the X-ray photon that was sensed. This requires the use of a pulse height analyser (PHA) in order to display the X-ray spectrum. This is a ‘parallel’ device in the sense that it processes every X-ray photon as it arrives at the Si(Li) sensor and the whole spectrum can be observed as it is acquired, the signal to noise ratio improving steadily as the acquisition time increases. This kind of detection is possible with an extra card and software in the control computer[4].
3.10.5
Computer Systems
Many modern desktop personal computers have the speed and power to control an electron microscope and to acquire the data from several different detectors even if hundreds of megabytes of data are to be handled. Further, current operating systems (for instance Linux or Windows) can be used to control all the functions of a microscope and to acquire the data from a multi-detector SAM instrument. The control functions can be handled by cards added to the computer itself or they can be by separate electronic systems in an interfacing unit such as is available in NIM or CAMAC modules often used in particle and nuclear physics experiments. The software writing for such a system is a major task and this is often supplied by the manufacturers of SAM instruments or by third party suppliers[5]. It is advantageous from the analysts’ point of view to separate the control and acquisition functions for the microscope from the data analysis, display and reporting functions. This point has been stressed by Fathers et al.129 who pointed out that these are ‘very different functions done at a different pace and in a different atmosphere’. Saxton and Koch130 describe how two independent computers can each be optimised
[4]
For example, Oxford Instruments Ltd, Halifax Rd, High Wycombe, HP12 3SE, UK. For example, Interface Analysis Centre, Olbury House, 121 St Michael’s Hill, Bristol BS2 8BS, UK; RBD Enterprises Inc., 563 SW 13th St, Suite 201, Bend, OR 97702, USA. [5]
120
INSTRUMENTATION
for their particular pattern of use. This requirement is especially important in the analysis and interpretation of multi-image sets because the analyst may wish to have continuous access to a data set whilst acquisition is in progress for further data. This objective is realisable by using two or more computers in a local area network with one machine being dedicated to control and acquisition. It remains of practical value for the control computer to have limited capability for display of results as they are acquired and some simple facilities, such as data smoothing and zooming in to examine limited regions of the data. These resources assist with the decision as to retention and longer term storage of the data or their abandonment or repetition of the acquisition. Acceptable data can then be transferred across the network for storage or archiving elsewhere and subsequent analysis and interpretation. Detailed considerations of the choice of computer configurations for SAM can be found in the D.Phil. thesis by Kenny131.
REFERENCES 1. L. Reimer, Scanning Electron Microscopy (Springer, Berlin, 1998). 2. D. Briggs and M. P. Seah, Practical Surface Analysis. Volume 2 – Ion and Neutral Spectroscopy (John Wiley & Sons, Ltd, Chichester, 1992). 3. M. G. Dowsett and R. Collins, Philosophical Transactions of the Royal Society A354, 2713 (1996). 4. K. Wittmaack, in 40th Scottish Universities Summer School in Physics, edited by A. G. Fitzgerald, B. E. Storey and D. Fabian (Institute of Physics, Dundee, 1992), p. 351. 5. L. M. Brown, Journal de Physique IV 3, 2073 (1993). 6. N. D. Browning, I. Arslan, P. Moeck and T. Topuria, Physics Status Solidi-Basic Research 227, 229 (2001). 7. K. F. J. Heinrich and D. E. Newbury, Electron Probe Quantitation (Plenum Press, New York, 1991). 8. S. J. B. Reed, Electron Probe Microanalysis (Cambridge University Press, Cambridge, 1993). 9. V. D. Scott and G. Love, Quantitative Electron Probe Microanalysis (Ellis Horwood, Chichester, 1983). 10. A. J. Bevolo, in Scanning Electron Microscopy, edited by O. Johari (Om Johari, Bristol, 1985), Vol. 4, p. 1449. 11. M. M. El Gomati and J. A. D. Matthew, Journal of Microscopy, 147, 137 (1987). 12. E. Paparazzo, Journal of Electron Spectroscopy and Related Phenomena 143, 219 (2005). 13. O. Krivanek, Ultramicroscopy 28, 118 (1989). 14. D. B. Williams and D. E. Newbury, Advances in Electronics and Electron Physics 62, 161 (1984).
SCANNING AUGER ELECTRON MICROSCOPY
121
15. E. Bauer, T. Duden, H. Pinkvos, H. Poppa and K. Wurm, Magnetism and Magnetic Materials 156, 1 (1996). 16. E. Bauer, Journal of Physics – Condensed Matter 13, 11391 (2001). 17. P. W. Hawkes and E. Kasper, Principles of Electron Optics (Academic Press, London, 1989). 18. O. C. Wells, A. Boyde, E. Lifshin and A. Rezanowich, Scanning Electron Microscopy (McGraw-Hill, New York, 1974). 19. R. C. Gonzalez and P. Wintz, Digital Image Processing (Addison-Wesley, Reading, MA, 1982). 20. W. Niblack, Digital Image Processing (Prentice Hall, Englewood Cliffs, NJ, 1986). 21. J. G. Moik, Digital Processing of Remotely Sensed Images (NASA Special Publications, Washington, DC, 1980). 22. D. E. Newbury, Scanning Electron Microscopy 1, 71 (1981). 23. D. S. Bright, D. E. Newbury, R. B. Marinenko, E. B. Steel and R. L. Myklebust, Journal of Metals 40, 57 (1988). 24. C. Jeanguillaume and C. Colliex, Ultramicroscopy 28, 252 (1989). 25. P. L. King, R. Browning, P. Pianetta, I. Lindau, M. Keenlyside and G. Knapp, Journal of Vacuum Science and Technology A 7, 3301 (1989). 26. M. M. El Gomati, I. R. Barkshire, J. C. Greenwood, P. G. Kenny, R. Roberts and M. Prutton, Compositional Imaging in Scanning Auger Microscopy (American Microanalytical Society, San Fransisco, 1992). 27. M. Prutton, in Quantitative Microbeam Analysis, edited by A. G. Fitzgerald, B. E. Storey and D. Fabian (Institute of Physics Publishing, Bristol, 1993), p. 43. 28. M. Prutton, Microscopy, Microanalysis and Microstructures 6, 289 (1995). 29. M. Prutton, I. R. Barkshire, P. G. Kenny, R. H. Roberts and M. Wenham, Philosophical Transactions of the Royal Society of London A 354, 2683 (1996). 30. M. Prutton, D. K. Wilkinson, P. G. Kenny and D. L. Mountain, Applied Surface Science 144–145, 1 (1999). 31. N. Bonnet, Journal of Microscopy 190, 2 (1998). 32. N. Bonnet, Advances in Imaging and Electron Physics 114, 1 (2000). 33. P. A. Redhead, J. P. Hobson and E. V. Kornelsen, The Physical Basis of Ultra-high Vacuum (Chapman and Hall, London, 1968). 34. A. Chambers, Modern Vacuum Physics (Chapman and Hall/CRC, London, 2004). 35. A. W. Czanderna, C. J. Powell and T. E. Madey, Specimen Handling, Preparation and Treatments in Surface Characterization (Kluwer Academic/Plenum Publishers, New York, 1998). 36. J. B. Hudson, An Introduction to Surface Science (Butterworth-Heinemann, Boston, 1992). 37. G. A. Somorjai, Introduction to Surface Chemistry and Catalysis (John Wiley & Sons, Ltd, New York, 1994). 38. A. Chambers, R. K. Fitch and B. S. Halliday, Basic Vacuum Technology (Institute of Physics Publishing, Bristol, 1998). 39. N. C. MacDonald, Applied Physics Letters 16, 76 (1970). 40. M. E. Haine and P. A. Einstein, British Journal of Applied Physics 3, 40 (1952). 41. A. N. Brores, in 5th International Conference on Ion and Laser Beam Science and Technology (Electrochemical Society, New York, 1972), p. 3. 42. H. Boersch, Zeitschrift fur Physik 139, 115 (1954). 43. J. M. Lafferty, Journal of Applied Physics 22, 299 (1951).
122
INSTRUMENTATION
44. A. N. Brores, Journal of Physics E: Scientific Instruments 2, 273 (1969). 45. H. Ahmed, in Electron Microscopy, edited by O. Johari (Om Johari, Bristol, 1972), Vol. 14, p. 10. 46. R. Shimizu, Y. Kataoka, S. Kawai and T. Tanaka, Applied Physics Letters 27, 113 (1975). 47. S. Zaima, M. Sase, H. Adachi et al. Journal of Physics D 13, 47 (1980). 48. R. H. Fowler and L. Nordheim, Proceedings of The Royal Society (London) A119, 173 (1928). 49. A. V. Crewe, J. Wall and L. M. Weller, Journal of Applied Physics 39, 5861 (1968). 50. D. Swann and K. C. A. Smith, in Scanning Electron Microscopy, edited by O. Johari (Om Johari, Chicago, IL, 1973), p. 176 SEM Inc., Chicago, USA. 51. G. Todd, H. Poppa and L. Veneklasen, Thin Solid Films 57, 213 (1979). 52. M. M. El Gomati, in Electron Microscopy and Analysis 1983 (Institute of Physics, Guildford, 1983), Vol. 1, p. 489. 53. R. Shimizu, Y. Katraoka and H. Hashimoto, Comparison of Emission Stability from various Tungsten planes. Ed. H. Hashimoto (Osaka University, 1973), p. 403. 54. L. W. Swanson and N. A. Martin, Journal of Applied Physics 46, 2029 (1975). 55. R. H. Good and E. Muller, Handbuch der Physik 21, 178 (1956). 56. W. P. Dyke and W. W. Dolan, Advances in Electronics and Electron Physics 8, 89 (1956). 57. L. W. Swanson and A. E. Bell, Advances in Electronics and Electron Physics 32, 193 (1973). 58. J. Orloff, Handbook of Charged Particle Optics (CRC, New York, 1997). 59. C. A. Spindt, I. Brodie, K. Humphrey and E. R. Westerberg, Journal Applied Physics 47, 5248 (1976). 60. J. R. Cleaver, Journal of Electronics 38, 513 (1975). 61. J. C. Wiesner, Field electron emission, D.Phil. Thesis (University of California, 1970). 62. L. Swanson, Personal Communication (1981). 63. R. D. Young, Physical Review 113, 110 (1959). 64. R. D. Young and E. Muller, Physical Review 113, 115 (1959). 65. A. G. Van Oostrom, Performance Computations for a High-resolution Retarding Field Electron Analyser (Philips, Eindhoven, 1966), p. 102. 66. A. D. Cumming and K. C. A. Smith, in Microcurrent Engineering, edited by A. Brores (Cambridge University Press, Cambridge, 1978), p. 22. 67. L. W. Swanson and G. A. Schwind, Handbook of Charged Particls Optics, edited by J. Orloff (CRC Press, Boca Raton, New York, 1977), p 77. 68. F. Charbonnier, Applied Surface Science 94/5, 26 (1996). 69. L. Veneklasen, N. Yew and J. Wiesner, in International Conference on Ion and Laser beam Science and Technology (Electrochemical Society, New Jersey, 1979), p. 11. 70. L. Swanson and L. C. Crouser, Journal of Applied Physics 40, 4741 (1969). 71. R. Browning, P. J. Bassett, M. M. El Gomati and M. Prutton, Proceedings of The Royal Society (London) A357, 213 (1977). 72. P. Grivet, Electron Optics (Pergamon Press, Oxford, 1972). 73. A. B. El-Kareh and J. C. El-Kareh, Electron Beams, Lenses and Optics (Academic Press, New York, 1970). 74. L. Swanson and P. Schwind, in Handbook of Charged Particle Optics, edited by J. Orloff (CRC, New York, 1997), p. 96.
SCANNING AUGER ELECTRON MICROSCOPY
123
75. I. Mullerova, M. M. El Gomati and L. Frank, Ultramicroscopy 93, 240 (2002). 76. M. Mankos, K. Y. Lee, L. Murray, J. Spallas, Y. Hsu, C. Stebler, W. DeVore, E. Bullock and T. H. P. Chang, Journal of Vaccum Science and Technology B 18, 3057 (2000). 77. F. Bertein, Annales de Rod Electricite 2, 48 (1947). 78. M. E. Haine, Journal of Scientific Instruments 31, 325 (1954). 79. O. Rang, Optik 5, 518 (1949). 80. G. Todd, H. Poppa, D. Moorhead and M. Bales, Journal of Vacuum Science and Technology 12, 953 (1975). 81. L. A. Harris, General Electric Research and Development Report 67-C-201 (1967). 82. P. W. Palmberg, G. K. Bohn and J. C. Tracy, Applied Physics Letters 15, 254 (1969). 83. K. Siegbahn, C. Nordling, A. Fahlman, R. Nordberg, K. Hamrin, J. Hedman, G. Johansson, T. Bergmark, S. Karlson, I. Lindgren and B. Lindberg, ESCA: Atomic, Molecular and Solid State Structure Studied by Means of Electron Spectroscopy (Almqvist and Wiksells Boktryckeri AB, Uppsala, 1967). 84. E. Blauth, Zeitschrift fur Physik 147, 228 (1957). 85. H. Hafner, J. A. Simpson and C. E. Kuyatt, Review of Scientific Instruments 39, 33 (1968). 86. W. Melhorn, Zeitschrift fur Physik 160, 247 (1960). 87. W. Melhorn, Zeitschrift fur Physik 187, 21 (1965). 88. H. Z. Sar-El, Review of Scientific Instruments 38, 1210 (1967). 89. V. V. Zashkavara, M. I. Koursunski and O. S. Kosmachev, Soviet Physics (English Translation) 11, 96 (1966). 90. M. P. Seah, in Methods of Surface Analysis, edited by J. M. Walls (Cambridge University Press, Cambridge, 1989). 91. M. M. El Gomati, M. Prutton, B. Lamb and C. G. Tuppen, Surface and Interface Analysis 11, 251 (1988). 92. A. Gelsthorpe and M. M. El Gomati, Journal of Vaccum Science and Technology B 21, 744 (2003). 93. C. F. Eagen and E. N. Sickafus, Review of Scientific Instruments 48, 1269 (1977). 94. N. E. Erikson and C. J. Powell, Surface and Interface Analysis 9, 111 (1986). 95. M. P. Seah, Surface and Interface Analysis 20, 876 (1993). 96. M. M. El Gomati and T. Elbakush, Surface and Interface Analysis 24, 152 (1996). 97. C. E. Purcell, Physical Review 54, 818 (1938). 98. C. E. Kuyatt and J. A. Simpson, Review of Scientific Instruments 38, 103 (1967). 99. J. C. Riviere, in Practical Surface Analysis, edited by D. Briggs and M. P. Seah (John Wiley & Sons, Ltd, Chichester, 1990), Vol. 1, p. 19. 100. P. Coxon, J. Krizek, M. Humpherson and I. R. M. Wardell, Journal of Electron Spectroscopy and Related Phenomena 52, 821 (1990). 101. R. Browning, M. M. El Gomati and M. Prutton, Surface Science 68, 328 (1977). 102. J. C. Greenwood, M. M. El Gomati and M. Prutton, in EMAG-MICRO 89 (Institute of Physics, London, 1989), Vol. 98, p. 547. 103. M. P. Seah, Journal of Electron Spectroscopy and Related Phenomena 50, 137 (1990). 104. D. W. O. Heddle, Electrostatic Lens Systems (Institute of Physics Publishing, Bristol, 2000).
124
INSTRUMENTATION
105. P. Weightman, Physica Scripta T41, 277 (1992). 106. M. Prutton, C. G. H. Walker, J. C. Greenwood, P. G. Kenny, J. C. Dee, I. R. Barkshire, R. H. Roberts and M. M. El Gomati, Surface and Interface Analysis 17, 71 (1991). 107. M. P. Seah, Surface and Interface Analysis 2, 222 (1980). 108. D. C. Peacock, M. Prutton and R. Roberts, Vacuum 34, 497 (1984). 109. M. P. Seah, M. E. Jones and M. T. Anthony, Surface and Interface Analysis 6, 242 (1984). 110. M. P. Seah and G. C. Smith, Surface and Interface Analysis 15, 751 (1990). 111. J. C. Greenwood, M. Prutton, R. H. Roberts and Z. Liu, Surface and Interface Analysis 20, 891 (1993). 112. A. R. Walker, in European Patent Office (Kratos Analytical Ltd, 1991). 113. T. Mulvey, in Magnetic Electron Lenses, edited by P. W. Hawkes (Springer, Berlin, 1982), p. 359. 114. I. W. Drummond, Philosophical Transactions of The Royal Society (London) A354, 2667 (1996). 115. I. W. Drummond, in Surface Analysis by Auger and X-Ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications, Chichester, 2003), Vol. 1, p. 117. 116. G. Hembree, F. C. H. Luo and J. A. Venables, in XIIth International Conference for Electron Microscopy (San Francisco Press, San Francisco, 1990), Vol. 2, p. 382. 117. J. A. Venables and G. G. Hembree, in Electron Microscopy and Analysis (Institute of Physics, Newcastle, 1991), Vol. 119, p. 33. 118. M. Jacka, M. Kirk, M. M. El Gomati and M. Prutton, Review of Scientific Instruments 70, 2282 (1999). 119. C. C. Curtis and K. C. Hsieh, Review of Scientific Instruments 59, 2424 (1988). 120. P. Kruit and J. A. Venables, in Scanning Electron Microscopy Supplement 1, edited by O. Johari (Scanning Microscopy International, Chicago, 1987), Vol. 1, p. 115. 121. M. P. Seah, C. P. Hunt and W. A. Dench, Surface and Interface Analysis 12, 164 (1988). 122. I. S. Gilmore and M. P. Seah, Surface and Interface Analysis 23, 248 (1995). 123. M. P. Seah and M. Tosa, Surface and Interface Analysis 18, 240 (1992). 124. T. E. Everhart and R. F. M. Thornley, Journal of Scientific Instruments 37, 246 (1960). 125. I. R. Barkshire, R. H. Roberts and M. Prutton, Applied Surface Science 120, 129 (1997). 126. C. G. H. Walker, M. Prutton, J. C. Dee, M. M. El Gomati and M. J. Cowham, in EMAG-MICRO 89 (Institute of Physics, London, 1989), Vol. 98, p. 555. 127. S. Kimoto and H. Hashimoto, in The Electron Microprobe, edited by T. D. McKinley, K. F. J. Heinrich and D. B. Wittry (John Wiley & Sons, Ltd, Washington DC, 1966), p. 480. 128. M. M. El Gomati, B. Lowe, M. Prutton and C. G. H. Walker, in EMAG-MICRO 89 (Institute of Physics, Bristol, 1989), Vol. 98, p. 556. 129. D. J. Fathers, C. J. Harland and J. A. Venables, in EMAG 83 (Institute of Physics, Bristol, 1983), Vol. 68, p. 227. 130. W. O. Saxton and T. L. Koch, Ultramicroscopy 4, 343 (1982). 131. P. G. Kenny, The acquisition and analysis of multi-spectral analytical electron microscope images, D.Phil Thesis (University of York, 1990). 132. Vu Thien Binh, N. Garcia and S. T. Purcoll, in Advances in Imaging and Electron Physics, edited by P. W. Hawkes (Academic Press, 1996) p.63.
4 The Spatial Resolution M. M. El Gomati
4.1
INTRODUCTION
The ability to resolve small variations between different elements or chemicals is an important feature that will always favor the use of one technique over another for a given problem. This feature is further highlighted when such a distinction, between the elements or chemicals, can be made for small dimensions in the sample – a property that is referred to as the technique’s spatial resolution. In electron probe technology, it has become accepted to refer to a technique as being a ‘high resolution’ method the closer it is in achieving atomic resolution, as the case is in the transmission electron microscope. The use of the term ‘high resolution’ in this context comes from optical spectroscopy. For a full account of the theoretical treatment of this subject, the reader is referred to Sato1. Auger electron microscopy shares many of the same electron–solid interaction mechanisms as those encountered in the electron probe microanalyser (EPMA). This similarity led, in the early days of development of SAM, to concerns that the SAM spatial resolution will be equally affected by the energetically back-scattered electrons which are produced within the pear-shaped interaction volume between the incident electrons and the solid. The radius of this interaction volume, where the collected X-ray signal is produced, is the dominating factor in determining the spatial resolution in EPMA. A number of studies
Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
126
THE SPATIAL RESOLUTION
supporting this view of SAM were reported. However, some of these studies lacked clarity with respect to the experimental condition and were confused between the technical state of electron probe technology of the time with the actual resolution limits of SAM based on the mechanisms of electron–solid interaction. Christou2,3, for example, reported on differences in the obtainable spatial resolution as a function of the type of electron source used to form the SAM probe: thermionic sources, LaB6 and FE, and suggested that because of beam scattering effects a resolution limit of 10 times the incident probe diameter will be obtained. These results, however, can be easily seen to be a problem associated with the signal to noise ratio of the Auger electron measurement that are made with such electron sources rather than being dependent on the physics of the electron–solid interaction. Brandis4 argued that energetic back-scattered electrons close to the incident electrons would produce a significant proportion of Auger electrons and hence predicted that it is unlikely that a SAM spatial resolution of less than 200 nm will be obtained. Several other studies investigating the role of energetic back-scattered electrons were reported over a period of about 10 years but without giving a consistent view on what to expect as the limit of resolution for this emerging technology5–11. The debate continued, however, with studies7–9 arguing that the SAM spatial resolution should in principle be similar to that of the SEM. This was based on the assumption that since both methods (SEM and SAM) use secondary electrons as the imaging signal (where the Auger electrons are also considered as secondary electrons), and since both types of signal stem from the surface region of the sample under investigation, then both techniques should yield a similar resolution limit. In this respect, it is the probe diameter which controls the obtained spatial resolution. The problem of the SAM spatial resolution is in fact more complicated than in either EMPA or SEM. It has been shown in Chapter 2 that the Auger electron process is an alternative to X-ray production for the relaxation of an ionised atom. This means that the Auger electrons are produced within the same volume as the X-rays. Although the generated X-rays suffer from absorption as they emerge from the target, a big fraction of these can still be collected. This absorption depends on the X-ray energy, the atomic number and composition of the sample and can be an order of magnitude less than the generated X-ray signal12. Auger electrons, on the other hand, have a lower probability of generation than X-rays and in addition any such generated electrons which
SCANNING AUGER ELECTRON MICROSCOPY
127
suffer energy losses of more than half the full width of the Auger peak at half its maximum are not detected as Auger electrons and appear as part of the secondary electron background. As a result, the efficiency of production of the collected Auger electrons is worse than that for Xrays, being usually 105–104 per incident electron, compared with 103–102 for X-rays. This means that the collected Auger electrons come from the top few atomic layers, making this technique so surface sensitive. These Auger electrons are produced in one of four ways. Most are produced directly by the incident primary electrons, some by elastically scattered primary electrons, some by energetic secondaries and some by back-scattered electrons on their way out of the sample under investigation. The size of the contribution from the last three mechanisms is referred to in the literature (see Chapter 2) as ‘the Auger electron backscattering factor’, r, which has a value 1 < r < 2:5 depending on the target material, energy and angle of incidence of the incident electrons, as discussed in detail in Chapter 2. The spatial extent of the back-scattered electrons and their efficiency in producing Auger electrons in comparison with those produced directly by the incident primary electrons is what determines the SAM spatial resolution in a given experimental geometry. Monte Carlo based simulation techniques are probably the most basic theoretical approach for the understanding of electron scattering in solids. This technique is particularly suitable for modeling conditions where the sample under investigation consists of multi-layers or when it features sharp topographical and chemical edges, as in the overlayers and via holes encountered in modern electron devices (see Chapter 9). It is therefore appropriate to use this method in the study of the SAM spatial resolution. There are, however, several Monte Carlo models of various degrees of sophistication that have been used over the years in calculating the SAM spatial resolution. In the following, a brief description of the basic structures of these models will be given together with some examples of applications.
4.2
CHOICE OF A MONTE CARLO MODEL
The use of Monte Carlo simulation approaches to study electron–solid interaction at microscopic scale has followed the development of microanalytical probe techniques13,14. A number of models of varying degrees of sophistication have been reported over the years9,15–19. The complexity of the different models depends on the theory adopted and
128
THE SPATIAL RESOLUTION
on the point being investigated. It sould be noted that even with some of the most basic models reported to date, if care is taken in accounting for the generation and escape of the Auger electron signal, the results obtained are in reasonably close agreement with some of the more sophisticated models currently available. The reason for this is that the accuracy of some of the expressions/formulae used in the generation of the Auger electron signal are only accurate to within 10–30 % in some cases (see Chapter 2). However, the choice of a given model becomes crucial as the sample under investigation becomes more complex and includes micro- and nanostructures which contain sharp physical topographies combined with chemical variations, as often encountered in electron devices and novel materials. In these cases, for example, it will be important to pay more attention to the scattering cross-sections and the step length produced by the model. It is instructive to review at this stage some of the sample categories that are encountered in SAM and which need to be discussed in terms of estimating the spatial resolution of the technique when analysing such a sample. These can be divided into: (1) A flat sample surface featuring a sharp edge of elemental material or chemical of atomic number which is different than that of the underlying substrate. (2) The case involving a topographical edge which is also made out of a material of atomic number different than that of the substrate. In addition, the experimental conditions relating to the incident beam energy, the orientation of the chemical/elemental edge under study with respect to the direction of scanning as well as the angle of beam incident on the sample surface are all important factors in determining the spatial resolution. Figure 4.1 depicts the general sample geometries that cover the above conditions.
(a)
(b)
(c)
Figure 4.1 The principal sample geometries encountered in SAM. (a) A via hole. (b) A thick film overlayer. (c) A thin surface film. The shaded region may represent a different atomic number material
SCANNING AUGER ELECTRON MICROSCOPY
129
There are two strategies that have been used in Monte Carlo simulations, one involving multiple scattering and the other is based on single scattering events. The idea of multiple scattering is to replace the effects of a number of single scattering events that cause the electron to travel a distance S within the solid, where S is the total distance traveled by the incident electron, by a single event occurring at some arbitrarily selected point within the step. The general agreement between theory and experiment using this approach in estimating the backscattering coefficient (Z) of a solid is very good, especially if one uses the exact Mott elastic scattering cross-section20. This model is fast and hence allows the simulation of a large number of electron trajectories in a reasonably short time. Krefting and Reimer21 on the other hand used a single scattering approach involving an exact Mott elastic scattering cross-section, where energy losses greater than about 100 eV were treated as single scattering events. Their results agreed well with experiment but this method is much slower than the multiple scattering type approaches. In the early days of developments of EMPA and SAM and with the availability of only modest speed computers, this option was a disadvantage. It is worth mentioning at this stage that the criterion for setting up a Monte Carlo model is to introduce as many simplifications to the scattering process as possible without significantly affecting the end result. Furthermore, since Monte Carlo calculations are statistical in nature, the bigger the number of events simulated the better the accuracy of the results obtained. Taking into account the low efficiency of the Auger electron production in the target, a simple model would enable one to improve the signal to noise ratio of the results obtained by increasing the number of electron trajectories for a given simulation time in comparison with more realistic models. With these points in mind, Curgenven and Duncomb15 have described a model which is based on a simplified multiple scattering formalism with the use of a fixed electron step length. Several studies have demonstrated the usefulness of this relatively simple approach22,23 where comparable results with other more elaborate Monte Carlo calculations as well as experiments were obtained. El Gomati and co-workers adopted this model for the production of Auger electrons and made extensive use of it in studying the factors that affect the spatial resolution of Auger electron microscopy13,24,25. This model has also been extensively used by Joy18. In what follows, a brief description of the model will be given first followed by the results obtained. For more details on the structure of these models, the reader is referred to the original publications26,27.
130
4.2.1
THE SPATIAL RESOLUTION
Description of the Model
A typical electron trajectory in the solid is shown in Figure 4.2, where Cartesian coordinates are used. There are two distinct processes that need to be considered in electron–solid interaction that cause an electron impinging a solid to lose energy and change its direction randomly. The first is the elastic scattering by the nucleus (the so-called Rutherford interactions). This is responsible for most of the angular scattering of the electrons inside the solid, which in this context are dominated by small angle (<10 ) events. Each electron emitted from an ionised atom is given a random trajectory, where a random number in the interval between
Figure 4.2 Geometry of a typical electron trajectory in solids. y is the incident electron angle, SL is the Lth step length, b is the Rutherford scattering angle and g is the azimuthal angle
SCANNING AUGER ELECTRON MICROSCOPY
131
0 and 1 is chosen for the angle of emission and another for the probability of emission. In a Monte Carlo simulation, each scattering event is treated randomly. In addition, the scattering process can also result in some electrons being backscattered out of the target surface facing the incident electrons and these normally enhance the production of Auger electrons and may affect the obtainable resolution of a SAM. The second process is the inelastic scattering of the incident electron with orbital electrons of the atoms composing the solid under investigation. This process causes the incident electrons to lose energy continuously with very little angular deflection. 4.2.1.1 Angular scattering of electrons Following Curgenven and Duncumb15, angular scattering of electrons inside the solid is regarded as being due entirely to elastic scattering from the nucleus. To simplify the calculation, the authors used the Rutherford formula in its unscreened form to describe this process and hence avoiding the need to store large arrays of previously computed scattering angles as in Bishop28 or Shimizu and Murata9 models. The Rutherford scattering angle b is given by: cot b=2 ¼ 13:9PE=Z
ð4:1Þ
where P is the impact parameter in nm (i.e. the closest distance the electron would approach the nucleus if no deflection occurred), E is the energy of the electron in eV, and Z is the atomic number of the target. The errors introduced by using a Rutherford cross-section, by treating all angular scattering as elastic and by ignoring screening are partially corrected for by choosing the impact parameter to force the Monte Carlo calculation to result in the experimentally measured value of the back-scattered electron coefficient. 4.2.1.2 The electron energy loss and range The interaction of the moving electron with the core and band electrons in the solid results in loss of energy by excitation with very little angular deflection. For simplicity inelastic scattering is neglected and the loss is assumed to be continuous and to have the form given by Bethe29, 78 500Z 1:166E ln ð4:2Þ dE=dðrSÞ ¼ EA J
132
THE SPATIAL RESOLUTION
where A is the atomic weight of the target material, (rS) is the distance along the electron trajectory, J is the mean ionisation potential for these inelastic interactions (i.e. the average energy loss per inelastic event). Bethe’s theory assumes J to be constant for all electron energies. As the energy approaches J/1.166, the above expression predicts a rate of energy loss equal to zero, which is physically wrong. This is dealt with by a modification of the Bethe expression proposed by Rao-Sahib and Wittry30 at an energy E /J ¼ 6:338 and is given by dE=dðrSÞ ¼
62 360Z pffiffiffiffiffiffi A JE
ð4:3Þ
Equations (4.2) and (4.3) are used to determine the range of electrons in the solid (rSÞmax using ðrSÞmax ¼
Z
Eo 0
dE dE=dðrSÞ
ð4:4Þ
For 20 keV incident electrons it is found to be adequate to divide the range of each electron into 100 steps, which is a compromise between the true number of scattering acts and the time required for the computation. The electron energy is then numerically evaluated at each step. As electrons reach the Auger electron escape depth, defined as three times the inelastic mean free path (l), they are allowed to ionise the atoms using a Gryzinski cross-section (see Chapter 2). It should be noted here that the choice of a specific cross-section will not cause a large change to the results as interest here is on the relative heights of the signal due to the primary and back-scattered electrons and not on their absolute values. Finally, it is customary to check the validity of the Monte Carlo method by running a number of tests using the simulation to compare results with experimental values. These may include, for example, the back-scattered electron coefficient, Z, the spatial and energy distribution of the back-scattered electrons, the electron energy range in the solid, R, the Auger back-scattered electron coefficient, r. In addition, one may also like to plot the electron trajectories for different solids, as shown in Figure 4.3 which depicts the case for 20 keV electrons incident on Al, Cu, and Au obtained with the above Monte Carlo model. An example of the type of data input required to run a Monte Carlo program based on the above described model is given in Table 4.1.
Figure 4.3 Simulations of 100 electron trajectories at different angles of incidence for (a) Al, (b) Cu and (c) Au trajectories at Eo ¼ 20 keV and for three angles of incidence 0 , 45 and 75 with the exception of Cu for which the highest angle of incidence is 60
134
THE SPATIAL RESOLUTION
Table 4.1 Data used to calculate the Auger electron emission Material Property
Al
Backscattering coefficient, Z Mean ionisation potential, J (eV) Auger process Auger initial state energy, Ec (eV) Auger escape depth, (nm) Maximum ionisation cross-section, smax ðcm2 eV2 1014 )
0.309 392 L3VV 931 12 11.7
Cu
Au
0.164 176 KVV 1560 2.8 7.3
0.507 1067 N6,7VV 84 0.6 9.2
References 40 23 41 41 42 43–45
Table 4.2 Electron ranges (in microns) for a primary beam energy of 20 keV compared with results from Murata31 Element Aluminum Copper Gold
Present data 4.54 1.79 1.36
Murata’s data 3.9 1.49 1.04
These data have also been used to obtain the spatial distribution of the emitted Auger electrons for Al, Cu and Au results presented here. The electron energy range for 20 keV incident electrons obtained using these data is compared in Table 4.2 with values reported by Murata31. Although there is a difference between the two sets of results, which are attributed to the use of different coefficients in Equation (4.2) (1.166 in the model used here against a value of 2 used by Murata), it is interesting to note that the backscattering data obtained in these calculations were insensitive to changes in the electron range of the order shown in Table 4.2.
4.3 4.3.1
THE SPATIAL DISTRIBUTION OF THE AUGER ELECTRONS A sharp Chemical Edge, Theoretical Estimates
In the present Monte Carlo simulations the incident electrons can be injected into the sample in either an infinitesimally narrow beam (represented by a delta function) at the point of impact or by giving
SCANNING AUGER ELECTRON MICROSCOPY
135
the beam a profile typical of the one produced by the column used. For simplicity, the latter is assumed to be a Gaussian electron beam of a full width at half maximum (FWHM) of 2s, where s can be given different values according to the probe used in the experiment. The results presented here use a delta function beam to study the general case of a sharp chemical edge of thickness less than the Auger electron escape depth on a substrate of different atomic number, and a Gaussian beam profile for dealing with the case of raised structures. The Auger emission spatial response functions (i.e. the Auger electron yield) of Al, Cu, and Au targets to a delta function in the width of the primary beam are shown in Figure 4.4. It is assumed that the primary electrons are incident perpendicular to the target surface. The Auger electron distribution depicted in this Figure can be divided into two parts. The first is a delta function at the origin of size determined by the Auger electron yield for the primary beam. The second is a broad region surrounding this delta function and is due to the energetic backscattered electrons. The background region has a spatial extent of
Figure 4.4 The spatial distributions of Auger electrons for Al, Cu and Au due to an infinitesimally wide electron beam of 20 keV energy at normal incidence. After E1 Gomati and Prutton26 and courtesy of Surface Science
136
THE SPATIAL RESOLUTION
about 0.2 mm in the case of Au to nearly 2.5 mm for Al in good agreement with backscattering calculations due to Murata31. Even though the emission of Auger electrons due to backscattering is concentrated near the origin, the size of the background is still very small compared with the signal at the origin which is caused by the primary beam – an effect which is not unexpected and which suggests that the SAM spatial resolution will be dominated by the size of the primary beam. In addition there is a ‘halo’ around the central peak (for Au this can be seen near 100 nm and for Cu near 200 nm in Figure 4.4) which corresponds to a similar ‘halo’ in the backscattering electron distributions calculated by Murata. In practice, finite beams of different diameters are used which necessitates the response function of Figure 4.4 to be convoluted with the beam profile. Because the d function part of the spatial response function of the target is so large compared with the broad back-scattered part this convolution will divide the behavior of the target into three types. These are: (1) If the incident beam is very broad compared with the radius of the back-scattered part of the spatial response function, then the Auger yield is simply raised by the Auger electron backscattering factor r and the broadening effects of the target are negligible. (2) If the size of the incident electron beam is very narrow compared with the backscattering radius then the source profile will also be reproduced in the Auger electron profile which is also surrounded by a very low disk due to the back-scattered electrons. The flux of Auger electrons from this disk is very small compared with the flux from the region containing ionisations due to the primary beam but it extends to the backscattering radius (0.2–0.5 mm). (3) If the beam size is comparable with the backscattering radius then the Auger electrons come from a region which is broadened and enhanced by backscattering. Case (2) above is of most interest for estimating the role of backscattered electrons on the theoretical limits of the spatial resolution of scanning Auger microscopy particularly as this is the case encountered in the analysis of structures on the nanometer scale. A model sample is taken to be a very thin overlayer of the material to be imaged using SAM and this film covers half of the (x,y) plane taken to be the sample surface. The film terminates along the line y ¼ 0. The thickness of this film is
SCANNING AUGER ELECTRON MICROSCOPY
137
assumed to be so small that it does not affect the angular and energy distributions of the primary and back-scattered electrons passing through it (i.e. of the order of a few nanometers thick). Following the notation of Chapter 2 the Auger backscattering factor of the substrate is conveniently taken as being r given by r ¼ Ib =Ii where Ii is the Auger current due to direct ionisation by the ingoing electron beam and Ib is the Auger current due to the back-scattered electrons. A method for estimating the spatial resolution across the edge of a thin overlayer is shown in Figure 4.5 including the effects of backscattering. This calculation gives an estimate of the lower limit to the spatial resolution in SAM. Note that the relationship between the characteristic width s of a Gaussian distribution and the FWHM w of the same distribution is given by qffiffiffiffiffiffiffiffiffiffiffiffiffiffi w ¼ 2 2 lnð2Þs ¼ 2:355s: An example result is illustrated in Figure 4.6 for a primary beam having s1 ¼ 10 nm, s2 has been taken as 400 nm and the Auger electron backscattering factor r as 0.6. In microscopy, edge resolution is usually defined as the distance between point x% and the point (100 xÞ% of the maximum signal produced by scanning an infinitesimally wide beam across a sharp edge. In high resolution SEM, x can have a value as small as 3–5. We adopt here the same definition of edge resolution as in SEM but with larger x values. The reason is that in SAM one is forced to accept signal to noise ratios no higher than about 10 or the time taken to produce Auger images can be inconveniently long. This means that a consistent criterion for the spatial resolution should be, for instance, the spacing 50 between 25 % and 75 % of the maximum signal. In this case, the example of Figure 4.6 would show a spatial resolution controlled by s1 not by s2 . In the steeply sloping region near the step H(x) an expression for the width 50 can de derived (see Figure 4.5). Thus, for the conditions given in Figure 4.6, 50 is 20 nm for an incident beam with s1 ¼ 10 nm. This means that the spatial resolution is degraded by the effects of backscattering but only by the backscattering factor r acting upon the incident beam and not by the large backscattering radius as in EPMA. However, if time is taken to produce a line-scan with a good signal to noise ratio, then the broadening effects of the
138
THE SPATIAL RESOLUTION
SAM Spatial Resolution The spatial effects of backscattering can be estimated by using the spatial response functions R(r) of Figure 4.4 in a convolution with the incident profile S(r) and the surface composition profile C(r). The spatial image function H(r) is then given by:
H (r )
where
(1)
S(r ) R (r ) C (r )
represents the convolution operation.
S(r) is to be a Gaussian of half-width s 1 , given by
S(r )
I s 1 2p
exp
(x 2 + y 2 ) 2s 12
(2)
R(r) as given in Figure 4.4 is approximated by a d -function due to the primary yield plus a Gaussian of half-width s 2 due to backscattering yield, such that
R(r ) = S( x , y ) + B (x ,y ) Ib (x 2 + y 2 ) exp 2ps 22 2s 22
(3)
B ( x ,y )
(4)
C(r) is a step function in the surface composition profile. The step is conveniently placed along y = 0 for a surface in the ( x , y ) plane such that
C (r ) = C ( x , y ) = C for x ≤ 0 and C (r ) = C ( x , y ) = 0 for x > 0 .
(5)
Equation (1) can then be evaluated analytically and the result is
H( x ) =
K x erf c 2(1+r) 2s 1
(R 1)erfc
x 2r 2
(6)
where K is a simple constant determining the Auger yield well into the composition step and R is the Auger backscattering coefficient. In the steeply sloping region near the step H(x) (see Figure 4.6) takes the form
H( x ) ≈
K 1 R 2
x , 2p (1+r)s1
(7)
which can be used to derive an expression for the width D50 . This is given by
D50 ≈ 1.25(1+r)s1 = 0.53 (1+ r )w
(8)
Figure 4.5 A model for the lower limit to the SAM spatial resolution for an edge of an overlayer
SCANNING AUGER ELECTRON MICROSCOPY
139
Figure 4.6 The variation of an Auger electron signal due to a thin layer with a step down to the clean substrate along the y-axis in the (x,y) plane on a copper substrate. The electron beam has a half width, s1 ¼ 10 nm. The backscattering contribution is assumed to be a Gaussian of half width ¼ 400 nm and R ¼ 1:6. Permission of Surface Science26.
back-scattered electrons will be visible and it may be necessary then to unfold the whole spatial response function from the line-scan to get at CðrÞ. Cazaux32 proposed an analytical expression for estimating the spatial resolution in SAM which takes into account the contribution of four possible sources of Auger electron production. These are: the primary electron beam; energetic back-scattered electrons; characteristic X-ray induced Auger electrons; and Bremsstrahlung-induced Auger electrons. He further associated a broadening beam effect due to the impact parameter. Although the Cazaux treatment shows that the incident beam profile is still the dominant parameter, his expression indicates that the other factors can at times override the contribution of the beam width, as will be discussed later on for high energy applications. Further, this expression does not take into account important geometrical considerations of the experimental set up that can be easily simulated in the Monte Carlo method as will be shown in the next sections. The spatial resolution in Auger imaging has been discussed by Jablonski and Powell33 using Monte Carlo modeling and recent models of the electron transport process in solids. They calculate the SAM edge resolution for a very thin film of copper on either silicon or gold
140
THE SPATIAL RESOLUTION
substrates. The response profiles calculated there are different in detail from those assumed in the work described in Figure 4.5 but their results for the edge resolutions are qualitatively consistent with Equation (8) in Figure 4.5 and of the same approximate value.
4.3.2
The Effect of the Substrate Atomic Number on Resolution
The electron trajectories of Figure 4.3 show that the lighter the target material, the deeper the incident electrons will travel with fewer back-scattered electrons. The reverse is true for heavier elements like gold. The consequences of this fact have led to a lateral resolution in EMPA of the order of 100 nm for heavy elements (Z > 40) and a little worse for light elements (Z < 20) as the interaction volume increases. In SAM this pattern is reversed but with much weaker effect. The variation of the Auger electron signal from a composition step along y ¼ 0 for a surface in the (x,y) plane is shown in Figure 4.7 for Al and Au substrates.
Figure 4.7 The effect of the atomic number on SAM spatial resolution
SCANNING AUGER ELECTRON MICROSCOPY
141
Assuming a beam of s1 ¼ 10 nm, 50 varies from 18 to 22 nm between Al and Au, respectively. These results show a weak dependence of the resolution on the substrate atomic number. The slightly improved value for light elements is because backscattering contribution is spread over a large area compared with the beam profile. Following Equation (8) in Figure 4.5, as the energy is increased it is expected that the backscattered electron contribution to the spatial resolution will vary depending on the substrate atomic number and the angle of beam incidence as is discussed in the next section. It is also important to take into account the changes in the signal to noise ratio as the strength of the Auger electron signal reduces as the energy is increased.
4.3.3
The Effect of Energy and Angle of Incidence
Equation (8) in Figure 4.5 and similarly that derived by Cazaux do not take into consideration the effect of off-normal incidence electrons in accounting for backscattering effects to the SAM spatial resolution. Experimental arrangements imposed by the size and proximity of the electron column and the electron energy analyser as well as other experimental tools that are normally employed in surface analysis experiments often lead to the use of off-normal electron incidence. In this case, as the electron beam angle of incidence y is increased, the intersection of the Gaussian beam with the sample surface will no longer be circular as in the normal incidence case but will be broadened by sec y. This can be taken into account in estimating the beam profile to insert in Equation (8). However, it is important to note that for offnormal incidence the back-scattered electron response function (as shown in Figure 4.4) will not be symmetric with respect to the point of incidence, which is what has been used to derive Equation (8), and therefore this asymmetry needs to be taken into account. In scanning a chemical edge with off-normal incidence electrons, two sample geometries of the chemical edge with respect to the direction of beam scanning need to be considered: (1) If the plane of incidence is normal to the edge whilst the beam is also scanned normal to the edge as shown in Figure 4.8(a). This geometry is referred to as the ‘perpendicular mode’. (2) If the plane of incidence is parallel to the edge but the scanning direction remains normal to the edge as shown in Figure 4.8(b). This geometry is referred to as the ‘parallel mode’.
142
THE SPATIAL RESOLUTION
Figure 4.8 The geometry of the chemical edge, the incident beam and the scanning directions. Scanning is chosen to be along the x-axis and the edge along the y-axis. (a) Perpendicular mode; incident beam makes and angle of (p=2 y) to the x-axis in the (x,z) plane. (b) Parallel mode; incident beam makes an angle of (p=2 y) to the y-axis in the (y,z) plane. After El Gomati et al 26b and courtesy of Surface Science26
The substrate back-scattered electron response function is found to be different for the above two cases, where the effects on the spatial resolution are more pronounced in the perpendicular mode. This effect becomes more important as the incident beam energy is varied as shown in Figures 4.9–4.11 which have been obtained using Monte Carlo simulations. Figure 4.9 depicts the estimated spatial resolution limits for an electron beam of s1 ¼ 20 nm incident with different primary energies, Eo and angles of incidence y. The results show that optimum resolution is obtained in normal incidence and is independent of the incident energy. However, as y is increased the resolution is degraded until Eo exceeds 50 keV when 50 begins to improve again. This behavior is seen for y up to nearly 60 . In addition to the simple
SCANNING AUGER ELECTRON MICROSCOPY
143
Figure 4.9 Change in SAM resolution 50 as a function of the primary electron energy Eo and angle of incidence y for a probe of FWHM ¼ 20 nm in the perpendicular mode
Figure 4.10 The effect of the incident beam energy (20–60 keV) on the backscattered electron response function for incident beam angle of y ¼ 50 . Courtesy of El Gomati et al 26b and with permission of Surface Science
144
THE SPATIAL RESOLUTION
Figure 4.11 The effects of the angle of beam incidence (0–70 ) on the backscattered response function for an incident electron energy of 50 keV. Courtesy of El Gomati et al 26b and with permission of Surface Science
projection of the incident electron beam of diameter d into an ellipse of major axis dsecy, there are three other contributions due to the beam energy and angle of incidence that need to be taken into account when interpreting a resolution measurement. The first is that as Eo increases for fixed y, the peak in the backscattering response function shifts away from the point of incidence. This is shown in Figure 4.10 for y ¼ 50 and Eo over the range 20–60 keV. When summed or convoluted with the incident beam profile it results in a broader and more asymmetric edge response function around the origin. This effect dominates up to 40–50 keV. Secondly, as y increases for a fixed Eo, the peak of the backscattering response function will also shift away from the point of electron impact. This is illustrated in Figure 4.11 for Eo ¼ 40 keV and for y ¼ 0–70 . Finally, for high Eo values the back-scattered electrons are distributed over a wider surface area in comparison to say 20 keV as can be seen in Figure 4.10. Thus the height of the backscattering response function is lower with respect to the beam profile and the edge resolution tends back towards the projection of the beam width. This simulation can be compared with the experimental measurements of Janssen and Venables34. These authors formed a sharp chemical edge by evaporating a thin film of silver on a tungsten substrate
SCANNING AUGER ELECTRON MICROSCOPY
145
under UHV using shadowing techniques. Due to constraints of the experimental set-up, it was only possible to scan the edge when it makes an angle of 26.5 to the normal, and this was included in the Monte Carlo simulations. Janssen and Venables’ line scan measurements were carried out for different angle of incidence and electron beam energies using the silver Auger electron transition M4,5 VV and a beam diameter estimated to be 20 nm. Figure 4.12 compares the results obtained at two different energies. In the Monte Carlo simulations the incident electron beam profile is assumed to be Gaussian of FWHM ranging from 10 to 40 nm. Further, in the simulations, the response function was summed to the Gaussian incident beam profile and then integrated over x to yield the theoretical edge profiles. The summation procedure is a good approximation to the exact convolution process because the widths of the incident beam and the back-scattered response functions are so different. The agreement between theory and experiment is very good in the case of 20 keV incident electrons, showing that the experimental profile lies between the 20 nm and 30 nm profiles. This result also shows that the use of 50 as a resolution criterion can clearly show differences in incident beam sizes. In addition, it also confirms that the spatial resolution is dominated by the beam size. The agreement between the theory and experiment in the 60 keV case is not as good as in the 20 keV. This can be explained by the asymmetric and forward-peaked back-scattered response function due to the use of both high energy and high angle of incidence as shown in Figures 4.10 and 4.11. In addition, there is also a loss in the signal yield as the energy is increased. Nevertheless, the calculated 40 nm profile is not far from, the necessarily noisy, experimental data and generally shows the same behavior as seen in the experiment. Even if we consider that the contribution of the characteristic X-rays and Bremsstrahlung increases the Auger electron yield by 25 %, which is an overestimate in this case and may not be more than a few % if the appropriate expressions for the X-rays and Bremsstrahlung are used, the agreement still does not improve as shown in Figure 4.13. It may be that this experimental measurement is questionable. This is because Cazaux et al.35 later on reported a sub-10 nm resolution at a high beam energy. In a carefully constructed and executed experiment, Venables and Hembree36 measured a sub-5 nm resolution also at high beam energy again confirming that the spatial resolution in SAM is mainly controlled by the beam diameter as shown in Figure 4.14. Recent advances in electron optical column technology by major SAM manufacturers have resulted in several instruments demonstrating a
146
THE SPATIAL RESOLUTION
Figure 4.12 Theory/experiment comparisons of Auger electron edge profiles in the perpendicular mode. Silver M4,5VV on a tungsten substrate, angle of beam incidence y ¼ 50 . The simulated beam profile is for a Gaussian of FWHM in the range 10–40 nm. (a) 20 keV and (b) 60 keV primary electron energy. Courtesy of El Gomati et al 26b and with permission of Surface Science
SCANNING AUGER ELECTRON MICROSCOPY
147
Figure 4.13 A comparison of the measured Auger edge profile of Ag/W system. (- -) Experiment, (I) Monte Carlo simulation, (II) Cazaux’s expression with X-rays (X) and Bremsstrahlung (B) contribution of 25 %, () Cazaux’s expression neglecting the contribution of X and B
sub-10 nm resolution. Figure 4.15 depicts such an example for silver crystallites evaporated on a silicon substrate and measured using a beam current of 1 nA at 10 keV incident electron energy. This result clearly shows a SAM resolution below 5 nm. It is also intriguing to note a smaller resolution value in the SAM mode in comparison with the SEM mode. There are perhaps two reasons for this discrepancy. The first is that silver has a high Auger electron yield, which allows a better signal to noise ratio to be obtained for the image, unlike some other elements as, for example, for oxygen. Secondly, both signals used to form the measured line scans are ‘secondary electrons’ in essence/nature. However, in the Auger electron case, these electrons have been filtered by the electron analyser used in comparison with the SEM detector which integrates over larger windows of both energy and angle. It has been shown that the majority of the low energy secondary electrons used in the SEM mode emanate from an area close to the point of electron impact due to a beam broadening effect. This discussion leads one to ask what is meant by the beam diameter to use and how is this measured? In conclusion, however, these recent measurements agree well with the simulation predictions.
4.3.4
The Parallel Mode
The effect of back-scattered electrons on the spatial resolution in the parallel mode is less pronounced due to the symmetry of the response
148
THE SPATIAL RESOLUTION
Figure 4.14 (i) Top left. Energy selected electron images of Ag MNN Auger electrons obtained with EP ¼ 100 keV and beam current of 1.5 nA. Silver Auger peak at E ¼ 352 eV. Top right. Background image at E 380 eV. Bottom left. Auger image (peakbackground, PB) formed from the two images above. Bottom right. SEI image is the secondary electron image of 20 V biased sample. (ii) Silver MNN Auger electron image (a) and biased secondary electron image (b) of silver islands deposited on Si (100) substrate. EP ¼ 100 keV, probe current is 0.2 nA, and nominal beam diameter is 2 nm FWHM. (iii) Line-scans of Ag MNN electrons made with (P B)/B and SEI images shown in (ii) above. Courtesy of J. Venables (Private communication).
Figure 4.15 Secondary electron and Auger images and line-scans across Ag islands deposited on Si substrate using a beam energy of 10 keV, probe current of 1 nA. (a) Secondary electron image of Ag islands on Si, (b) line-scan of secondary electron image of (a), (c) Ag MNN Auger electron image, (d) Ag MNN Auger electron line-scan of (c), (e) Si LVV Auger image and (f) Si LVV Auger line-scan of (e). Courtesy of J. Westermann, Omicron Nanotechnology GmbH, Germany
150
THE SPATIAL RESOLUTION
Figure 4.16 The spatial response function in the parallel geometry due to backscattered electrons. Silver M4,5NN Auger electrons at 355 eV
function, regardless of the incident energy as shown in Figure 4.16. In this geometry the minor axis of the ellipse formed by the intersection of the beam with the sample is what determines the spatial resolution. Figure 4.17 shows the calculated Auger electron profiles for this mode at 20 keV and 60 keV for the same beam size. It is clear that the profile is symmetric and yields better spatial resolution than does the perpendicular mode using the same definition 50 . It is interesting to note that increasing the angle of beam incidence in this mode gives an improved signal to noise ratio without loss of spatial resolution.
4.4
RAISED STRUCTURES
Although there are many practical examples of flat chemically heterogeneous surfaces that a SAM can be used to study, it is true to say that situations where the chemical variations are accompanied with changes in surface topography are very common. For example, raised metallization tracks on Si and other semiconductors are frequently investigated in the microelectronic industry. In metallurgical applications one is often forced to examine in situ fractured surfaces or superalloys which have been preferentially etched to enhance the surface contrast in the SEM.
SCANNING AUGER ELECTRON MICROSCOPY
151
Figure 4.17 Calculated line-scan profiles using Monte Carlo methods in the parallel geometry. Same conditions as used in Figure 4.12. (a) 20 keV and (b) 60 keV primary electron energy
152
THE SPATIAL RESOLUTION
With such practical surfaces it is important to explore ways of separating the effects of varying surface chemical composition from those of artefacts due to the topography. Shimizu et al.37 have reported simulations showing the enhancement of the Auger electron signal from a topographical edge. Their results showed that using 10 keV electrons impinging an Al overlayer near to its edge at normal incidence, an increase of about 50 % in the height of the Al LVV Auger electron peak over its size from a bulk Al specimen is obtained but only an increase of 20 % is obtained for 7.5 keV electrons. However, no details of the Al overlayer thickness in either the simulation or the experiment were given. Tuppen and Davies38 modeled the effects of the overlayer and substrate on the Auger electron signal of SAM. They reported the case of an edge to an Al layer which had a thickness of 100 nm on a Si substrate. Their results showed some significant features of the substrate signal which were attributable to edge artefacts. In particular, for an electron beam 100 nm in diameter and 20 keV incident electrons on the above sample, the Auger electron signal of the Si substrate starts to rise some 0.5 mm away from the edge and reaches a maximum at nearly 0.1 mm from the edge (i.e. where the beam is still incident on the Al overlayer). This maximum was found to be of the order of 20% greater than the height of the flat Si substrate. Similar behavior was also found for a Au overlayer of the same height on a Si substrate. El Gomati et al.39 extended their Monte Carlo simulations to take account of sample topography in a comprehensive study. They compared the simulated results of Al and W overlayers on Si substrates with experimental measurements of Al and Au overlayers on Si substrates. The experiment was carried out in a SAM equipped with a CHA electron energy analyser as described in Chapter 3. Four edge artefacts were identified as a result of an electron beam of energy Eo striking the surface of a solid having an overlayer of rectangular cross-section on a flat substrate, as depicted in Figure 4.18. The origin of these artefacts can be explained as follows. Primary electrons entering the surface of the solid lose energy continuously in scattering events. If the electron beam is incident near to the edge of the overlayer, then those electrons exiting from the sidewall of the overlayer and impinging upon the Si substrate will mostly have a lower energy than Eo . Such rediffused primary electrons will ionise the Si substrate more efficiently than will a higher energy beam. Adding to this is the fact that most of the electrons that escape from the sidewall of the overlayer will exit with near grazing angles with respect to the surface, thence
SCANNING AUGER ELECTRON MICROSCOPY
153
Figure 4.18 (a) Layout of the orientation of the edge with respect to the incident electron beam and the Auger analyser’s field of view. (b) An Auger line-scan of the Al (P B) signal illustrating the shadowing of the analyser’s field of view by the Al edge (left-hand side of the figure), and the enhancement of the Al signal near the edge (right-hand side of figure). (c) An Auger line-scan of the Si (P B) signal depicting the shadowing (left-hand side of figure) and the enhancement to the Si signal near the edge (right-hand side of figure) as a result of primary electrons escaping from the sidewall of the Al edge and impinging on the Si substrate. Note that these electrons produce Si Auger electrons as a result of an incident beam positioned on the Al edge. (d) Schematic of the possible electron pathways for primary electrons incident with ¼ 180 . These electrons are the likely cause of the small substrate Auger peak on the line-scan at the edge position, Effect III. (e) Possible electron pathways responsible for the apparent shifting of the position of the overlayer edge in the Auger line-scan. Permission of Surface and Interface Analysis39
increasing further the Auger electron yield from the substrate. Therefore a Si Auger electron signal will be observed even though the incident electron beam is on the Al overlayer near its edge, as reported by Shimizu et al.37 and Tuppen and Davies38. Furthermore, an Al Auger
154
THE SPATIAL RESOLUTION
electron signal enhanced over that produced from the Al surface by the incident beam will be produced. This enhanced signal is because the same rediffused primary electrons on their passage through the sidewall of the Al overlayer will give an additional Al Auger electron signal because of their higher efficiency of ionization in comparison with the primary electrons. The enhancement of both the overlayer and substrate Auger electron signals due to this forward scattering through the sidewall will be referred to as Effect I, as shown in Figure 4.18(a) and (c). The second effect deals with shadowing of the Auger electron analyser’s field of view by the overlayer. If the latter has a thickness greater than the diameter of the incident electron beam then for geometries with the angle ¼ l80 , part or whole of the substrate signal next to the edge will not be detected by the analyser. The width of the shadow will depend upon the orientation of the analyser input axis with respect to the edge being observed. This shadowing will be called Effect II, as shown in Figure 4.18(a) and (c). Effects III and IV are due to backscattering phenomena which arise when the electron beam is incident at ¼ 180 . The first of these effects results from electrons backscattering from the sidewall of the Al overlayer. These electrons impinge the Si substrate and cause emission of Si Auger electrons away from the edge and from a position that can be detected by the analyser (i.e. from areas where shadowing is not effective). This effect is sketched in Figure 4.18(d) and (c). The fourth effect is outlined in Figure 4.18(e) and (b). Electrons incident upon the substrate near the edge and in a shadowed region, can backscatter out of the Si surface in a forward direction to impinge the corner of the overlayer with high enough energy to cause ionisations within the Al overlayer with the subsequent emission of Al Auger electrons. As a result of this effect the detected position of the Al edge of the overlayer will be shifted from its actual position in a direction which increases the apparent width of the Al overlayer. Thus Effect IV is an overlayer broadening artefact. Both the magnitude of the overlayer Auger electron signal with respect to that from bulk Al and the size of the shift will depend on the value of the angle y. Near grazing incidence both the magnitude of the Al signal and the shift will increase.
4.4.1
Low Atomic Number Overlayers on Si
Calculated Auger line-scans for Al on Si in the case of 20 keV electrons are shown as a function of the azimuthal angle of incidence y in
SCANNING AUGER ELECTRON MICROSCOPY
155
Figure 4.19 Simulated line-scans of the Al (solid) and Si (dotted) Auger signals for 20 keV primary electrons incident on a 1.4 mm thick Al edge on a Si substrate. The azimuthal angle of incidence (see Figure 4.2) is y ¼ 30 . In all the figures presented here in the case of the perpendicular mode, the left-hand side of the figure is for ¼ 180 , and the right-hand side is for ¼ 0 . (a) Perpendicular mode. (b) Parallel mode. Permission of Surface and Interface Analysis39. The apparently noisy signal between the two edges in (a) is due to the topography of the deposited film
Figures 4.19 and 4.20. Some features attributable to the edge can be noted. For the near normal incidence case (i.e. y ¼ 30 and ¼ 0 , which corresponds to the right-hand side of Figure 4.19(a)) and an edge height of 1.4 mm, an increase in the Al signal level is noticed as the electron beam approaches the edge in the perpendicular mode. This is Effect I.
156
THE SPATIAL RESOLUTION
Figure 4.20 Simulated Auger line-scans of the same Al edge as in Figure 4.19, but for y ¼ 60 . (a) Perpendicular mode. (b) Parallel mode. Permission of Surface and Interface Analysis39
This increase starts at about 1.5 mm away from the Al edge and reaches a maximum of about 30 % more than the signal level from bulk Al at about 0.3 mm away from the edge. The Si signal of the substrate has a different behavior because Si Auger electrons can be detected for an electron beam incident on the Al overlayer some 2.5–3 mm away from the edge. This signal reaches a maximum of nearly 2.4 times larger than the signal level from bulk Si which for the above geometry occurs at about 0.4 mm away from the edge. If this edge is scanned in the same
SCANNING AUGER ELECTRON MICROSCOPY
157
mode but with ¼ 180 (left-hand side of Figure 4.19(a)), then different edge artefacts are obtained because the edge itself shadows the Auger analyser’s field of view. This is Effect II. As a result the signal height due to the Si substrate starts to decrease some 3 mm away from the Al edge, approaching zero level about 2 mm away. This zero level is seen at the start of the line-scans on the left of Figure 4.19(a). Secondly, a small substrate peak equal to about 30% of the height of the unshadowed substrate signal (i.e. bulk Si) appears at about 0.2 mm away from the edge and on the Al overlayer. The likely cause of this effect is backscattered electrons from the side of the Al overlayer–described earlier as Effect III. Thirdly, in the case of the Al line-scan, electrons incident on the Si substrate about 1 mm away from the edge are back-scattered out of the Si surface causing ionisations within the Al overlayer with the subsequent emission of Al Auger electrons. This is Effect IV. Inspection of Figure 4.19(a) shows that for a 1.4 mm Al overlayer, this effect starts to show at some 1 mm away from the edge reaching a maximum of. Thus about 70 % the signal height from bulk Al at about 0.5 mm away from the edge. These effects are also seen with a different magnitude in simulations with y ¼ 45 but for an Al overlayer thickness of 1 mm. It is found that the change in magnitude of these effects can be caused by varying either the angle of the incident electrons or the overlayer thickness. As the angle of incidence y is further increased, i.e. for y ¼ 60 and ¼ 0 (right-hand side of Figure 4.20(a)), it is found that the Al signal does not go through a maximum as it does for near normal incidence, and also the Si signal shows a reduced maximum. On the other hand, it is interesting to note that both the position of the maximum in the substrate signal height and the x-axis lateral position, where it is just possible to detect it, are similar to those with y ¼ 30 . Further, both the shadowing effect is reduced and the shift of the edge position is enhanced (compare left-hand side of Figure 4.19(a) with that of Figure 4.20(a) where y has been increased). If the same edge is scanned in the parallel mode, a similar behavior to the perpendicular mode (with y ¼30 , ¼ 0 ) is obtained (i.e. Effect I) as shown in Figure 4.19(b). However, as can be seen, the relative increase in both the Al and Si signal heights (as compared with the bulk signals) is reduced because in this case fewer electrons will be scattered out of the Al edge to hit the Si substrate (as was the case for y ¼ 30 , ¼ 0 ). This is because Auger electrons originating from the sidewall, or from the substrate as a result of the electrons coming
158
THE SPATIAL RESOLUTION
through the sidewall, have been generated by electrons scattered out of the primary beam through 90 . This scattering probability is smaller than that for the forward case applying to the perpendicular mode. In addition, the maxima for both the Al and Si signals are shifted towards the edge and show a more symmetrical profile. This is in good agreement with the results shown in Figure 4.17. Effect I in the parallel mode is reduced as y is increased to the point that, in the case of y ¼ 60 (Figure 4.20(b)), it is found that the Al signal shows no maximum at all while the increase of the substrate signal amounts to only some 10–15% over the signal level from the bulk Si. It is interesting to note that in this mode Effects II, III and IV are absent.
4.4.2
High atomic number overlayers on Si
The edge effects for a high atomic number overlayer material (tungsten of thickness 1 mm) as a function of y are shown in Figures 4.21 and 4.22 for beam conditions similar to those of Figures 4.19 and 4.20. In contrast to the example of a low atomic number overlayer discussed above, the interaction of the incident electrons with the target is different and hence the magnitude of some of the observed features is also different. For example, in the perpendicular mode and for y ¼ 30 and ¼ 0 (right-hand side of Figure 4.21(a)), the edge enhancement in the signal level of the W overlayer observed in the simulation (i.e. Effect I) is less than 4% and occurs at about 0.6 mm from the edge. The increase of the Si signal amounts to only some 15% and occurs at the edge position. In addition, it is just possible to detect Si Auger electrons with the electron beam incident on the W overlayer some 0.8 mm away from the edge. This compares with about 2.5 mm in the case of Al. Similar effects to the case of Al on Si at y ¼ 30 , ¼ 180 are observed here as shown in Figure 4.22. As y is increased, the edge enhancement effect is reduced even further as there is almost no enhancement of either signal from the W or Si as the beam scans the edge with y ¼ 60 and ¼ 0 (right-hand side of Figure 4.21(a)). However, Effect IV now becomes more pronounced and the shadowed region from the edge becomes less apparent. As a result, both the detection point shifts away from the actual edge position and the size of the effect increases, reaching (for y ¼ 60 ) about 80% of the Auger signal from the bulk W. For the parallel mode with y ¼ 30 , ¼ 90 the effect on the Si signal is barely detectable, but occurs at exactly
SCANNING AUGER ELECTRON MICROSCOPY
159
Figure 4.21 Simulated Auger line-scans of W (solid) and Si (dotted) for EP ¼ 20 keV electrons incident on a 1 mm thick W edge on a Si substrate with y ¼ 30 . (a) Perpendicular mode. (b) Parallel mode. Permission of Surface and Interface Analysis39.
160
THE SPATIAL RESOLUTION
Figure 4.22 Simulated Auger line-scans as in Figure 4.21 but for y ¼ 60 . Permission of Surface and Interface Analysis39.
the edge position. The symmetry of the W signal about the edge position is again seen in this mode and none of the other effects are observed. Figure 4.23 is an experimental measurement of the edge effects discussed earlier on. For brevity, only the case of low atomic number overlayer will be given, but the reader is referred to the original publication39 for full details. An aluminium overlayer film about 1.4 mm thick was deposited on a silicon substrate using lift-off techniques. The sample was introduced to the SAM and then in-situ cleaned with an Arþ ion beam to remove all traces of surface contaminants. Auger line scans of 512 pixels were then collected using a beam energy of 22 keV and incident current of 3–5 nA in a spot of 0.3 mm diameter and angle of incidence y = 30 (Figure 4.23a), which is closest to the perpendicular mode geometry. All four edge effects of the overlayer and the substrate predicted in Figure 4.18 can be clearly seen in the data obtained. In particular, the enhancement of the Si signal by the forward scattered electrons exiting from the side of the Al edge and impinging on the Si substrate is highest for near normal incidence electrons (y ¼ 30 ) but is nearly undetectable as y is increased to 60 (Figure 4.23b). However, the overlayer broadening effect IV is now more visible at this near grazing incidence, in agreement with the Monte Carlo simulations.
SCANNING AUGER ELECTRON MICROSCOPY
161
Figure 4.23 (a) Measured Auger electron line-scans of the structure simulated in Figure 4.19 showing all four edge artefacts described in Figure 4.18
REFERENCES 1. M. Sato, in Handbook of Charged Particle Optics, edited by J. Orloff (CRC Press, New York, 1977), p. 319.
162
THE SPATIAL RESOLUTION
2. A. Christou, in IITRI/SEM/1975, edited by O. Johari (Illinois Institute of Technology and Research, Chicago, 1975), p. 149. 3. A. Christou, Journal Applied Physics 47, 5464 (1976). 4. E. K. Brandis, in Scanning Electron Microscopy 1975, edited by O. Johari (Illinois Institute of Technology and Research, Chicago, 1975), p. 149. 5. J. Kirschner, in Scanning Electron Microscopy 1976, edited by O. Johari (Illinois Institute of Technology and Research, Chicago, 1976), Vol. 1, p. 215. 6. N. C. MacDonald, C. T. Hovland and R. L. Gerlach, in 10th Annual Scanning Electron Microscopy Symposium, edited by O. Johari (Illinois Institute of Technology and Research, Chicago, 1977), Vol. 1, p. 201. 7. A. Mogami, Thin Solid Films 57, 127 (1978). 8. K. Murata, Journal of Applied Physics 45, 4110 (1974). 9. R. Shimizu and K. Murata, Journal of Applied Physics 42, 387 (1971). 10. H. Shimizu, M. Ono and K. Nakayama, in 7th International Conference on Solid Surfaces, edited by R. Dobrozemsky et al. (Berger, Vienna, 1977), Vol. 1, p. 2539. 11. H. Todokoro, Y. Sakitani, S. Fukuhara and Y.Okajima, Journal of Electron Microscopy 30, 107 (1981). 12. J. Cazaux, Revue de Physique Applique 10, 263 (1975). 13. M. Green, Proceedings of the Physical Society (London) 82, 204 (1963). 14. H. E. Bishop, Proceedings of the Physical Society (London) 85, 855 (1965). 15. L. Curgenven and P. Duncomb, Simulation of Electron Trajectories in a Solid Target by a Simple Monte Carlo Technique (Tube Investments, Cambridge, 1971), p. 487. 16. A. Jablonski, Surface and Interface Analysis 1, 122 (1979). 17. M. Shimizu and D. Ze-Jun, Reports on Progress in Physics 55, 487 (1992). 18. D. Joy, Monte Carlo Modelling for Electron Microscopy and Microanalysis (Oxford University Press, New York, 1995). 19. P. J. Cumpson, Surface and Interface Analysis 20, 727 (1993). 20. R. Browning, T. Z. Li, R. F. W. Pease, Z. Czyzewski and D. C. Joy, Journal of Applied Physics 76, 2016 (1994). 21. E. R. Krefting and L. Reimer, in Quantitative Analysis with Electron Microprobes and Secondary Ion Mass Spectroscopy, edited by E. Preuess (Kernorschungsanlage, Julich, 1973), Vol. 8, p. 114. 22. R. B. Bolen and E. Lifshin, in Scanning Electron Microscopy 1973, edited by O. Johari (Illinois Institute of Technology and Research, Chicago, 1973), p. 286. 23. G. Love, M. G. Cox and V. D. Scott, Journal of Physics D: Applied Physics 10, 7 (1977). 24. T. Ishida, M. Uchiyama, Z. Oda and H. Hashimoto, Journal of Vaccum Science and Technology 13, 711 (1976). 25. P. W. Palmberg, G. E. Riach, R. E. Weber and N. C. MacDonald, Handbook of Auger Electron Spectroscopy (Physical Electronics Inc., Eden Prairie, 1972). 26. M. M. El Gomati and M. Prutton, Surface Science 72, 485 (1978). 26b. M. M. El Gomati, A. P. Janssen, M. Prutton and J. A. Venables, Surface Science, 85, 309, (1979). 27. H. Yan, M. M. El Gomati, M. Prutton, D. K. Wilkinson, D. P. Chu and M. G. Dowsett, Scanning 20, 465 (1998). 28. H. E. Bishop, Proceedings of the Physical Society (London) 85, 855 (1965). 29. H. Bethe, Annal de Physique 5, 325 (1930). 30. T. S. Rao-Sahib and D. B. Wittry, Journal of Applied Physics 45, 5060 (1974).
SCANNING AUGER ELECTRON MICROSCOPY
163
31. K. Murata, in Scanning Electron Microscopy 1973, edited by O. Johari (Illinois Institute of Technology and Research, Chicago, 1973), p. 268. 32. J. Cazaux, Surface Science 125, 335 (1983). 33. A. Jablonski and C. J. Powell, Applied Surface Science 242, 220 (2005). 34. A. P. Janssen and J. A. Venables, Surface Science 77, 351 (1978). 35. J. Cazaux, J. Chazelas, M. N. Charasse and J. P. Hirtz, Ultramicroscopy 25, 31 (1988). 36. J. A. Venables and G. G. Hembree, in Electron Microscopy and Analysis, edited by F. J. Humphreys (Institute of Physics, Newcastle, 1991), Vol. 119, p. 33. 37. R. Shimizu, T. E. Everhart, N. C. MacDonald and C. T. Hovland, Applied Physics Letters 33b, 549 (1978). 38. C. G. Tuppen and G. J. Davies, Surface and Interface Analysis 7, 235 (1985). 39. M. M. El Gomati, M. Prutton, B. Lamb and C. G. Tuppen, Surface and Interface Analysis 11, 251 (1988). 40. S. J. B. Reed, Electron Probe Microanalysis (Cambridge University Press, Cambridge, 1993). 41. J. A. Bearden and A. F. Burr, Reviews of Modern Physics 39, 128 (1967). 42. C. J. Powell, Surface Science 44, 29 (1974). 43. M. Gryzinski, Physical Review 138A, 305 (1965). 44. C. R. Worthington and S. C. Tomlin, Proceedings of the Physical Society (London) A69, 401 (1956). 45. M. Lotz, Zeitschrift fur Physik 232, 101 (1970).
5 Forming an Auger Image M. M. El Gomati and M. Prutton
5.1
INTRODUCTION
Having described some of the background to the theory of the Auger process and the principles of its application to imaging as well as outlining the instrumental considerations, the next matters to be discussed concern the processes and procedures involved in obtaining Auger electron images of a surface. The first step is to prepare the sample for insertion in the UHV system prior to obtaining a vacuum. The next step is usually to carry out some in-situ cleaning or cutting in order to expose the surface intended for observation and analysis. Then the process of image acquisition can begin – usually by determination of a region of interest on the surface. The procedures of on-line calculation of the incoming data describing the Auger images can then be set up – for instance whether or not corrections are to be made for the transmission function of the energy analyser and what method will be employed for background subtraction. Having acquired the data for the Auger images of this region any preprocessing needed before image analysis may be conveniently carried out before moving to another sample or another region of interest. However, the analyst may need to be aware of the various possible imaging artefacts that may arise as a result of the experimental geometry or subsequently in interpreting an Auger image. These artefacts will be highlighted and the correct procedure for their avoidance or reducing their magnitude will be described. The material in this chapter is arranged in this order so as to show the reader the sequence of decisions and operations that are carried out by the analyst. Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
166
5.2
FORMING AN AUGER IMAGE
SAMPLE MATERIAL – ELECTRON BEAM DAMAGE
Some materials can have their surfaces modified or damaged by bombardment with electrons – for instance, the surface can lose some elements preferentially, it can be disordered or it can be contaminated by stimulated adsorption from residual gases in the ambient atmosphere. Also, the surface may charge electrically and cause peaks in the electron spectrum to appear at different kinetic energies depending upon the size of the surface potential. Any or all of these effects may be exacerbated by the high current density of the beam in a SAM instrument. A simple calculation shows that each atom in the surface will be bombarded by about 3 106 electrons per second for a beam of 10 nA with a 50 nm diameter. Therefore it is not surprising that some surfaces may be affected by such a beam. The subject has been reviewed by Pantano and Madey1 and by Pantano et al.2. In general, most metallic and semiconducting materials in UHV are stable in an electron beam but most organic materials and many ionic compounds are not. This means that Auger spectroscopy and microscopy is most useful for metals and semiconductors. When the stability of a sample is unknown one simple tactic is to carry out the very first studies of the surface in the SAM instrument using an unwanted region at an edge or corner of the sample. By carrying out an investigation of the time dependence of any peaks in the Auger spectrum at a fresh position on the surface the stability of the surface in the beam can be discovered. If it is not acceptable for the longer times needed for Auger imaging then investigations of more interesting regions can be started with short dwell times per point accepting the necessarily poor signal to noise ratios associated with low electron counts per pixel. Once the stability of the surface is established then, of course, longer acquisition times can be used for better image quality and interpretation.
5.3 5.3.1
SAMPLE PREPARATION AND CLEANING Introduction
Because of the high sensitivity of Auger analysis to atoms on or very near the surface of a sample the terms ‘dirty’ and ‘clean’ carry rather more extreme implications than usual in common experience. A surface that has been exposed to the air in the laboratory is normally very dirty in the
SCANNING AUGER ELECTRON MICROSCOPY
167
sense that it can be covered by layers many atoms thick consisting of carbon, oxygen, sulfur and chlorine derived from molecules in the normal atmosphere. Many metallic samples may be covered with layers of oxides or carbides in the atmosphere and such layers may consist of atoms bound very tightly to their neighbors both in the layer and in the sample beneath. Traces of organic vapors in the atmosphere may result in coverage by carbon in one or more of several forms – for instance, amorphous, graphitic or diamond-like carbon layers or layers of hydrocarbons. The removal of these contaminants so as to expose the surface of the material beneath, hopefully with the composition and structure of the surface of this material in a state that it would have had if never exposed to the laboratory atmosphere, is a possible objective of the process of sample cleaning. Sometimes the analyst wishes to remove only adventitious contamination arising from the exposure of the sample to air. Sometimes the objective is more demanding in that the analyst wishes to remove surface compounds (e.g. oxides or carbides) formed during sample preparation or manufacture. Even more demanding is the cleaning of a crystalline sample for which the analyst wishes to expose the ordered surface of the crystal after previous cleaning procedures may have removed all foreign atoms leaving the surface atomically clean but crystallographically disordered. The first two scenarios are the most common and the procedures to be deployed can be divided into ex-situ and in-situ types.
5.3.2
Ex-situ Cleaning
The procedures for sample preparation and cleaning prior to insertion in the UHV chamber of the microscope are often rather more stringent than those used in more conventional forms of electron microscopy. The exposure of the surface required for study may require very similar procedures in that sample cutting, polishing and etching may all be deployed. However, the subsequent removal of adventitious contamination requires more attention to detail in order to avoid damage or the introduction of additional and new contaminants. Metals and semiconductors can be prepared using well-documented cutting, polishing and etching techniques. These are described for surfaces, for example, by Lindfors3 in Czanderna et al.4. The authors have found that a good general reference for preparation of metals and semiconductors is in Volume 1 of the three-volume set Metals Reference Book by Smithells5. The remaining volumes contain a wealth of
168
FORMING AN AUGER IMAGE
Figure 5.1 Cutting through a surface to reveal the depth profile of elements. The vertical scale is deliberately exaggerated to demonstrate the shape of the new surface relative to the depth of the layers on the surface. The angle between the original surface and the new surface may be of the order of only a few milliradians. (a) The uncut sample indicating three different layers of material on a substrate. (b) Angle lapping produces a new surface inclined at a small angle to the original surface. This lapping can also be done from the free surface of the substrate (not shown) below the region to be investigated so as to reveal the interface between the deepest layer and the substrate without disturbing the layers above the interface. (c) Ball-cratering producing a spherical section cut through the layer structure
information concerning the properties of these materials and their alloys and are especially useful for the phase diagrams of alloy systems. Some problems involve the study of the distribution of elements as a function of depth below the surface – depth profiling. Techniques for revealing this distribution using surface analytical techniques include angle lapping and ball cratering. Cross-sections of the geometry produced by these methods are indicated in Figure 5.1. A section through the material is revealed on the sample surface and can be analysed using Auger spectroscopy, microscopy or other methods such as XPS or secondary ion spectroscopy (SIMS). Angle lapping is described by Tarng and Fisher6 and can be carried out as shown in Figure 5.1(b) or from the other side of the substrate so as to reveal the details of the interface between the deepest layer and the substrate (this might be called reverse angle lapping). This reverse technique has been described by Roberts et al.7. Ball cratering is widely used and has been described by Taylor et al.8 and Brown et al.9. Typically, a steel ball about 25 mm diameter covered with diamond paste is rotated in contact with the sample until it has penetrated the required depth – often a few tens of microns. Normally the operation starts with coarse diameter diamond paste and ends with fine sizes of about 0.25 mm diameter. Another method for exposure of a surface inside a sample has been exploited mainly in the investigation of semiconducting device structures. This is the micro machining of selected regions in a structure with a focused ion beam (FIB). Instruments are available[1] that allow a [1]
For example, FEI Company, 5350 NE Dawson Creek Drive, Hillsboro, OR 97124, USA.
SCANNING AUGER ELECTRON MICROSCOPY
169
surface to be imaged with secondary electron emission as a fast ion beam is scanned across a region of interest. If the current in the ion beam is low then such an image can reveal details of the surface with very little damage by sputtering of material. Once a region has been located where the surface layers need to be removed then the ion beam current can be increased and material sputtered away in a controlled fashion. In this manner an internal surface can be exposed within the sample. Chemical analysis can then be carried out after transfer to a SAM instrument. This approach is very powerful for finding special regions in semiconductor device structures and removing material to expose a region where properties need to be established. Thus, a FIB can be used to remove a metallic contact pad to examine the region where there should be an ohmic contact to the semiconducting material beneath or it can be used to cut through a passivation layer to examine the surface of an active device structure beneath. However, the method can also introduce implants of the material used to form the beam, often gallium. This can have two detrimental effects; implanting a dopant in a semiconductor, and in creating a subsurface layer of altered material. Both of these can be avoided or their effect reduced if, following the use of a FIB, the sample is subsequently subjected to a low energy inert ion cleaning. This ensures that the damaged or modified area is removed before analysis begins. Preliminary investigation of a sample having been mechanically prepared is often by SEM because of the speed and power of this microscopy. The usefulness of this technique is slightly problematic however, because the act of imaging a surface in the SEM is accompanied by the coating of the regions investigated by a layer of carbon. This comes mainly from cracking of the vapor of diffusion pump oil by the SEM electron beam or of naturally adsorbed surface CO. If the same regions are to be investigated in the SAM instrument then this extra contamination will have to be removed. This can usually be achieved using the in-situ methods described below. In some cases this carbon deposition can be exploited to make recognisable markers beside areas of special interest that can then be used to come back to those areas in the SAM instrument. Having completed the mechanical preparation of the sample the next steps are to clean cutting lubricants or other contaminants from the surface. At this stage preparations are necessary for handling the sample with clean tools and with the hands protected by lint-free gloves to prevent the transfer of oil or grease from the tools or the hands. It is also important that all mechanical tools used, particularly screw drivers and spanners, have to be demagnetised before use. Failure to do this may
170
FORMING AN AUGER IMAGE
cause the magnetisation of part of the sample holder which will cause a malfunction of the electron energy analyser. Clean gloves and degreased tools should be set aside and ready in a clean box arranged to be free of dust by operating it at a pressure higher than atmospheric pressure in the laboratory. The clean box must, of course, be large enough to house the holder for the sample or even an entire sample manipulator depending upon the design of the experiment to be performed. Once clean tools and gloves are ready for use, the sample can be degreased to remove most of the contamination caused in the laboratory atmosphere. This is usually carried out by immersion of the sample in an ultrasonic bath filled with a solvent such as RBS25[2] to remove most contamination and then in a container of high purity diethyl ether immersed in the ultrasonic bath for a final clean. The sample can then be lifted out of the solvent with clean tweezers and taken to the clean box where it can be mounted with suitable clean tools onto its holder or the sample manipulator. If the diethyl ether is of high purity (99.999 % or better) it dries in air at room temperature by evaporation leaving no visible marks on the surface. As the sample is mounted onto the manipulator, matters of self-discipline become very important because the user is wearing clean gloves and there is a risk that he or she may be tempted to touch surrounding dirty surface and subsequently touch the sample or manipulator with contaminated gloves. If another tool is needed unexpectedly the temptation to open a cupboard, the laboratory door or to pick up an uncleaned spanner is very strong and must be resisted. If a second helper is available they can pick up whatever is needed and clean those items then handing them in a gloved hand to the user mounting the sample. The use of an over-pressured clean box can be valuable in that it reduces the number of dust particles that accumulate on the surface to be studied should the sample be left exposed to a normal laboratory atmosphere. Not only do such dust particles spoil the appearance of any image obtained in the microscope, but also, in a SAM, the high electron beam current density can cause electrostatic charging of such particles as they often happen to be composed of insulating materials (tiny fragments of dead skin, organic compounds, particles of metal oxides). This charging creates a large electrostatic field around the samples that decays away slowly and causes loss of spatial resolution on neighboring regions as well as overall image distortion and loss of signal. The [2]
Medline Scientific Ltd, Monument Business Park, Warpsgrove Lane, Chalgrove OX44 7EW, UK.
SCANNING AUGER ELECTRON MICROSCOPY
171
authors found it useful to use clean pressurised air to remove any remaining dust particles from the sample surface before loading it and pumping down the vacuum chamber.
5.3.3
In-situ Cleaning
After installation in the SAM instrument and achievement of UHV conditions the sample may still not be ready for surface analysis. Every surface is covered with several atomic layers of contaminants arising from exposure to the air at atmospheric pressure and, possibly, from any bakeout procedure used to achieve UHV. Common contaminants are C, O, N, S and Cl. Any chemically specific surface analysis technique detects only that the surface is covered with these elements. The standard methods of final cleaning are inert gas ion bombardment and, less commonly, in-situ cleavage and in-situ deposition of a material to cover this adventitious contamination. Of course, SAM can be used to study the newly inserted sample covered with its adventitious contamination and some useful information may be obtainable because different contaminants may have adhered to varying extents on different underlying material. Nevertheless, most studies do involve in-situ cleaning to remove most of the adventitious contaminants. Inert gas ions with kinetic energies of a few hundred electronvolts up to a few keV have large cross-sections for the sputtering of atoms out of the material they strike. A broad beam of such ions can be used to clean a few square millimeters of a surface by sputtering or a focused beam of ions can be used to clean a smaller area. Argon is used most commonly but xenon and neon have also been valuable for particular sample materials. Data on the sputtering yield of a variety of atoms by a variety of gas ions for a selected range of kinetic energies has been published in a book by Andersen and Bay10. Commonly ion beam currents of a few tens of microamps at 5 keV energy are used to bombard a substrate for a few minutes to remove adventitious carbon but this may rise to a few hours to remove a tightly bound oxide from a transition metal (e.g. Al2O3 from a NiAl alloy sample). This cleaning procedure changes the surface composition of the material exposed subsequently because different elements sputter at different rates in the same ion beam and atoms are driven forward into material below the free surface by the incoming ions. The composition of the clean surface may also be changed by mixing with atoms from beneath the surface and may
172
FORMING AN AUGER IMAGE
be crystallographically disordered by the ion beam. The effects of differential sputtering rates can be particularly complicated because the rates depend upon the composition of the layer being sputtered. This whole area has been very thoroughly studied by users of SIMS and is documented by Briggs and Seah11. In practice, analysts commonly use the literature as a guide for the ion bombardment parameters for the sample under study and then develop their own methodology for a series of similar samples. Some materials have surfaces that are not tightly bound to contaminants after exposure to normal atmospheric pressures. Examples are MgO12 and LaNi13 which adsorb water vapor from the air. Such materials can often be cleaned in situ by heating the sample locally to temperatures just above 100 C. Such sample heating is quite separate from the bakeout procedure during which gas is desorbed from the system walls and sample contamination occurs.
5.3.4
In-situ Cleavage and Breaking
An atomically clean surface characteristic of the inside of a sample can be prepared by fracture or cleavage of some sample materials. Cleavage is characteristics of some single crystals such as rock salt structures that cleave on a (100) face and zinc blende structures that cleave on the (110) face. Examples of the former are the alkali halides14 and divalent metal oxides15 and of the latter are the III–V semiconducting materials16 such as InSb. This method of surface preparation has been used for studies of the processes of surface segregation and diffusion and of the reactivity of surfaces when atoms are deposited upon them. A typical UHV cleavage device has been described by Pianetta17 and is reproduced from his work in Figure 5.2. The impact required to cause the material to cleave depends upon the sample material. The alkali halides cleave rather easily but the divalent metal oxides and the III–V semiconductor materials are mechanically hard and require more impact on the cleaver. Some materials are not available as single crystals or may not cleave naturally. Glassy solids, ceramics and some steels and alloys can be caused to fracture in situ to reveal a conchoidal fracture surface or a break along grain boundaries in the sample. Studies of fracture in some steels using UHV fracture have been reported, for example, by Wild and his research group18,19.
SCANNING AUGER ELECTRON MICROSCOPY
173
Publisher's Note: Permission to reproduce this image online was not granted by the copyright holder. Readers are kindly requested to refer to the printed version of this chapter.
Figure 5.2 A UHV compatible sample cleavage device described by Pianetta17. An anvil is rigidly supported from the flange mounted onto the chamber wall. The sample manipulator is used to place the sample such that it is in contact with the anvil. A cleavage blade ground into the shape of a small guillotine can be lowered onto the sample using the linear transfer mechanism. A sharp blow on the shaft of this mechanism transfers impact to the sample that breaks or cleaves depending upon sample material and orientation. Reproduced with kind permission of Springer Science and Business Media from Pianetta17
5.3.5
In-situ Deposition
For studies of a wide variety of surface chemical reactions, film growth mechanisms and diffusion and segregation processes or to cover up an unwanted surface element it is often necessary to deposit atoms upon a prepared surface. Small UHV compatible devices for deposition by sublimation or evaporation have been described by Prutton and Tokutaka20,21. These sources need to be outgassed prior to deposition because impurities or absorbed gases may be emitted when the source is heated up and be unintentionally mixed or reacted with the atoms wanted on the surface. The ordered growth of materials upon a clean, single crystal, substrate is usually obtained by molecular beam epitaxy (MBE). This process has been described, for instance, by Arthur22 and a sketch of a vapor source from his work is shown in Figure 5.3.
174
FORMING AN AUGER IMAGE
Publisher's Note: Permission to reproduce this image online was not granted by the copyright holder. Readers are kindly requested to refer to the printed version of this chapter.
Figure 5.3 An MBE source design described by Arthur22. (a) A pyrolytic boron nitride crucible; (b) a crucible insert; (c) molten charge; (d) main resistive heater winding; (e) extra heater winding at crucible lip; (f) strap thermocouple; (g) metal foil radiation shields. Reproduced with kind permission of Springer Science and Business Media from Arthur22
5.3.6
Some Other Considerations
It is rare for sample heating alone to be useful for the removal of adventitious contamination. However, it may well be necessary if it is desired to change the solid state phase of the sample or to cause segregation of an impurity inside the solid to come to the surface. The sample may be heated conveniently by mounting it on the sample manipulator with electrical contacts and passing a current through it. This is often practical with samples in the form of an unsupported foil. An alternative is to mount a thermionic filament behind the sample and arrange the potential difference between filament and sample so that energetic electrons bombard it. This can be highly effective for high sample temperatures (above about 1000 C). Precautions have to be taken to avoid stray electrons from this heater entering the energy analyser during measurement of an image or spectrum because they may be sufficient in number to add significantly to the spectrum or even to damage the channeltron or microchannel plate detecting the output of the analyser. The authors have organised switching of the potentials on the thermionic filament to stop electron bombardment for a fraction of a second whilst measurements are being made. The thermal capacity of the sample and its holder can then be sufficient that the sample
SCANNING AUGER ELECTRON MICROSCOPY
175
temperature falls by an insignificant amount during the measurement time. Another consideration is that the sample surface can be contaminated with carbon during its exposure to the electron beam used to cause Auger electron emission. This is because the partial pressure of CO2 or CO in the UHV atmosphere can be sufficiently high that the electron beam causes cracking of these molecules near to the surface so depositing carbon continuously while the beam is present2. If it is desirable not to turn off the gun forming the electron beam when it is not being used (for instance to maintain stability of the electron beam current), the effects of this cracking can be reduced by arranging to leave the beam parked hitting an unimportant part of the surface whilst electron spectra or images are not being measured. Of course, the lower the total pressure in the vacuum chamber then the lower will be the partial pressures of any carbon bearing gases and so the lower will be the rate of carbon contamination due to this effect. When inert gas ion bombardment is used to clean a surface it can be important to take precautions against impurity gases being admitted to the vacuum chamber along with the inert gas. Some containers of inert gas contain small but significant concentrations of water vapor that can also cause deposition of oxygen onto the surface under study and even its oxidation. The authors have found that inclusion of a trap in the gas admission line can reduce this difficulty. The gas admission line can be bent into a spiral form that is immersed in solid CO2 during inert gas admission. This reduces the amount of water vapor reaching the vacuum chamber and ion gun by freezing out the water on its passage through the trap.
5.4
ACQUISITION
After cleaning of the sample the next step is to move the sample to the position for analysis. Two criteria must be satisfied at this stage. First, the axis of the electron column must intersect the input axis of the analyser at some point near to the focal position of the analyser. This adjustment has normally been made both mechanically and optically during assembly of the system. It can be checked by forming an energyanalysed image of a flat, chemically featureless, surface at a variety of analysis energies. If the two axes do not intersect then the image will be centred at a point that is not the center of the scanned area. Furthermore, this displacement will probably increase as the size of the scanned
176
FORMING AN AUGER IMAGE
area decreases (and the magnification of the scanned area is increasing). Unfortunately this means that something is wrong with the instrument. Either the two axes do not intersect and a mechanical realignment is needed or there is an unwanted electric or magnetic field distorting the electron trajectories in the region around the sample that should be fieldfree. Analysis probably cannot proceed and the instrument components and construction have to be investigated. If the mechanical, electrical and magnetic effects are acceptable then the second adjustment is to move the sample so that the intersection of the column and analyser axes is at its surface. When this is achieved, the imaged area is then centred in the field of view of the analyser. With both a CMA and a CHA the height of the elastic peak in the spectrum is then at its maximum value. If the beam energy is too high for the elastic peak to be maximised by moving the sample then it is convenient to maximise the height of the higher energy part of the background in some region of the spectrum free of any peaks. Finally, having located the surface at the correct position the manipulator can be used again to meet the second criterion – to move the sample parallel to its surface in order to find the area of interest for further analysis. It can be inconvenient to use Auger imaging to search for the area of interest because of the long acquisition times. At this stage it is faster to acquire images using SEM, BSE or sample absorption current signals to brightness modulate the display. Detectors for these signals have been described in Chapter 3. The SEM signal depends upon both the secondary electron yield and the surface roughness of the sample and is usually large enough for images to be collected very quickly and so it is a preferred method for a general view of the sample. However, it can happen that variations in the secondary electron yield are undetectable (for details of secondary electron yields see the book by Reimer23) even when the surface contains different materials. In such a case the BSE image can be useful because, although it samples material at greater depths than the Auger images, it does depend upon the atomic number of the material that is sampled. This has been shown by Robinson et al.24 and developed for UHV use by Walker et al.25. Again such detectors result in quite large signals so that BSE image acquisition can be speedy. Should neither SEM nor BSE detectors be available then it can be useful and fast to absorb the current flowing from the sample to ground (the sample absorption current, SAC, image). This does enable fast recognition of interesting surface features but it usually has poorer spatial resolution than either SEM or BSE images. Collection of the SAC image can be simply arranged by mounting the sample on an electrically isolated holder and measuring the sample
SCANNING AUGER ELECTRON MICROSCOPY
177
current flowing to ground through an electrometer amplifier. The MULSAM instrument described in Chapter 3 contains detectors for simultaneous acquisition of SEM, BSE and SAC images to help with identification of areas of interest. Having identified the areas of interest the procedures prior to acquisition of Auger spectra, line-scans or images can begin. Collecting an electron spectrum whilst simultaneously scanning the electron beam over such an area can often identify the elements present in its surface. This works quite well but does allow the possibility that a reasonably large, but perhaps important, concentration of some element may be present but is difficult to observe in the spectrum because it may be confined to small areas that fall between the points on the surface that are sampled by the digital raster. Such difficulties can be avoided post acquisition by using the scatter diagram techniques described in Chapter 6. The identification of the elements then allows the setting up of Auger image acquisition by recording the energies of the peaks and the energies to be used for background subtraction. Further, the heights of these Auger peaks indicate the acquisition time for each pixel in an image formed on that peak by recognising that the signal to noise ratio will improve approximately as the square root of this time. Because of this scaling, if there is a need to form Auger images on small peaks in the spectrum then these may require much longer dwell times per pixel than the images from larger peaks. The estimation of Auger signals for each energy-analysed image can be carried out in one of several ways. For an end result that displays a map of the concentration of each element in the surface it might be considered desirable to measure the area under each peak in the spectrum. This is because the number of atoms in the sampling volume contributing to that peak will be proportional to the peak area. This desire is somewhat confounded by the presence of features on the low energy side of the Auger peak that are due to energy losses incurred by Auger electrons on their way out of the sample towards the analyser. These losses broaden the peak on its low energy side as well as introducing extra structure due to excitation of phonons, plasmons and interband transitions. Tougaard and his co-workers26–33 have devised methods for extracting the peak area from these losses but the methods require the acquisition of a relatively large (some hundreds of eV) span of the electron spectrum for each peak. For analysers using sequential collection of the energy channels in a spectrum this procedure would increase image acquisition times prohibitively and so it is not used for Auger imaging. Rather less accurate approximations to the peak area are used in SAM by estimating the heights of Auger peaks
178
FORMING AN AUGER IMAGE
for direct spectra (unmodulated analysers) and the peak-to-peak heights of differentiated Auger peaks for modulated analysers. These methods always implicitly assume that the peak shape for a particular Auger process is not changing from pixel to pixel. This is not necessarily true because chemical effects can both change the energy and the shapes of Auger peaks as shown in Chapter 2. The three common methods for estimating the peak size are indicated in Figure 5.4. The simplest and fastest method but the least accurate is indicated in Figure 5.4(a). The principle is that, for each position of the beam on the surface two measurements are made – one of the electron counts at the peak energy, C1 and one on the background at some energy above the peak, C2 . Thus, it could be that two energy analysed images are collected in the memory of the data acquisition computer and they are subtracted one from the other to generate a third image with counts C1 – C2 in each pixel. This is the Auger image in this approximation. The counts in each pixel are then approximately proportional to the no loss peak area described in Chapter 2. This subtraction is so simple that it can be done in the computer whilst the electron beam is moved on to the next position on the sample – it is an on line calculation resulting in a single image in computer memory. This method is commonly used when the Auger peak in question is superimposed upon a relatively flat background. If the background slope is too large the overestimation of the peak height can be unacceptable, as is explained later in this chapter. In these cases background extrapolation is necessary as indicated in Figure 5.4(b) and (c). For a background above the peak that has a slope that can be approximated by a straight line then extrapolation as in Figure 5.4(b) is usually adequate. The arithmetic for extrapolation is simplified if the energy spacing E2 E1 is chosen to be equal to that for E3 E2 . In this case it is simple to show that: H ¼ C1 2C2 : For energies below about 200 eV the background is usually both steep and curved. In this case it is convenient to exploit the observation that the background can often be described by the power law AEm and the two points (E2 ,C2 ) and ðE3 ; C3 Þ can be used via the equations: lnðC2 =C3 Þ lnðE3 =E2 Þ m E2 H ¼ C1 C2 E1 m¼
SCANNING AUGER ELECTRON MICROSCOPY
179
(a) 500000
400000
Counts
C1 300000
H 200000
C2
100000
E1 E 2 0 50
100
150
200
250
300
350
400
450
500
550
Energy (eV) (b) 500000
400000
Counts
C1 300000
200000
C2
C3
100000
E1 E2
E3
0 50
100
150
200
250
300
350
400
450
500
550
Energy (eV)
Figure 5.4 Different methods of estimating the height of an Auger peak from the electron spectrum. (a) The fastest but least accurate method. For each pixel in the image the energy analyser is set to the peak energy E1 in the direct spectrum and the total counts C1 are measured. Then it is set to an energy (predetermined by the analyst) E2 on the background above the peak and the background height C2 is measured. The peak height H ¼ C1 C2 can be calculated on-line whilst the beam is moved to the next position on the sample. (b) The next method involves acquiring the count C1 at energy E1 on the peak and then setting the analyser to detect counts C2 and C3 at energies E2 and E3 on the background above the peak. For small slopes of the background the two background counts can be used to extrapolate a straight line under the peak and estimate the peak height using C1 and the height of the extrapolated background at E1 . This is a better approximation for H than that provided by method (a). (c) If the curvature of the background is appreciable and if the transmission function of the analyser has been corrected then the background counts can be extrapolated under the peak using the power law AEm . This is useful for lower energy Auger peaks where the background is very steep and curved. (d) If the energy differential of the spectrum is used for data acquisition (by modulating the analyser and detecting the signal at the modulation frequency) then the peak-topeak height of the differential can be used to estimate H
180
FORMING AN AUGER IMAGE (c) 500000
400000
Counts
C1 300000
H 200000
C2
C3
100000
E1
E2
E3
0 50
100
150
200
250
300
350
400
450
500
550
Energy (eV)
(d) 15000
Arbitrary units
10000 5000
H 0 –5000 –10000 –15000 –20000 50
100
150
200
250
300
350
400
450
500
550
Energy (eV)
Figure 5.4 (continued)
Some instruments contain analysers that have one or more elements that have a sinusoidal modulation superimposed upon the electrostatic potentials needed to pass a selected electron energy to the detector. If the signal from the output of the detector is filtered to pass only that component at the same frequency as the modulation then the result is a sine wave whose amplitude is proportional to the energy differential of the input to the detector. Thus the measured spectrum has an ordinate
SCANNING AUGER ELECTRON MICROSCOPY
181
proportional to dNðEÞ=dE. Because the slope of the background to the spectrum is very often much lower than the slopes of each side of the peaks in the spectrum this modulation method results in a spectral feature that is more easily distinguished from a slowly curving background. The direct, NðEÞ, spectrum for silver shown in Figure 5(a)–(c) has been numerically differentiated and is shown in Figure 5.4(d) where the peak height H used for Auger imaging is indicated – again a simple approximation to the ‘no-loss’ peak area provided that the peak does not change shape at different positions on the surface. It is the peak-topeak height in the differential of the principal LMM peak of Ag. This modulation method has been commonly used in Auger spectroscopy and was described by Harris34 and is reviewed in the book by Briggs and Seah35. Unfortunately there is a reduction in the signal to noise ratio of the peak to peak in the derivative spectrum compared with that for the same peak in the direct spectrum36 and so Auger images require longer acquisition times in this derivative mode of operation. Since image acquisition time is so important in SAM these modulation methods are not commonly used. Another technique that can enhance the signal to noise ratios in the signals used to estimate the peak heights is beam brightness modulation (BBM) introduced by JEOL Ltd[3]. This is very similar to the beam chopping methods used in many kinds of optical spectroscopy. It involves periodic interruption of the electron beam leaving either the gun or the column combined with phase sensitive detection of the periodic signal leaving the electron detector. The frequency and phase of the phase sensitive detection is set to be the same as that of the beam interruption. This method has the advantage that the bandwidth of the detection system can be lower than that used for electron counting – it being related to the dwell time of the beam at each energy in a spectrum or at each pixel in an image. This bandwidth reduction reduces the amount of noise accompanying the measurement so resulting in improved signal-to-noise ratios. However, a pulse counting technique detecting individual electrons also reduces noise by exploiting the fact that components of the noise power spectrum not caused by statistical fluctuations in the number of electrons reaching the detector usually have smaller amplitudes than the electron pulses and can be very nearly eliminated by implementing an electronic threshold below which noise pulses are not counted. In practice, both detection systems can be
[3]
JEOL Ltd, 1-2 Musachino 3-chome, Akishima, Tokyo 196-8558, Japan.
182
FORMING AN AUGER IMAGE
operated with shot noise limited statistics so there is little advantage of one over another. Should the electron energy analyser be equipped with multi-channel detection then there may be several modes in which the detector array can be configured. Example modes for a 15-channel detector are shown in Figure 5.5. In every mode the central detector is situated on the axis of the analyser output. The energy resolution of the analyser can be chosen by selecting the number of channels to be summed to produce a signal to the control computer. If all the channels are used individually with an energy spacing E between the detectors (Figure 5.5(a)) then a spectrum may be acquired with energy data spaced by E but the analyser can be operated rapidly by stepping through the spectrum in 15 E increments. This is the mode with highest energy resolution. Alternatives with lower energy resolution but higher electron counts can be obtained by choosing to sum the counts from 3, 5 or all 15 channels as indicated in Figure 5.5(b)–(c). It is likely to be the case that each channel will have a different sensitivity because of different yields and pulse height distributions at its output and the assembly may have different pulse height distributions from each detector reaching its amplifiers and discriminators because of variations in the stray capacitance in the leads from the assembly. This introduces systematic variations in the pattern of signals reaching the computer as the spectrum is scanned. For higher quality spectroscopy the ‘sum and step energy’ mode shown in Figure 5.5(e) can be used. In this case the energy spacing between the elements of the detector array is chosen to match the energy step used in acquisition of the spectrum. Then, the acquisition software is written to sum the electron counts from all channels detecting at the same energy. Thus the signals from15 individual detectors are summed to give one energy channel in the spectrum. Because all 15 channels are summed for each energy point this mode eliminates detector sensitivity variations yet maintains the highest energy resolution possible with this array. Mode (e) is used only for spectroscopy whereas any of the modes (a)–(d) may be used for energy analysed imaging; the one that is chosen normally depends upon the signal/energy resolution required. The next decision prior to data acquisition concerns the method of collecting the data for the Auger images required. The first method allows the beam to remain at one point on the sample whilst the necessary energy analysed data is collected for all the Auger images before the beam is moved to the next point in the frame. The second method collects a single energy analysed image before changing the energy analyser potentials and collecting the second such image in the
SCANNING AUGER ELECTRON MICROSCOPY Multi-channel modes
183
Sum and step energy modes
(a)
15 channel
(e)
(b)
5 channel
(c)
etc 3 channel
Sum on each line
(d)
1 channel
Figure 5.5 Different methods of collecting the electron counts from a multichannel detector array. Each thick vertical line symbolizes one channel in an array of channels. (a)–(d) The channels are used individually and simultaneously as in (a) or in lower energy resolution by summing the electron counts of groups of channels. The number 15 is convenient because the center of the array remains on the axis of the analyser output. (e) The analyser energy is stepped through the spectrum with the same increment as the energy spacing of the channels. Channels operating at the same energy are summed. The detector array does not move with respect to the output axis of the analyser but they are drawn as if they do so as to demonstrate the pattern of additions to be made
184
FORMING AN AUGER IMAGE
data set from which a set of Auger images will be calculated. The first advantage of the second method is that a change in analyser potentials is required only once every frame scan time. This change may be relatively slow (as much as a few milliseconds because of the high potentials to be changed and their association with relatively high capacitances in the power supplies) and so it adds considerably to the total acquisition time for the first method. A second advantage of the second method is that if results are being displayed during acquisition the analyst can abort the measurements should there be something wrong with the sample position, the instrument or the way the experiment has been set up. This is more inconvenient when using the first method because it takes longer to be able to display a small fraction of the data with which the image can be recognised. The last decision before beginning acquisition may be whether or not to display the data during data collection. As mentioned in the paragraph above it is convenient to have such a display because the analyst can more speedily decide whether to continue or to abort. This convenience may carry a penalty in acquisition time because the graphics operations involved may add extra time. This can be avoided if the software is written to allow the graphics calculations of an output to be performed whilst the data for the next pixel is being acquired. This resource is not necessarily provided in every Auger microscope.
5.5
ON-LINE CALCULATIONS
As data acquisition proceeds the data can be corrected for a variety of instrumental effects as it is input to the acquisition computer, i.e. corrections can be made on-line. The first to be considered here are corrections for possible nonlinear response in the channel electron detector at the output of the energy analyser – an electron multiplier or microchannel plate and their associated electronics. In spectroscopy these nonlinearities can be important, for instance, if the analyst wishes to obtain spectra that can be compared with the published standards37. The user of a SAM instrument has similar requirements – especially when wanting to estimate the concentrations of the components in the surface. These effects have been evaluated by Seah and Tosa38 for spectroscopy and implemented in a SAM context by Prutton et al.39. The counting electronics is usually designed to be ‘nonextended’ in that an electron pulse is ignored if it arrives during the time t when a previous electron pulse is being handled40. Thus, the electronic system
SCANNING AUGER ELECTRON MICROSCOPY
185
has a dead time t associated with each input pulse and the measured counting rate M is related to the true rate N by N¼
M 1 tM
If, for example, t is 100 ns and N is 106 electrons s1 then the measured count rate will be 9 % in error. Clearly this error becomes very large if tM approaches 1. The value of the dead time can be estimated experimentally by measuring the output count rate as a function of the beam current (using a Faraday cup – see Chapter 3) and plotting 1=M as a function of 1=N. Seah and Tosa show that nonlinearities in the electron multiplier can also be estimated with the same experiment and they conclude that the actual count rate can be estimated up to a limit of 350 kcounts s1 for the particular system that they used. Each multiplier has to be calibrated when it is first installed and checked periodically as it ages. If a multi-channel detector is in use then the properties of the channels may vary in both sensitivity and nonlinearity. In this case the corrections above have to be carried out for each channel. A method for doing this has been described by Prutton et al.39. A generalisation of the dead time equation above to the ith detector in an array with different sensitivities Si , dead times ti and dark counts Di is Ni ¼
M Di Si ti ðM Di Þ
The three parameters were estimated for each detector in the array by nonlinear least squares fitting of the count from each detector as a function of the deliberately varying beam current. For a 15-channel detector array these 45 parameters were stored for access by the data acquisition program. New values could be obtained easily if the detector array was contaminated, replaced or changed due to ageing. The dark counts were usually zero for a new microchannel plate assembly but could increase if particles of dust reach the array. In general, the acquisition software is aware of the kinetic energy of the electrons passing through the energy analyser and reaching either a single detector or a particular channel in a multi-channel detector. If the transmission function of the analyser has been determined (described in Chapter 3) then the counts corrected as described above can be further corrected for the transmission function of the analyser for the conditions being used (pass energy, input lens retardation, detector voltage settings,
186
FORMING AN AUGER IMAGE
etc). In this way the counts in each pixel of a SAM image or image set can be related to the number of electrons leaving the corresponding point on the sample. This number is then usable in image quantification (see Chapter 7).
5.6
IMAGING ARTEFACTS
Although the analyst may follow the above procedures to the best of their abilities, it is sometimes found that the results are somehow puzzling. For example, in the course of an experiment one may deposit in situ some Au islands on a Si substrate, whereby the Au islands are well separated from each other. The analyst then tries to collect an Auger map of the sample before continuing the experiment where the appropriate energies to form the image are chosen; on the Auger peak and on its high energy background. The analyst may be surprised that displaying the Auger maps of either Au or Si gives the same pattern of contrast indicating that the islands in this case have the highest concentration of Au and Si no matter how thin or thick the Au islands may be? This is obviously wrong. Careful inspection of the shape of the secondary electron spectra of the Au and Si, however, may tell one what has gone wrong. This is one of several imaging artefacts that one needs to be aware of before setting off to collect a full set of images and to interpret these without taking into consideration all the details of the experimental procedure in terms of the shape of the sample and its dimensions with respect to the incident electron beam and the position of the electron energy analyser as well as the choice of the Auger peak energies and their associated backgrounds. In what follows, a number of these artefacts that have been identified in the past will be given together with procedures for their elimination or a reduction in their size. The user, however, needs to be vigilant to the possibility of new artefacts whenever imaging a sample that features unusual composition or topography which cause departures from normal routine set-up and procedures or if alteration to the software or hardware is needed or implemented.
5.6.1
The Substrate Backscattering Effect
The effect of energetic electrons back-scattered from the substrate on Auger electron imaging have been discussed before, in particular with respect to the total Auger electron yield via the Auger backscattering
SCANNING AUGER ELECTRON MICROSCOPY
187
factor (Chapter 2) and on the SAM spatial resolution (Chapter 4). However, the effect of these electrons in Auger imaging can also be quite striking. For example, imaging a surface layer of uniform composition which is several inelastic mean free paths thick should lead to uniform brightness of the Auger electron image of any element in the layer. If the substrate beneath the layer is inhomogeneous, then the yield of Auger electrons from the layer can vary from place to place because the Auger backscattering factor of the substrate varies in accordance with the elemental distribution within it.
5.6.2
Topographic Effects
Real surfaces are seldom found to be atomically flat, but often involve roughness on a scale large compared with the beam diameter. Such changes may be so large that they obscure the contrast from variations in chemical composition at the surface. This can be considered as changes of the ‘local angle of incidence’ or more generally, the topographic effect. A diagram depicting this effect for the CHA and CMA type analysers is given in Figure 5.6. The importance of this effect is seen in analysing small regions involving nano- and microstructures, as in the semiconductor industry (see Chapter 9). One method of reducing the effects of local topography is in the use of peak to background ratios to form the image instead of just the peak minus the background. However, this method too could lead to another artefact as shown in a separate section below.
Figure 5.6 Loss of electron signal from CMA and CHA type analyser entrance apertures due to (a) self shadowing, (b) neighbor shadowing and (c) incident-beam shadowing
188
5.6.3
FORMING AN AUGER IMAGE
The Peak to Background Ratios
As described above the use of ratioing methods of the Auger peak (P) to its background (B) have been proposed as an imaging method in Auger imaging aiming at reducing the effects of the local topography41,42 its use in AES and SAM imaging have been reviewed by El Gomati43. If C1 electrons are counted at the energy of the Auger peak and C2 are counted in the background above the peak, then one may display the surface spatial distribution of an element/chemical as P, P B, P/B, (P B)/B, P/(P þ 2B), (P þ B)/(P þ 2B) (P þ B)/B or (P B)/(P þ B). The latter ratios, however, whilst having been demonstrated successfully to average or eliminate the topographical contrast have also been shown to overcompensate for the variations of the substrate backscattering effects. This effect is clearly illustrated using a thin carbon film deposited on a Cu electron microscope grid. Figure 5.7(a) shows the SEM micrograph of a 40 nm carbon film deposited on the Cu grid and Figure 5.7(b) shows the Auger image displayed as the ratio (P)/ (P þ 2B), where P is measured at 260 eV and B at 290 eV. Although the AES spectrum shows a higher signal level for the carbon film on the Cu grid bars due to the contribution of the back-scattered electrons to the signal in comparison with the areas of the unsupported carbon film, the image of Figure 5.7(b) implies a smaller ‘effective carbon concentration’ on the supported regions than regions of the film. This striking and misleading conclusion is an artefact due to the use here of P/(P þ 2B).
Figure 5.7 The effect of using peak to background ratios in SAM imaging. (a) SEM micrograph of 40 nm carbon film supported on a Cu electron microscope grid. (b) Auger electron image of the same area as shown in (a) displayed as the ratio P/(P þ 2B), where P was taken at 260 eV and B at 290 eV. Permission of Applied Surface Science50.
SCANNING AUGER ELECTRON MICROSCOPY
189
Any of the above ratios will give a similar conclusion to that shown in Figure 5.7(b) but with varying degrees of contrast because of the way Auger maps are displayed.
5.6.4
Background Slope Effect
Using a combined ratio of P and B could also lead to contrast reversal due to a different process associated with the background count B. In a sample displaying an inhomogeneous distribution of elements, the slope of the spectral background BðEÞ can result in an apparent Auger signal being detected and used to form the contrast even when none of that particular element is present as demonstrated by El Gomati43. This effect is illustrated in Figures 5.8 and Figure 5.9 for a 0.6 mm thick gold pattern on a Si substrate. An approximate solution to this problem is to collect energy analysed images at two energies on the background above each peak and extrapolate the background under the Auger peak as depicted in the inset of Figure 5.8(b) and demonstrated in the results shown in Figure 5.9(e) and (f).
5.6.5
Edge Effects
As described in Chapter 4, the inspection of sharp topographic features in SAM can result in a number of edge artefacts. These edge effects,
Figure 5.8 (a) SEM micrograph of 0.6 m thick Au film on Si substrate, where the bright areas represent the Au regions. (b) Secondary electron spectra of (i) Si region, (ii) Au region, where both spectra were collected E0 ¼ 22 keV and beam current of 3 nA. Inset is a schematic illustrating background fitting and the position of the estimated background count NZ1b . Permission of Applied Surface Science51.
190
FORMING AN AUGER IMAGE
Figure 5.9 Au and Si Auger maps of a test pattern comprising 0.6 m thick Au film on Si substrate. Data collected with E0 ¼ 22 keV, and beam current of 3 nA. Bright regions indicate higher concentration. (a) Energy analysed map of the peak height, P for Au NOO Auger transition. (b) A map of the Si LVV Auger transition showing the contrast reversal due to the background slope effect. (c) A map of the ratio P/(P þ 2B) of the Au NOO transition. (d) A map of the ratio P/(P þ 2B) of the Si LVV transition. Note the restoration of contrast in comparison with displaying P alone. (e) Au background corrected image are displayed using Nz1b . (f) Si background corrected image are displayed using Nz1b . Permission of Vacuum43.
however, can be quite striking in terms of the level of the Auger electron signal obtained. Figure 5.10 shows a theory/experiment comparison of scanning a 1.4 mm thick Al film on a Si substrate using 20 keV incident electrons where the Si Auger signal peaks about 0.6 mm from the edge of Al layer. It is found that this effect reaches its maximum for electron beams incident with angles close to the surface normal. In the example shown in Figure 5.10, this is about three times larger than the signal level from bulk Si. In addition, the enhancement is larger for lighter atomic number overlayers. This introduces a difficulty with the interpretation of SAM images because it becomes possible to confuse the effects of an impurity covering the sidewall of an overlayer strip and the edge artefact itself. The edge artefacts discussed above including the edge enhancement and the shadow effect together with the correlation between two chemically different regions can be identified using methods described in Chapter 6. An example illustrating the power of scatter diagrams and false color imaging in the case of samples featuring different topographies is shown in Figures 5.11 and 5.12. The samples used consist of Al films of 40 nm and 1.4 mm thick on Si. The use of scatter diagrams to display the Al versus Si Auger signals in the case of the 40 nm over layers
SCANNING AUGER ELECTRON MICROSCOPY
191
Figure 5.10 A theory/experiment comparison of the edge enhancement artefact of the Si LVV Auger electron signal due to the presence of the Al overlayer. The dots represent the experimental data, and the solid line is that from Monte Carlo simulations. Note the good agreement between theory and experiment, although the Monte Carlo simulation was carried out for KLL Auger transition. Permission of Surface and Interface Analysis52.
shows only two clusters representing the Al and Si regions of the sample joined by a line representing the correlation between the two chemically distinct regions. Figure 5.11(b) is a false color image of these three regions, yellow representing the Si rich region, blue representing the Al
Figure 5.11 (a) Scatter diagram of a 40 nm thick film of Al on Si. The Auger peak (P) used is the 63 eV LVV A1 Auger transition and the background energy (B) used is at 70 eV. The rectangles on the scatter diagram indicate the windows used to produce the false color image. Figure 5.11(b) is a false color image w1 representing Al (blue), w3 representing Si (yellow) and w2 representing the correlation line between the two regions (red). This line has a negative slope indicating the anticorrelation between the two regions. Permission of Journal of Microscopy53.
192
FORMING AN AUGER IMAGE
Figure 5.12 Scatter diagrams and images derived from the 1.4 mm Al overlayer on Si substrate using the same P and B energies as in Figure 5.11. (a) Scatter diagram of Al versus Si showing four regions, w1 represents the Al film, w2 represents the Si substrate, w3 is a region showing a weak Al and Si Auger signals due to the shadowing by the overlayer from the energy analyzer, while w4 shows a high Al and even higher Si signal that shown in w2. This cluster is due to the edge enhancement. (b) Scatter diagram of Al versus Si using (P B)/(P þ B) showing the effectiveness of this method in correcting for topographic effects. (c) False color image made using the four-windowed clusters of (a) using W1 (blue), W2 (yellow), W3 (dark blue) and W4 (red). (d) The Si Auger image formed using P B of the same region of the surface. Permission of Journal of Microscopy53.
rich region and red representing the correlation line. Figure 5.12(a) is the corresponding scatter diagram for the 1.4 mm Al film on Si. In this case, however, the scatter diagram shows four clusters joined by correlation lines. The two extra clusters are due to the larger Al height in comparison with the beam diameter giving rise to shadowing and edge enhancements as discussed earlier. By placing a software window on each of the four clusters and displaying this with a different color for each cluster as shown in Figure 5.12(c), the edge artefacts can be easily identified in this case. Figure 5.12(b) displays the scatter diagram of the Auger signal corrected for the topography effects using the (P B)/(P þ B) scheme, while Figure 5.12(d) displays the Si LVV Auger signal depicting the variation of the Si across the surface of this sample.
SCANNING AUGER ELECTRON MICROSCOPY
5.6.6
193
Channeling and Anisotropy Effects
Two of the most difficult contributions to quantify in AES have been the anisotropic emission of Auger electrons44 and the channeling of the incident electrons being diffracted by the ordered atomic layers on a surface45. Variations of the Auger electron signal by up to four-fold have been measured by McDonell and Woodruff, which are caused by constructive or destructive interference in the diffraction of the outgoing electrons. Bishop et al.45 and Doern et al.46 have reported increases of the Auger electron current due to channeling effects of between 20% and 500 % on single crystals. Channeling effects are difficult to deal with using a combination of P and B because channeling is caused by the incident electrons affecting the Auger peak height, while the background counts are generated mainly by the back-scattered electrons. This makes it difficult for P/B ratios to compensate for the large variations observed. Although, there have not been many reports of channeling effects in SAM imaging, the SAM analyst needs to be cautious in dealing with this phenomenon when using single crystals or recrystallising samples. One may rock the incident electron beam to detect the occurrence of channeling, but this will be only to assure oneself of its existence rather than in compensating for it. Anisotropic emission, however, is less problematic to deal with using peak to background ratios. This is because anisotropic emission, which is reported to be produced in the outer surface layer, does not depend on the energy or angle of the incident electrons. Its largest effects have been reported for low energy Auger transitions and fortunately both the Auger peak and its background signals show similar behavior of anisotropy47.
5.7
TOWARDS QUANTITATIVE SAM IMAGING
The authors have applied some of the quantitative methods discussed in this book (chapter 7) to assess the possibility of correcting for some of the above mentioned artefacts in SAM imaging. The test sample used consisted of about 200 nm thick SiGe alloy deposited using plasma enhanced chemical vapor deposition on top of part of a 300 nm thick gold island on a silicon substrate. A schematic of the sample is shown in Figure 5.13(a). If imaging is performed using P B, then a silicon Auger image from the area indicated in Figure 5.13(a) will contain information
194
FORMING AN AUGER IMAGE
Figure 5.13 The application of correction methods to SAM imaging. Images obtained from a SiGe/Au/Si test pattern. The Au overlayer consists of about 300 nm thick Au islands deposited upon the Si substrate. The 200 nm thick SiGe layer was deposited over parts of the Au/Si sample using plasma enhanced chemical vapor deposition. The images were obtained in the MULSAM instrument described in Chapter 3 using E0 ¼ 20 keV incident electron beam with about 5 nA current. (a) Sketch of the region used to obtain subsequent micrographs. (b) and (c) The raw energy analysed images at P ¼ 82 eV and B ¼ 102 eV, respectively. (d) Simple (P B) image. (e) Peak minus extrapolated background image. Note the contrast reversal from (d) when comparing the Au and Si regions. The second background image was obtained at 122 eV. (f) Si Auger image after correction for substrate backscattering effects. Now the SiGe region on the left uniform contrast corresponding to the expected uniform concentration of Si and the map displays the expected three regions of varying Si concentration. Permission of Surface and Interface Analysis54.
about four different regions: the clean silicon substrate, the clean Au film on top of Si, the SiGe film on the Si, and the SiGe film on top of Au which is in turn on top of Si. True Si chemical contrast due to the surface composition should reveal only three regions. The brightest region of the image should correspond to places where the beam strikes the clean Si. The next brightest should be wherever the beam strikes the SiGe layer because here the Si concentration is lower than the 100 % level of the clean Si substrate. Finally, wherever the beam strikes the Au region the Si Auger electron image should represent zero concentration. The raw energy analysed images from the Si LVV peak at 82 eV and background at 102 eV are shown in Figure 5.13(b) and (c). A simple P B Auger image for silicon (constructed using Figure 5.13(b) and (c)) is shown in Figure 5.13(d), which shows four regions instead of three as expected. In
SCANNING AUGER ELECTRON MICROSCOPY
195
addition, the Si Auger image shown in Figure 5.13(d) indicates a higher Si concentration from the SiGe region and the Au region than when it strikes only Si! For the SiGe region this is due to backscattering effects while for the Au region this is due to the curvature of the spectral background as discussed above. By collecting two energy analysed images on the background above each peak and extrapolating the background under the peak, one is able to obtain the map shown in Figure 5.13(e). Although this image is only an approximation because of the curvature of the background, nevertheless it now contains the expected changes in brightness between the Si and Au regions. It is interesting to note that the errors in calculating the Si concentration when derived from peak height estimation using standard AES procedures (Chapter 2) suggest that the peak height is overestimated from its true value by about 12 % when using simply P B. When the peak is measured from the linearly extrapolated background (B measured below P as shown in Figure 5.8(b)) then its height is underestimated by about 1.5 % as compared with the height measured from an extrapolated power law background of the form AEm (as discussed in Chapter 2). The contribution of the back-scattered electron signal can be dealt with by obtaining a spatially registered back-scattered electron image as shown by Barkshire et al.48 and dividing the Auger map of Figure 5.13(e) by it. This is shown in Figure 5.13(f) where it can be seen that the SiGe overlayer now has uniform brightness corresponding to, as expected, a level intermediate between that of pure Si and zero Si content. Furthermore, the image contrast can now be interpreted quantitatively by comparison with elemental standards as discussed in Chapter 2. The result in this case is that the Si concentration in the SiGe region in the processed image was about 24 %. This is in good agreement with applying conventional AES procedures employing the estimation of the concentrations from standards that give a value of 25 % Si in the SiGe layer. The use of SAM as a quantitative analytical tool in nanotechnology, and particularly with the ever shrinking sizes in integrated circuits, needs to be exercised with caution. This is because of the effects of the substrate on the surface signal. This is illustrated with an example by Umbach et al.49, who compared the Auger signal from Au particles of varying sizes on a Si substrate (See Figure 5.14). The Au particles ranged in size from 1.2 to 0.4 mm. For 30 keV incident electrons, as the size of the Au particle is reduced, the collected Auger signal shows an increased Si Auger signal whereby for the 0.4 mm particle the collected spectra
196
FORMING AN AUGER IMAGE
Figure 5.14 The effect of varying the size of a surface particle on the collected Auger signal, showing that while the incident electrons are still on the surface particle, the collected signal shows an overwhelming Si signal as the particle size is reduced. (a) A Au particle of width w on a Si substrate and the extent of the electron scattering. (b) Spectra from the particle as a beam of constant width is centred on it. As the particle size decreases, the Si Auger peak becomes more prominent even though the beam itself strikes only the particle. Courtesy of Umbach et al.49
show a higher Si signal than Au, which could be misinterpreted as the particle is composed of more Si than Au. The fact of the matter is that this is due to the contribution of back-scattered electrons in the generation of the collected Auger signal. It is interesting to note that the fraction the Au particle occupies of the 30 keV interaction volume with the sample reduces as the size of the particle is reduced; from 80% for 1.2 mm to only 3% in the case of the 0.4 mm particle. This problem is similar to that encountered today in EDX analysis. However, AES
SCANNING AUGER ELECTRON MICROSCOPY
197
analysis can be carried out with low E0 , where this artefact will be greatly reduced, while in the case of EDX, the same option is not available due to the inefficiency in producing X-rays.
5.8
THE OBSERVATION OF CHEMICAL SHIFTS IN SAM
It is not usually possible to acquire Auger images both of chemically shifted and elemental Auger peaks in the same sample. The size of the chemical shifts usually observed (see Chapter 2) is small enough that the energy window of the energy analyser used for SAM encompasses both energies. However, the shifts between an element and one of its oxides or carbides are sufficiently large that imaging on an element or alloy and one of these compounds can be carried out. Some examples are shown in Chapter 8.
REFERENCES 1. C. G. Pantano and T. E. Madey, Applied Surface Science 7, 115 (1981). 2. C. G. Pantano, A. S. D’Souza and A. M. Then, in Beam Effects, Surface Topography and Depth Profiling in Surface Analysis, edited by A. W. Czanderna, T. E. Madey and C. J. Powell (Plenum Press, New York, 1998), Vol. 5, p. 39. 3. P. A. Lindfors, in Methods of Surface Characterization, edited by A. W. Czanderna, C. J. Powell and T. E. Madey (Kluwer Academic/Plenum Publishers, New York, 1998), Vol. 4, p. 45. 4. A. W. Czanderna, C. J. Powell and T. E. Madey, Specimen Handling, Preparation and Treatments in Surface Characterization (Kluwer Academic/Plenum Publishers, New York, 1998). 5. C. J. Smithells, Metals Reference Book (Butterworths, London, 1967). 6. M. L. Tarng and D. G. Fisher, Journal of Vacuum Science and Technology A 15, 50 (1978). 7. R. H. Roberts, M. Prutton, D. K. Wilkinson, I. R. Barkshire, C. J. Hill, P. J. Pearson, P. D. Augustus, D. K. Skinner and K. Stribley, Surface and Interface Analysis 26, 461 (1998). 8. N. J. Taylor, J. S. Johannessen and W. E. Spicer, Applied Physics Letters 29, 497 (1976). 9. I. K. Brown, D. D. Hall and J. M. Walls, Vacuum 31, 625 (1981). 10. H. H. Andersen and H. L. Bay, Sputtering by Particle Bombardment I (Springer Verlag, Berlin, 1981). 11. D. Briggs and M. P. Seah, Practical Surface Analysis. Volume 2. Ion and Neutral Spectroscopy (John Wiley & Sons, Ltd, Chichester, 1992).
198
FORMING AN AUGER IMAGE
12. K. O. Legg, C. G. Kinniburgh and M. Prutton, Journal of Physics C 7, 4236 (1974). 13. J. C. Fuggle, M. M. El Gomati, F. P. Netzer and M. Prutton, EMAG 83 (Institute of Physics, Bristol, 1984), Vol. 68, p. 131. 14. H. Tokutaka, I. G. Higginbotham, M. Prutton and T. E. Gallon, Surface Science 21, 224 (1970). 15. W. D. Doyle, K. O. Legg and M. Prutton, in Magnetism and Magnetic Materials 1971, edited by C. D. Graham Jr and J. J. Rhyne (Journal of Applied Physics, AIP, 1971), p. 1430. 16. V. E. de Carvalho, M. Prutton and S. P. Tear, Surface Science 184, 198 (1987). 17. P. A. Pianetta, in Specimen Handling, Preparation and Treatments in Surface Characterization, edited by A. W. Czanderna, C. J. Powell and T. E. Madey (Kluwer Academic/Plenum Publishers, New York, 1998), Vol. 4, p. 302. 18. J. C. Walmsey, G. Jones, B. J. Lee and R. K. Wild, Applied Surface Science 108, 289 (1997). 19. P. E. J. Flewitt, R. Moskovic and R. K. Wild, Surface and Interface Analysis 33, 729 (2002). 20. M. Prutton and H. Tokutaka, Thin Solid Films 3, 311 (1969). 21. M. Prutton and H. Tokutaka, Journal of the Japanese Vacuum Society 15, 427 (1973). 22. J. R. Arthur, in Specimen Handling, Preparation and Treatments in Surface Characterization, edited by A. W. Czanderna, C. J. Powell and T. E. Madey (Kluwer Academic/Plenum Publishers, New York, 1998), Vol. 4, p. 239. 23. L. Reimer, Scanning Electron Microscopy (Springer, Berlin, 1985). 24. V. Robinson, N. Cutmore and R. Burdon, in Scanning Electron Microscopy, edited by O. Johari (SEM Inc., Chicago, 1984), Vol. 1, p. 483. 25. C. G. H. Walker, M. Prutton, J. C. Dee, M. M. El Gomati and M. J. Cowham, in EMAG-MICRO 89 (Institute of Physics, London, 1989), Vol. 98, p. 555. 26. S. Tougaard, Surface Science 139, 208 (1984). 27. S. Tougaard, Surface and Interface Analysis 11, 453 (1988). 28. S. Tougaard, Surface and Interface Analysis 13, 225 (1988). 29. S. Tougaard, Surface Science 216, 343 (1989). 30. S. Tougaard, Journal of Electron Spectroscopy and Related Phenomena 52, 243 (1990). 31. S. Tougaard, H. S. Hansen and M. Neumann, Surface Science 244, 125 (1991). 32. S. Tougaard and C. Jansson, Surface and Interface Analysis 20, 1013 (1993). 33. S. Tougaard, Surface and Interface Analysis 26, 249 (1998). 34. L. A. Harris, General Electric Research and Development Report 67-C-201 (1967). 35. D. Briggs and M. P. Seah, Practical Surface Analysis. Auger and X-ray Photoelectron Spectroscopy (John Wiley & Sons, Ltd, Chichester, 1990). 36. M. Prutton and M. L. Prutton, Journal of Electron Spectroscopy and Related Phenomena 11, 197 (1977). 37. P. W. Palmberg, G. E. Riach, R. E. Weber and N. C. MacDonald, Handbook of Auger Electron Spectroscopy (Physical Electronics Inc., Eden Prairie, 1972). 38. M. P. Seah and M. Tosa, Surface and Interface Analysis 18, 240 (1992). 39. M. Prutton, C. G. H. Walker, J. C. Greenwood, P. G. Kenny, J. C. Dee, I. R. Barkshire, R. H. Roberts and M. M. El Gomati, Surface and Interface Analysis 17, 71 (1991). 40. J. W. Muller, Nuclear Instruments and Methods 112, 47 (1973).
SCANNING AUGER ELECTRON MICROSCOPY 41. 42. 43. 44. 45. 46. 47. 48.
49. 50. 51. 52. 53. 54.
199
A. P. Janssen, C. J. Harland and J. A. Venables, Surface Science 62, 277 (1977). M. Prutton, L. A. Larson and H. Poppa, Journal of Applied Physics 54, 374 (1983). M. M. El Gomati, Vacuum 38, 337 (1988). L. McDonnell and D. P. Woodruff, Vacuum 22, 477 (1972). H. E. Bishop, B. Chornic, C. Le Gressus and A. Le Mondel, Surface and Interface Analysis 6, 116 (1984). F. E. Doern, L. Kovar and N. S. McIntyre, Surface and Interface Analysis 6, 282 (1984). D. P. Woodruff, D. Norman, H. H. Farrell, M. M. Traum and N. V. Smith, Surface Science 95, 403 (1980). I. R. Barkshire, R. H. Roberts, J. C. Greenwood, P. G. Kenny, M. Prutton and M. M. El Gomati, in Electron Microscopy and Analysis Group Conference 1991, edited by F. J. Humphreys (Institute of Physics, Bristol, 1991), Vol. 1, p. 119. A. Umbach, A. Hoyer and R. Brunger, Surface and Interface Analysis 14, 401 (1989). M. M. El Gomati, J. A. D. Matthew and M. Prutton, Applied Surface Science 24, 147, (1985). M. M. El Gomati and C. G. H. Walker, Applied Surface Science 35, 177, (1988). M. M. El Gomati, M. Prutton, B. Lamb and C. G. Tuppen, Surface and Interface Analysis, 11, 251, (1988). M. M. El Gomati, D. C. Peacock, M. Prutton and C. G. Walker, Journal of Microscopy, 147, 149, (1987). M. Prutton, I. R. Barkshire, M. M. El Gomati, J. C. Greenwood, P. G. Kenny and R. H. Roberts, Surface and Interface Analysis, 18, 295, (1992).
6 Image Processing and Interpretation M. Prutton
6.1
INTRODUCTION
Previous chapters have described the theoretical and practical steps needed to understand the background and then to acquire the data for the construction of Auger images. The material in this chapter describes the processing of these data to form Auger images and their visualisation and interpretation. This includes the relatively simple processes of twodimensional data smoothing algorithms, the approximate removal of the spectral background underneath each Auger peak and the construction of intensity histograms and scatter diagrams. These useful software functions are part of the essential toolkit for the analyst. Finally, more complex tools are described including the use of scatter diagrams to construct surface phase maps, the exploitation of the methods of multivariate statistics and areas of new developments such as the use of self-organising maps. These more complex tools have been widely studied and reported in a vast literature associated with pattern recognition, space science, image compression, image analysis and even neurology. The material in this chapter is limited to those methodologies that have been used in scanning Auger microscopy. More general treatments of both descriptive and mathematical approaches can be found for example in the books by Brereton1, Dudgeon and Mersereau2, Gonzalez and Wintz3, Hall4, Kohonen5, Malinowski6, Meloun et al.7, Moik8 and Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
202
IMAGE PROCESSING AND INTERPRETATION
Pratt9. Recent work is reported in a review paper that may be found in Bonnet et al.10 and in electronic form in Bonnet et al.11 The more complex tools have applications in many other microanalytical fields in which it is possible to record several maps of the same area of a specimen – for example, microanalysis using characteristic X-rays, electron energy-loss, secondary ion mass spectroscopy and X-ray fluorescence. In order to demonstrate the application of various processing steps in the handling of an Auger image use will be made of the data from two model samples. The first is a beveled sample12 that was fabricated by the vacuum deposition of thin films of nickel–iron alloy, chromium and silver on a silicon substrate. The layer structure consisted of 15 nm of Ni83Fe17/15 nm of Cr/15 nm of Ni83Fe17 capped with a layer of Ag as indicated in Figure 6.1. The sample was transferred through the air to the Auger microscope where it was baked for 48 h at 140 C before beveling and analysis. The bevel was cut with a computer-controlled Xeþ beam with 2 keV energy. The angle between the bevel surface and the silicon surface was 5 mrad. Thus, a lateral distance of 1 mm across the surface corresponded to a depth change of about 5 nm. The Auger data were acquired using a beam energy of 20 keV and a beam current of 2 nA. The dwell time for each pixel was 1 s. Since this sample was fabricated in the course of a set of experiments to study magnetic layer structures it will be referred to below as the magnetic sample. The second model is a sample of anisotropically etched silicon based upon a (001) surface. This sample is described by Prutton et al.13 and used etched material which was kindly provided by Dr K. Bean (Texas Instruments Inc., Central Research Laboratories, Dallas, TX)14. They
Figure 6.1 Structure of a beveled sample used for illustrating various aspects of image processing
SCANNING AUGER ELECTRON MICROSCOPY
203
were prepared with a 1.0–1.2 mm of oxide grown upon them and then had square patches left in the oxide by standard photolithographic processing. The slices were then etched in ethylene diamine pyrocatchenol and water at 100 C to dissolve about 40 mm of silicon. The effect of this etching is to produce polyhedra that usually have eight (331) faces and four (111) faces around their periphery and one (001) face on top capped with the oxide layer. One such polyhedron is sketched in Figure 6.2. This sample is useful for the characterisation of methods for
Figure 6.2 Sketch of one of the etched polygons on the Si(001) and its arrangement with respect to the electron beam, the BSE detectors and the analyser entrance aperture in the MULSAM instrument. The table lists the orientations of the facets with respect to the normal to the (001) facet. Courtesy of R.H. Roberts, D.Phil. Thesis, Department of Physics, University of York, 1993
204
IMAGE PROCESSING AND INTERPRETATION
the correction of varying surface inclinations across a scanned area because the (331) planes intersect the (001) plane of the surface at a known angle of 46.5 and the (111) planes intersect the surface at 54.7 . Since the electron beam can be arranged to be off-normal to the (001) surface by a known amount this sample can provide a range of angles of incidence. It will be referred to below as the topography sample.
6.2
IMAGE VISUALISATION
The analytical instruments acquiring data can generate different data structures. Thus, a spectrum from a point or a small area on the sample is a simple one-dimensional (1D) array of numbers with signal I (counts, intensity) at each energy, E, determined by the analyser. Similarly, a line-scan at a particular kinetic energy is a 1D array of the values of I at each position, x, on the surface along the line. A simple map of the signal I at a particular kinetic energy can be regarded as a 2D array I(x,y) of the values of I at each position of an electron or X-ray beam striking the surface with coordinates (x,y) – it is an energy-analysed map. In practice, such imaging, or mapping, data can also be stored in a computer as a 1D array of numbers along with information about the number of pixels in each row. At the level of most information about a sample, the energy analyser may deliver data to the measurement system in the form of a set of measurements at different kinetic energies for each position on the sample surface. In the most complete case this set may comprise an entire spectrum at that position in each pixel of the image. If pixels are acquired sequentially as the beam is scanned over the sample then these data can be referred to as a spectrum-image – data of the form I(E,x,y). This kind of data is acquired by the energy analyser (the HFA) described by Jacka et al.15. If pixels are acquired in an image at one kinetic energy and a set of images are acquired sequentially as the analyser pass energy is varied then the entire data set can be referred to as an image-spectrum, I(x,y,E). Such data are acquired, for instance, by the Gatan Imaging Filter (GIF) used for PEELS studies16. Again, both of these data types can be stored as 1D arrays along with the appropriate numbers for number of energy channels per spectrum, number of pixels per line and number of pixels per frame in the image. This reduction of the data types to 1D arrays in the computer can help to give some commonality to the functions needed for processing each type of data.
SCANNING AUGER ELECTRON MICROSCOPY
205
Confusion can arise over nomenclature for the dimensionality of the data. In the computer all kinds of data can be stored as 1D arrays. Data in the form of a single spectrum or line scan are naturally 1D (only one variable) but, of course, they require two dimensions in order to display the graph of I(E) or I(x). An energy-analysed image I(x,y) is naturally 2D data but requires 3D display for viewing. Spectrum-images are 3D data but require 4D displays (that, strictly speaking, do not exist using a computer display unless time can be used as a variable by, for instance, animating the image set). In this chapter the dimensionality of the data will be described by its natural form – spectra are 1D, energy-analysed images or spectrum-line-scans are 2D and spectrum-images are 3D. In order to display 2D or 3D images it is necessary to use false color coding of the intensity I or a projection of the image onto the 2D display device. False-color coding can be the attribution of a color to a particular range of I within the intensity distribution contained in the image. Thus, for instance, 16 different colors can be attributed to each of 16 divisions formed from the intensity distribution in the image. Then, the intensity histogram of the image showing both the intensity distribution and the colors attributed to its range provides essential information about the meaning of the color coding in the displayed image. This histogram is usually displayed alongside the image. An example of an energy analysed image and the associated intensity histogram are shown in Figure 6.3. The divisions of the histogram may be made, for instance, uniformly between the minimum and maximum pixel values or statistically using the same number of pixels in each bin or by criteria concerning the mean and standard deviation of the counts in the pixels. Other methods of visualisation have been used. For example, Newbury and Bright17 have used a logarithmic intensity scale for EDX maps because they found this display to be more convenient for visual comparison. Many methods of visualisation are available in commercial imaging processing packages, for example the Khoros18 and Iris Explorer19 software both of which appear to be suitable for visualising spectrum-images. However, the focus of the commercial software tends to be on the visualisation of recognisable physical objects using ray casting methods and the inclusion of shadows corresponding to the lighting directions. The data in a spectrum-image forms, by contrast, an abstract ‘object’ and these visualisation methods are not entirely appropriate – for instance features inside the data array can easily be obscured by other features nearer to the edges of the array. A spectrum-image is
206
IMAGE PROCESSING AND INTERPRETATION
Figure 6.3 An energy analysed image using the sample beveled as shown in Figure 6.1. The intensity is determined by the height of the spectrum at the energy of the Cr Auger peak at 524 eV. It therefore includes both the peak and the background in the spectrum at this energy. The intensity histogram of this image formed by determining the number of pixels in each of 16 uniformly spaced bands between the minimum and maximum pixel values. Colors are attributed to the pixels in each band and are shown in the histogram to help to identify the range of pixel values in the different regions in the sample
more complex because it seems to require at least a 4D display. Kenny and his collaborators20 have used a construct that locates the peaks in a spectrum, gives each peak a color attribute and then produces a 2D projection of the I(E,x,y) data as shown in Figure 6.4. The data were not obtained from the magnetic sample described above but from part of a beveled Cu/Co multilayer sample. The spectrum-image consisted of 64 64 pixel positions on the bevel surface measured at 79 energy levels in the spectrum in each pixel. The (automatic) procedure used to obtain Figure 6.4 was as follows: (1) The entire spectrum-image was smoothed with a (3 3 3) averaging kernel to reduce the effects of the noise in the data. (2) The background to each spectrum is reduced by an approximate method. Here all the spectra in the entire image are averaged together and then smoothed iteratively with a 1D kernel (see section below) until no peaks are visible in this spectrum. This result is regarded as an approximation to the background in each spectrum in the image and so is subtracted from the spectrum in
SCANNING AUGER ELECTRON MICROSCOPY
207
Figure 6.4 A beveled multilayer structure revealing Co, Cu and O Auger peaks. This display of a spectrum-image is a 2D projection of a 3D construct. Spatial coordinates x and y are in the ‘horizontal’ plane and energy E in the vertical direction. Each plane represents the pixels containing one of these peaks. Planes are assigned colors in order to clarify the spatial distribution of the different elements. A simple representation of the average spectrum for the entire area is shown in the panel on the left with counts horizontally and energy axis shared with the vertical axis of the image. Note that the signals from Cu are complementary to those from Co (and oxygen). The highest energy Co signals have the highest intensity, and the highest signal to noise for Co. Reprinted from Mountain et al.20, Copyright (1996), with permission from Elsevier
each pixel. This is not a physically reasonable background subtraction procedure at all but it has been found to suffice for the purposes of visualisation as well as for the rapid automatic estimation of peak energies and heights in the next step. (3) The average spectrum is now differentiated so that peak positions could be identified from the positive-to-negative zero crossings. Also the relative size of each peak is estimated from the magnitude of the differential at each zero crossing. (4) The estimated peak heights are then multiplied by the corresponding energy values to compensate for the tendency of Auger peaks to become smaller at higher kinetic energies. This assists with the visibility of the peak features in the final visualisation.
208
IMAGE PROCESSING AND INTERPRETATION
(5) Having identified the peaks the data are prepared for rendering21–23. The 3D spectrum-image data are divided into small 3D voxels each of which contains a color and an opacity. The color is selected using red, green and blue components and is intended to give a visual cue to the energy level at which each peak is located. The opacity varies from 0 for complete transparency to 1 for total opacity. This variation allows features in the spectrum image to be ‘seen’ through less intense features in the analysts line of view of the display. To further reduce the effects of any noise in the spectrum-image any opacities below a given threshold are set to zero. (6) The processed data, now in voxel form, are rendered using modified ray casting algorithms22. The modifications to the color model are that the (r,g,b) values in each 3D voxel are multiplied by the corresponding opacity and then the (r,g,b) values of the 2D image to be displayed are calculated as the sum of these modified (r,g,b) values at all 3D sampling points along the path of the ray passing through the voxel array towards the viewer. Mountain et al.20 also used trilinear interpolation of the color and opacity values and fractional ray increments to improve the visualisation of a rather coarsely sampled spectrum-image. This procedure revealed six peaks in the spectrum-image corresponding to oxygen, cobalt and copper in the sample surface as can be seen by the colored layers in the 2D projection of 3D data revealed in Figure 6.4. Further the anti-correlation of the Co and Cu peaks can be seen corresponding to the fact that the sample is a bevelled layer structure of alternating Co and Cu. Further there is O contamination on the surface that is preferentially on the Co. More information is present in the opacity variations that are not included in Figure 6.4 because of difficulties with accurate color reproduction. On the computer monitor the variations in opacity are clear. This procedure appears to be complex but is automatic and fast allowing the user to see regions of the sample surface that may be worthy of more detailed study. The method can be improved in a variety of ways by using algorithms that have been established for use in straightforward spectroscopy. For instance, Frank24 has described an algorithm for automatic peak location in an Auger spectrum and Tougaard’s methods (see Chapter 5) for background subtraction have been applied to entire spectra by Seah and Gilmore25. Both of these techniques are soundly based on the physics underlying the shapes of spectra.
SCANNING AUGER ELECTRON MICROSCOPY
209
For quantitative analysis of the Auger mapping data the analyst needs a measure of the height or area of a peak for each element in the sample. Because the background in an Auger spectrum at the energy of a peak is usually comparable with or even larger than the height of the peak, background subtraction at each pixel in an image is essential. The simplest method is to measure the peak height that can be derived from the difference between the intensity of the peak, N1, and the intensity, N2, of the spectral background at some energy just above the peak. This measurement has to be made at each point on the surface that is mapped. The procedure amounts to collecting two energy analysed images for each peak to be measured. Alternatively, each peak height can be estimated by measuring the heights of several points on the spectral background above each peak and then using some analytical expression to extrapolate the background N2 at the energy of the peak. (N1 N2 ) is then a signal given by the peak minus the extrapolated background height. The latter is clearly a more accurate estimate of the size of the peak but it requires the acquisition of more data – at least three measurements per pixel for linear background extrapolation. In the most extreme cases the Auger peak of interest may be superimposed upon a curved background spectrum. This occurs most frequently for low energy peaks (usually below 200 eV) and in these cases it can be necessary to acquire the background data at three energies above the peak and use the software to extrapolate the background to the peak energy by fitting a quadratic shape or the power law form AEm as described in Chapter 5. The advantages of such an extrapolation are discussed later. As discussed in Chapter 5, in order to construct images with contrast proportional to the concentrations of the various elements in the surface it would be advantageous to acquire a signal proportional to the area under each peak in the electron spectrum. In general this is rather difficult and has not been reported for imaging but may well become routine once spectrum-images can be acquired. The method has been used extensively in spectroscopy by Tougaard and his co-workers and references to this work are cited in Chapter 5.
6.3
SIGNAL TO NOISE RATIOS
In Chapter pffiffiffiffiffi 3 the signal to noise ratio in a count of N electrons was taken to be N by assuming that the electrons are counted and Poisson statistics are obeyed. If the peak height is to be used in displaying or analysing the image then the signal to noise ratio is not as good as this. If
210
IMAGE PROCESSING AND INTERPRETATION
ðN1 N2 Þ is used as a measure of the peak height then the standard deviation in this measure is ðN1 þ N2 Þ1=2 . The background counts are often larger than the peak height (see Chapter 3) in which case the fluctuations in N2 are dominant and the signal to noise ratio is reduced 1=2 to ðN1 N2 Þ=2N2 (see Koenig and Grant26). There are different measures for the signal to noise ratios in an entire image. This issue has been discussed by Rose27,28 and by Ready and Wintz29 and then extended to the number of resolvable levels (NRL) in images by Browning30 and Barkshire et al.31. The signal to noise ratio RI is given by: RI ¼ s2image =Ni where s2image is the variance of the image and Ni is the average number of electrons counted in each pixel (and so is also the variance in the average counts per pixel). Barkshire et al. conclude that if the value of RI is greater than the fluctuations due to the counting statistics in the image then there must be physical variations in the composition and/or roughness of the specimen providing the image. An example of this situation is shown in the section below.
6.4
SMOOTHING
An example of an Auger image produced by subtracting the counts N2 in the background at an energy above an Auger peak from the counts N1 at a peak energy is shown in Figure 6.5(a) for the magnetic sample. The noisy character of the data is evident. Just as the filtering of spectral data is often needed, as discussed in Chapter 3, in order to smooth out the fluctuations in the count at each energy, so smoothing is often needed in imaging to reduce the effects of fluctuations in the counts in each pixel. These are all examples of low pass filtering where the objective is to reduce the high frequency (in time, energy or space) variations whilst retaining those with low frequency variations. This is a common requirement in signal processing32. Various methods for smoothing spectra have been reviewed and evaluated by Seah and Cumpson33. The use of linear regression to find a least-squares fit of a cubic polynomial in the energy is commonly used in smoothing spectra. For images, the analogous process is to fit to the raw data, in a least squares sense, a 2D cubic function in the spatial position. Usually, successive regions of n by n pixels (with n commonly 3,5 or 7) in the original (or
Figure 6.5 (N1 N2 ) Cr LMM Auger images of the same sample as shown in Figure 6.3. (a) The difference image (using the counts at 349 eV on the Cr peak and the counts at 369 eV on the background above the peak) and its intensity histogram with no further processing. (b) The data of (a) after a single application of a 3 3 2D least squares cubic smoothing function (Table 6.1). The contrast in the image is improved and the peaks in the intensity histogram have become narrower. (c) After a single 5 5 smooth. (d) After a single 7 7 smooth
212
IMAGE PROCESSING AND INTERPRETATION
raw data) are fitted with a 2D cubic and a new data array is created with the center pixel in the processed data forming a new value in the corresponding position for the new data. Mathematically this is a process of discrete convolution of an n by n kernel of numbers with the raw data. This process has been applied using three kernels to the data of Figure 6.5(a) and is shown in Figure 6.5(b)–(d). Inspection of these three smooths shows how the peaks in the intensity histograms become narrower as the size of the kernel is increased and the contrast between the bright Cr band and the rest of the surface becomes stronger. The 7 7 smooth has also resulted in a ‘clumpy’ appearance in the image because so many (49) pixels are involved in the smoothing operation. The 2D power spectra of the data in Figure 6.5(a) and (c) before and after smoothing with this kernel are shown in Figure 6.6. The information in the images is contained in the low frequency Fourier components seen at the center of the power spectra. The spectra are streaked in the vertical direction because the images of Figure 6.5 contain horizontal bands of similar color (because they contain pixel values of similar sizes). The detail around the central bright features contains higher frequency Fourier components that are due to random noise. The power spectrum of the smoothed image is shown in Figure 6.6(b) and the reduction in the random noise features can be seen clearly. The low frequency features in the power spectrum are hardly changed. This demonstrates the low-pass filtering characteristic of the spatial filter that was applied to the raw data. The signal to noise ratio of these images as defined above is much higher (approximately 3000) than might be expected from their appearance. In this case the reason is that the surface has been roughened during the ion bombardment used for cleaning and there are significant variations in the Auger yield from one spot to another because of a fluctuating angle of incidence (see the discussion of principal component analysis (PCA) below). Thus there is more information in these images than is immediately apparent. The kernels for 3 3, 5 5 and 7 7 smoothing are shown in Table 6.1. As described above the new data array will have empty pixels in ðn 1Þ/2 pixels around the edges of the image because only the center points of the fitted functions have been used. Clearly, a special case can be made in the software for smoothing the edge pixels using other values of the fitting function used at these positions in the image. Another useful tool for smoothing images is an extension to 2D of the method described for spectra by Kosarev and Pantos34. In this extension
SCANNING AUGER ELECTRON MICROSCOPY
213
Figure 6.6 The 2D power spectra of the data shown in Figure 6.5(a) and (c). (a) The power spectrum of the image in Figure 6.5(a), i.e. the raw data before applying the smoothing convolution. (b) The power spectrum after application to the images of a least squares (5 5) smoothing function as in Table 6.1
a 2D Fourier transform of an image is used to calculate the power spectrum in the image data. The top half of this power spectrum is dominated by the noise power spectrum and the lower half by the power spectrum of the useful information in the image. The lower half, together with the transition in the power spectrum to the noise can be used to construct a low pass filter for that image. Having applied the filter (by simple multiplication at each spatial frequency) the modified data can be inverse Fourier transformed to reveal the smoothed image. One strength of this procedure is that the statistical information in the power spectrum can be used to eliminate outliers from the raw data. These are pixels that are significantly larger or smaller than their nearest neighbors. They can arise, for example, from problems in the electronics of the microscope being used or by interference from outside sources.
214
IMAGE PROCESSING AND INTERPRETATION
Table 6.1 Smoothing kernels for 2D data. Least squares fitting of a 2D cubic: (a) to 3 3 pixels; (b) to 5 5 pixels; (c) to 7 7 pixels. The kernels are centred with the bold value on the pixel whose new value is to be placed in the array being created in the smoothing operation (a) 0.1111111 0.2222222 0.1111111
0.2222222 0.5555556 0.2222222
0.1111111 0.2222222 0.1111111
0.0114286 0.0971429 0.1257143 0.0971429 0.0114286
0.0400000 0.1257143 0.1542857 0.1257143 0.0400000
(b) 0.0742857 0.0114286 0.0400000 0.0114286 0.0742857
0.0114286 0.0971429 0.1257143 0.0971429 0.0114286
0.0742857 0.0114286 0.0400000 0.0114286 0.0742857
(c) 0.048 0.014 0.007 0.014 0.007 0.014 0.048
0.014 0.020 0.041 0.048 0.041 0.020 0.014
0.007 0.041 0.061 0.068 0.061 0.041 0.007
0.014 0.048 0.068 0.075 0.068 0.048 0.014
0.007 0.041 0.061 0.068 0.061 0.041 0.007
0.014 0.020 0.041 0.048 0.041 0.020 0.014
0.048 0.014 0.007 0.014 0.007 0.014 0.048
Having derived the cubic polynomials for fitting the raw data it is also possible to enhance the contrast in an image at the edges of features. Forming the first derivative of these cubics results in kernels that contain different numbers to those shown in Table 6.1. Discrete convolution of such a kernel with the raw data produces an image that has the largest contrast at feature edges.
6.5
OTHER USEFUL SOFTWARE TOOLS
A variety of software tools for displaying or processing spectra, linescans and images can be combined into a useful toolkit that can be called upon by the analyst in many contexts. Some of these are listed here to indicate the kinds of operations that the authors have found useful. (1) Digitisation. Having displayed the data it is useful to obtain an exact display of the counts that are in use at a pixel in an image or
SCANNING AUGER ELECTRON MICROSCOPY
(2)
(3)
(4)
(5)
215
at a point along a line-scan or image. If the coordinates (Counts, x,y) or (Counts, E) or (Counts, position) are shown as the cursor is moved across the item displayed on the monitor then quantitative information can be explored quickly and practically. Interpolation. When comparing or combining images it may have happened that the experimental data were collected using the same area of the sample but different sizes of pixel arrays. It is convenient to have a tool that interpolates the least dense arrays to match the size of the densest pixel array in the set. Linear interpolation is usually adequate considering the signal to noise ratios of most Auger images. Arithmetic. A variety of arithmetic operations are useful to act on image pixels. These include scaling by a constant, adding or subtracting a constant, combining corresponding pixels in two images by addition, subtraction or multiplication of the values of corresponding pixels. Algebra. In some quantification operations (see Chapter 7) it is useful to be able to apply an algebraic operation to combinations of pixels in one image or across several images. Further, the algebraic expression may not be known at the time of writing and compiling the software. An example is when a new expression is published for a polynomial fit to Monte Carlo modeling of electron scattering in the sample perhaps to determine the subsurface atomic number from a back-scattered electron (BSE) signal. Rather than rewrite part of the software it is useful to be able to enter an algebraic expression for the operation and have the software interpret and run it. This facility allows rapid modification of the software to adapt to the analyst’s current interests. Differentiation. This is useful when visualising images in order to enhance the contrast at the boundaries between regions with similar contrast. This can emphasise the edges of features that are bounded by steps. A modification of the smoothing methods described above can be used to differentiate the image contrast with respect to distance in order to enhance edge contrast. The same algorithms can also be used to differentiate spectra with respect to energy in order to emphasise the appearance of a peak superimposed upon a slowly varying background. Not only does this improve the visibility of an Auger feature in a spectrum but also such post-acquisition differentiation produces results that can be compared with spectra obtained from other instruments
216
(6)
(7)
(8)
(9)
(10)
IMAGE PROCESSING AND INTERPRETATION
using modulated energy analysers (as described in Chapter 3). Examples of a variety of such differentiated spectra are shown in Chapter 8. Zooming. Having displayed an image or set of images it is sometimes desirable to redisplay a selected region of interest. This is a zooming-in operation. Stacking. Having collected a set of spectra or line-scans it can be useful to have a facility to stack them one above another on the same axis system in order to compare and examine them for changes. This can occur, for example, having collected a set of spectra during the cleaning up of a sample by ion bombardment. A stacked display of the spectra from the same place on the surface can reveal quickly and easily both the progress of the clean up process and whether unusual materials have been encountered during bombardment. Animation. Another display resource that is similar in function to stacking is to be able to animate the presentation of a multispectral image set. The set may contain a large number of images (tens or even hundreds) that cannot be displayed side by side. Animation of the set allows the analyst to perceive quickly whether there are interesting changes in the pattern of contrast that may need detailed static display in the region of the changes or even re-acquisition of the data from the same region of the sample over the energy range where the changes were perceived. Small area spectroscopy. If the sample surface is rough then it is useful to be able to combine spectroscopy and imaging. Small area spectroscopy is carried out by setting up to scan a small area of the surface whilst simultaneously collecting the electron spectrum. If the scanned area is larger than the scale of the roughness but smaller than the field of view of the energy analyser then this method integrates over the varying Auger yields due to changing local angles of incidence and reduces the effects of roughness on the details of the spectrum. Reporting. Although apparently a small consideration since excellent graphics and word processing software packages are widely available, the authors have found it useful to be able to prepare displays of data with suitable labeling for presentation purposes whilst working in the image-processing program. Many of the figures in this book have been prepared in this way. One’s boss may adhere to the maxim ‘an image is worth a thousand
SCANNING AUGER ELECTRON MICROSCOPY
217
words’ and ability to present data quickly on-line may be an invaluable aid to one’s professional progress!
6.6
BACKGROUND SUBTRACTION AND SURFACE TOPOGRAPHY
In this section some arithmetic operations on one or more images are considered. For single images these operations are regarded as those for which image pixels may be increased or decreased in value by some fixed but selectable amount or all the pixels in an image may be scaled by some fixed but selectable constant. For two or more images these operations include, for instance, pixel-by-pixel subtraction of one image from another and division of each pixel in an image by the corresponding pixel of another image of the same size. Operations of these kinds are used routinely: (a) In various approximations to the removal of the spectral background under the Auger peak used to form the image. (b) In the normalisation of an image to a given beam current when intending to proceed to a subsequent image quantification to estimate the percentage of that element present at each point on the surface scanned by the electron beam. (c) In the normalisation of an image to the transmission of the energy analyser at the energy of the electrons used to form the image. (d) In the combination of different images in order to correct the Auger images for the effects of varying surface inclinations in the region being scanned – the surface topography. This may for instance be carried out by taking the ratios of images of the peak heights to the images of the backgrounds in the spectrum above the peak energies or by combining Auger peak height images with differential images from back-scattered electron detectors.
6.6.1
Image Arithmetic – Correcting for the Background
The simplest approximation to the estimation of the height of an Auger peak is to take the difference between the counts at the peak energy and the counts on the background just above the peak for each corresponding pair of pixels in two energy analysed images. The Auger image
Figure 6.7 Three arithmetic operations used to reduce the background signal. The images are from the magnetic sample with data using the silver Auger peak. The peak is at 349 eV and two background images at 369 eV and 389 eV were used. (a) Peak minus background at 369 eV. (b) Peak minus linearly extrapolated background using both background images. (c) Peak minus power law extrapolated background using both background images
SCANNING AUGER ELECTRON MICROSCOPY
219
then has a brightness determined by ðN1 N2 Þ using the notation introduced above. Two rather better approximations to the peak height involve the collection of three or more images so as to extrapolate the background above the peak to estimate the counts N3 at the energy of the peak – so forming the difference ðN1 N3 Þ. Examples of Ag images from the magnetic sample are shown in Figure 6.7 using the background alone and two extrapolated backgrounds. Figure 6.7(b) shows the image formed using linear extrapolation and Figure 6.7(c) shows the image formed using extrapolation with a background of the form AEm . Inspection of these images reveals that the signal to noise ratios in Figure 6.7(b) and (c) are lower than in (a) – both by the appearance of the images and by the rather broader peaks in the histograms of (b) and (c). This is because extrapolation from two noisy background images leads to more noise in the difference images than simply subtracting only two noisy images as in (a). However, as will be seen in subsequent discussion in the section below and in Chapter 7, corrections for topographical effects and the need for quantitative information about the elemental concentrations at each point in the images usually means that the extrapolated background is preferred (see also the example in Figure 5.8 and 5.9). There may appear to be little difference in Figure 6.7 between the three images and their histograms. However closer inspection of the positions of the peaks in the histograms reveals that the average counts in each peak do change. The shift in the counts of the lowest peak (blue) is about 8 % between (b) and (c). In using the peak heights for quantitative anlysis (see Chapter 7) this will lead to a corresponding systematic error in the proportions of each element present in the surface of this sample. Any Auger image formed using much lower peak energies reveals a greater importance of power law extrapolation because the curvature of the background becomes substantially more pronounced below about 200 eV.
6.6.2
Correcting for the Effects of Surface Topography
Because the Auger peak heights depend both upon the angle of incidence of the electron beam and the take-off angle into the energy analyser’s entrance aperture (Chapter 2) the contrast in a simple Auger image formed using only the peak height can have a large contribution from variations in the local variations of these angles. This will be referred to here as the effects of surface topography, i.e. angular variations that
220
IMAGE PROCESSING AND INTERPRETATION
have a scale in the surface that is larger than the diameter of the electron beam. Surface roughness is taken to have effects due to variations of these angles on a scale that is small compared with the diameter of the beam as it strikes the sample surface. It was recognised by Todd and Poppa35 that, since the energies E1 and E2 at which the peak and the background images are acquired are quite close together, the angular dependence of both the Auger and background yields may be very similar. Thus, a ratio of the peak height to the background above the peak, ðN1 N2 Þ=N2 , may give substantial reductions in the topographical contribution to the image contrast. As a bonus, the use of the ratio of two measurements in the same spectrum cancels out the effects of any fluctuations that may occur in the current in the electron beam during the frame scan time. This is because both signals are proportional to the beam current provided that these fluctuations occur on a timescale that is long compared with the time between the measurements of the peak height and the background. Later, it was pointed out by Janssen et al.36 that a ratio of the height of the negative excursion in the energy derivative, N 0 ðEÞ, of the Auger peak to the height of the background at the same energy may give an improved topographical correction. This amounts to a mapping of the logarithmic derivative of the spectrum at the peak energy. The first tests of this technique were performed with analog techniques and they were extended to digital methods by Prutton et al.13 by mapping with an approximation to the logarithmic derivative ðN1 N2 Þ=ðN1 þ N2 Þ. Using anisotropically etched silicon samples either clean or coated with gold or silver films, the latter authors showed that an acceptable removal of the topographical contrast could be performed when the local angle of incidence varied in the range of 0 to about 70 . Images calculated using these ratios are shown in Figure 6.8. The topography sample has been used but the silicon substrate has been coated with evaporated layers of gold with two different thicknesses. The upper polyhedron in Figure 6.8 has been coated with a layer 40 nm thick and the lower polyhedron with a layer 520 nm thick. Thus, the surface is entirely of gold. The images were acquired with a beam energy of 20 keV and used the gold peak at 2008 eV and background images at 2135 eV and 2450 eV 37. The inelastic mean free path for this gold peak is about 2.7 nm38 so the entire surface should appear to be composed of gold according to the Auger data. However, the region covered with 40 nm of gold might be expected to show a different backscattering contribution to the gold Auger peak height because the penetration depth of the 20 keV beam is about 0.5 mm39 and so the silicon substrate
SCANNING AUGER ELECTRON MICROSCOPY
221
Figure 6.8 Five images of a gold coating on the topography sample using different quantities to form the intensity of each pixel. The gold peak was measured at 2008 eV and background images were at 2135 and 2450 eV. The intensity scale on each image is normalised to the same measure of the peak height from a bulk gold standard. Thus, the pixel intensity should be 1.0 and there should be a single peak in the intensity histogram because the surface is entirely of gold. The intensity 1.0 is marked on the histograms by a broken vertical line. The full width at half maximum (FWHM) of the intensity distribution is indicated in each histogram. (a) The normalised difference N1 N2 . (b) The normalised ratio ðN1 N2 Þ=N2 . (c) The normalised ratio ðN1 N2 Þ=ðN1 þ N2 Þ. (d) The normalised ratio ðN1 NL Þ=NL . (e) The normalised ratio of (d) corrected for overcompensation of the Z contrast as described in the text
222
IMAGE PROCESSING AND INTERPRETATION
contributes to the backscattering effects more in this region. The data in Figure 6.8 have had the intensities normalised to the peak height from a bulk gold sample measured in the same way as are the ratios in the images. Therefore, the intensity histograms might be expected to show a single peak at a ratio of 1.0 if compensation of the topographical effects had been perfect. The vertical broken line in the intensity histograms indicates the position of a ratio of 1.0. Further, the FWHM of the peak in the histograms of the ratio images should be determined by the statistics of the counting processes used to calculate the images. The FWHMs are indicated in each intensity histogram. Although the entire surface is covered with gold that is more than three inelastic mean free paths thick, there is strong contrast in the simple Auger image of Figure 6.8(a) and this is clearly topographic in origin. The corresponding histogram is multi-peaked and most peaks correspond to normalised peak heights that are greater than 1.0 and the color attribution indicates that the different peaks correspond to different facets on the polyhedra. The ratio images are shown in Figure 6.8(b), (c) and (d) for the normalized ratio ðN1 N2 Þ=N2 , the normalized ratio ðN1 N2 Þ= ðN1 þ N2 Þ and the normalized ratio ðN1 NL Þ=NL , respectively. NL is the linearly extrapolated background at the peak energy calculated using the two background images at 2135 and 2450 eV. It is clear that the image contrast for all three ratios is now much less dependent upon the topography and the intensity histograms each have a single peak centred on 1.0 as expected. All three ratios therefore appear to offer some correction for the topographical effects. One clear similarity between the three ratio images is that they show systematically higher ratios over the upper polyhedron (red/yellow) than the lower polyhedron (yellow/green). Since the lower polyhedron is covered by the thicker gold layer this suggests that this feature of the contrast is due to electron backscattering from the sub-surface material. The sign of the contrast between the two polyhedra is not, at first sight, consistent with a higher Auger backscattering factor in the brighter region that has a lower thickness of gold and might therefore be expected to have a significant contribution to its backscattering factor from the underlying silicon. The backscattering factor from silicon (Z ¼ 14) is lower than that of gold (Z ¼ 79) therefore the Auger peak height of the surface gold should be smaller for the upper polyhedron. Neither is this contrast due to a change between the two regions in the slope of the backgrounds above the 2008 eV gold peak because the image using the linearly extrapolated background ratios shows the same contrast effect. This ‘inverted’ Auger contrast effect has been reported
SCANNING AUGER ELECTRON MICROSCOPY
223
and discussed by El Gomati et al.40 and was discussed at greater length in Chapter 5. It arises from the fact that the use of these ratios overcompensates for changes in the Auger backscattering factor. Both Bishop41 and Sekine et al.42 have suggested using data at higher energies to correct for topography changes but both of these approaches show the same overcompensation of changes in Auger backscattering factors37. Correction for this overcompensation of changes in Auger backscattering factor has been proposed in a pragmatic approach suggested by Crone et al.43. They found that the overcompensation could be reduced by multiplying the ratio of peak height to background by a factor FZ given by: FZ ¼ ð1 þ 0:0025Zeff Þ=ð1 þ 0:0025ZÞ where Zeff is the effective atomic number at each place on the sample determined from a calibrated BSE detector (see Chapter 7) and Z is the atomic number of the element being imaged. The numerical coefficient 0.0025 is derived from the calibration of the BSE detector in the York MULSAM instrument as well as the geometry and beam energy being used. It will be different for other instruments. This use of a BSE detector was outlined in Chapter 3. This correction has been applied to the data for the topographic image shown in Figure 6.8(d) and is shown in Figure 6.8(e). The FWHM in the intensity histogram of these modified ratios is now 0.07. Thus the combination of the use of the intensity ratio and the correction for the overcompensation in Z contrast has resulted in the correct ratio for gold being determined on this extremely rough surface to within 3.5 % where the use of the ratios alone results in
6 % at best (Figure 6.8(c)). The combination of Auger images and BSE images from a multispectral set has been used by Crone et al.43 and Barkshire et al.44 to produce quantitative Auger images in which the counts in each pixel correspond to the amount of particular element in that part of the surface. This work is described in Chapter 7.
6.7
SCATTER DIAGRAMS AND PHASE MAPPING
In those cases for which quantitative analysis is needed or when results may need to be generated with little human supervision, it may be necessary to deploy classification (segmentation) techniques. In this context, classification means the grouping of pixels in an image according to which phase they belong to. In this context the word
224
IMAGE PROCESSING AND INTERPRETATION
phase is taken to mean a region of an image within which all the pixels have the same or a very similar collection of properties. These properties may be simply the intensities of pixels from the same position on the sample surface in a multi-image set. Alternatively, they may correspond, for example, to the intensities of the corresponding pixels in a processed set of images where these intensities represent the local bulk and surface compositions, the local angle of incidence of electrons and the local backscattering coefficient. Mathematically, if the intensities of the corresponding pixels are the components of an N-dimensional vector, then they are the regions that are described by the same (or very similar) N-vectors in the hyperspace defined by N images in a set. The specific classification techniques described below have been called correlation partitioning because they exploit correlations between different images.
6.7.1
Scatter Diagrams
Consider a set of M spatially registered images, such as a spectrumimage, each comprised of P by Q image points (pixels). The M-dimensional histogram of their intensities (known as a scatter diagram) is a very useful construct. P Q points are placed in the histogram. The coordinates of each point are the intensities of that particular pixel in each image in the set. The outcome is a diagram in an M-dimensional hyperspace that contains clusters of points where the pixels in the set of images have the same, or very similar, intensities. The number of clusters gives a measure of the number of different kinds of regions in the area imaged – in the most general sense it describes the number of ‘phases’ present in this area. The number of points in the cluster expressed as a fraction of P Q is a measure of the relative area that is due to that ‘phase’ within the region imaged. The word ‘phase’ is being used here in a very general sense in that it is simply a distinct cluster of points in the scatter diagram that is separated from any neighboring clusters in a statistically significant manner. This is an example of image classification. A simple case of M ¼ 2 is shown in Figure 6.9 where the principle of using the peaks in the intensity histogram to derive a 2D scatter diagram is illustrated. In this figure the clusters were identified interactively by drawing polygonal figures around the clusters perceived by the user in the display of the scatter diagram. All pixel pairs leading to a point in that cluster are given a
SCANNING AUGER ELECTRON MICROSCOPY
225
Figure 6.9 EDX images of a simple overlay pattern of tungsten (b) on a silicon substrate (a). The images were obtained simultaneously. The intensity histograms are shown in (c) and (d) (with (c) rotated by 90 ) to show how the peaks in the histograms line up with the clusters in the scatter diagram (e). There are two clusters in the scatter diagram because there are only different types of region revealed in the set of two EDX images. The broad line of points (the correlation trail) between the clusters arises from those places in the sample where the electron beam strikes the edges of the overlay and both W and Si EDX signals are generated. The ‘phase’ map (f) is generated from (e) by attributing the color yellow to points in (e) falling in the W cluster (low Si and high W pixel values) and the color red to points in (e) falling in the Si cluster (high Si and low W pixel values). Reproduced by permission of John Wiley & Sons Ltd from Hough et al.46
chosen color attribute. This method has been called interactive correlation partitioning (ICP). The same methodology was developed by Bright et al.45 for the analysis of EDX images and is referred to by them as a back-mapping or traceback procedure. Automatic selection of clusters – automatic correlation partitioning (ACP) is possible46 but can be tricky to interpret either because of the correlation trails between clusters that are often observed when samples contain sharp boundaries between different regions or because the sample contains a continuous distribution of compositions (see, for example the XPS images reported by Artyushkova and Fulghum47). Haigh et al.46 have reviewed several methods for performing ACP and introduced another. The order-mean (OM) graph technique described
226
IMAGE PROCESSING AND INTERPRETATION
by Kudoh et al.48 assumes that only a very small number of images contribute significantly to the partitioning process. This method was developed for satellite-generated data where this constraint appears to be suitable. However, electron microscope data can contain a larger number of images and a high proportion of these may contain significant and unique information. Binary division clustering49 operates by dividing up the n-dimensional cluster space into regions and assigning a pixel to a cluster by the region into which the pixel falls. This method has also been used for satellite images. It requires prior knowledge of the numbers of clusters expected and so is not suitable for the general analytical electron microscope situation of interest in this book. The K-means method has been successfully applied to electron microscope image sets containing four related images50. Here, a mesh of possible cluster centers are positioned in scatter diagram space and each data point in the space is assigned to the nearest of these centers. Once all the points have been assigned, the cluster centers are repositioned to fall at the center of gravity of each of the clusters. This process is repeated until the centres no longer move by a significant distance. The clusters are thus located. In practice, the number of possible clusters has to be limited or the computational times become prohibitive. This means that efficient computation requires that the number of clusters has to be known a priori. This knowledge is not usually available for analytical electron microscopy. PCA (see section below) has been exploited for the analysis of electron microscope image sets47,51–53. This is an attractive first step before using any algorithm to locate clusters because the process of transforming the data by carrying out this analysis reduces the number of significant images in the set. Some of the raw data can be rejected because it contains only random noise and some can be rejected because it has a variance that is negligible compared with that in the first few principle components. Thus the dimensionality of the data set is reduced and the cluster location algorithm can be correspondingly faster. However, a cluster searching algorithm is still required and, also, the meaning of the original pixel intensities has been lost in the linear transformation that combines the raw data images. This means that interpretation of any false color image created by this technique is likely to present some difficulty. Haigh et al.46 exploited the observation that, in practical analytical image data sets, the clusters always have a peak in their density of pixels at their centers. The algorithm contains the following steps:
SCANNING AUGER ELECTRON MICROSCOPY
227
(1) The coordinates (pixel intensities) of each putative cluster are found by locating the maxima in the intensity histograms of each image. For the data illustrated in Figure 6.9 this procedure would lead to the location of two x-coordinates and two y-coordinates. Thus, there are four possible clusters in the 2D scatter diagram at the positions indicated by the numbers 1–4 in Figure 6.9(e). The subsequent procedures have the objective of examining the data to discover which of these combinations of coordinates correspond to actual clusters. (2) An n-dimensional search box bounded by the intensity minima determined from the 1D intensity histograms of each image in the set of n images is tentatively assigned to each cluster. If this cluster contains fewer than 10 points then the cluster is rejected. This threshold was chosen by a process of trial and error. Reducing the threshold leads to larger numbers of spurious clusters being accepted; raising it could lead to the rejection of small islands with unique properties. Thus, the threshold is similar to a resolution limit. (3) The center of gravity, g, of all points within the search box is located and then the mean absolute deviation, d, of these points from g is calculated. If d exceeds one-quarter of the width of the box in all dimensions, then the cluster is rejected. This is a simple test to ensure that an actual cluster will have more points close to its center than remote from its center. (4) The position and size of the search box are adjusted so that its boundaries become equal to g 3d in each dimension. If this adjustment takes the center outside of the original search box then this cluster is rejected. This condition can arise when a true single cluster spans more than one box, in which case it will be detected successfully when the box containing the true center is processed. Steps (2),(3) and (4) are applied iteratively until there are a stable number of clusters. This procedure produced the same number of actual clusters and the same phase map produced by ICP and shown in Figure 6.9. Haigh et al. applied this method of ACP to a beveled surface of a TiN/Ti/SiO2 interface using a set of five Auger images (i.e. n ¼ 5 as defined above) and were able to identify 14 clusters corresponding to different layers through this structure. Scatter diagrams and ICP have been exploited in the development of methods for correction of topographic contrast from Auger images by
228
IMAGE PROCESSING AND INTERPRETATION
Barkshire et al.44 for carbon, silver and gold coatings on anisotropically etched silicon as described above and in the study of very rough surfaces from samples used in tribological studies54 and in the study of used catalysts55. Castle et al.56 have used scatter diagrams to show how some impurity elements can form intermetallic compounds in metal matrix composites which lead to the creation of microgalvanic corrosion. The subject of image classification is very large and spread over many fields of research. A review article covering the field of microscope image processing and the use of artificial intelligence and pattern recognition techniques has been published by Bonnet57.
6.7.2
Phase Mapping
As indicated in Figure 6.9(f) scatter diagrams provide a means of classifying the number of significantly different regions being imaged and are often a useful first step in the quantitative analysis of a sample. Having identified the different regions in the surface (as described above these are phases in the most general sense of the word because they are regions that have different properties but are not to be confused with composition phase maps widely used in materials science), the next step may be to carry out spectroscopy at the positions on the sample that correspond to each cluster center. In this way the analyst has an objective means of ensuring that spectroscopic information has been obtained for every phase that characterises that sample. Without this strategy of characterisation there may always be doubt that interesting or unusual phases have been missed by accident. For instance, three images from the same magnetic sample that yielded the Cr map in Figure 6.5 are shown in Figure 6.10. They reveal the surface distributions of Ag and Ni as well as the Cr. These images can be compared by simple inspection but the M ¼ 3 scatter diagram is more informative. The scatter diagram and a phase map constructed from it are shown in Figure 6.11. The Ni, Cr and Ag Auger peaks were chosen to produce the 3D scatter diagram of Figure 6.11(a) both because they were the largest peaks in the spectrum and only three Auger features can be used to visualise the scatter diagram conveniently. The Fe, Si and any O Auger peaks were omitted for these reasons. The phase map in Figure 6.11(b) reveals the complexity of the layer structure even though only three of the five elements present were used to analyse the sample. In fact, as the Si(111) surface had been exposed to the air before the metal depositions there
Figure 6.10 The set of three Auger maps and their intensity histograms obtained from the same sample revealing the Cr distribution shown in Figure 6.5. (a) Ag MNN map. Background removed by extrapolating linearly at each pixel using two energy-analysed images obtained above the energy of the Ag MNN peak at 349 eV. A least squares cubic 3 3 smooth has been applied. (b) Cr LMM map of the same region. (c) Ni LMM map of the same region. Reproduced by permission of IM Publications and Surface Spectra Limited, Copyright 2003
230
IMAGE PROCESSING AND INTERPRETATION
Figure 6.11 (a) 2D projection of a 3D scatter diagram formed from the data in Figure 6.10. Six clusters are indicated. Clusters 1 and 3 are perceptible only because there are sharp changes in direction of the correlation trails each side of them. They contain very few pixel trios and so correspond to small areas on the surface of the sample. (b) The phase map constructed from (a) by attributing a different color to the points in each cluster. Unexpectedly, Ag appears at the SiO2/NiFe interface. Reproduced by permission of from Watts et al.12
are six elements in the sample because the Si surface had grown a native oxide. Unexpectedly, some of the Ag material had diffused to the NiFe/ SiO2 interface with two states of the Ag – a region mixed with NiFe in cluster 3 arising from the region near the Ag/NiFe interface and more nearly Ag alone in cluster 1 arising from the Ag/SiO2 interface. The white spaces in Figure 6.11(b) correspond to the correlation trails in (a) that have not had a false color attributed to them. The production of the phase map using only Ni, Cr and Ag Auger maps and the subsequent identification of the elements in the extra regions of the sample has assisted with a more complete characterisation of the surface. Another scatter diagram is shown in Figure 6.12 where the data are taken from a single polyhedron covered with gold. It is the lower polyhedron shown in Figure 6.8. In Figure 6.12 the data are taken from the four quadrants of the BSE detector shown in Figure 6.2. As has been showed by Reimer39, Robinson58 and Robinson et al.59these detectors are particularly useful to image and interpret the surface topography of the sample. By subtracting the signals from the sums of
SCANNING AUGER ELECTRON MICROSCOPY
231
Figure 6.12 Construction of a phase diagram for a single polyhedron on the magnetic sample by ICP using the four images from the sectors of a BSE detector (Figure 6.2). (a) A processed BSE image formed by adding the BSE images from detector quadrants 1 and 4 in Figure 6.2 and subtracting the sum of the BSE images from detectors 2 and 3. This reveals the changes in the inclination about a vertical axis in Figure 6.2 and so reflects the X topography. (b) This is the counterpart to (a) formed using ð1 þ 2Þ ð3 þ 4Þ – the Y topography image. (c) The scatter diagram formed using the pixel intensities in (a) on the abscissa and the pixel intensities from (b) on the ordinate. (d) This shows the phase diagram of this region of the sample constructed using interactive correlation partitioning of (c). In this case each phase is an individual facet of the polyhedron
opposing pairs of quadrants the topographical changes are emphasised and become dominant in the processed images. The images formed using this arithmetic are shown in Figure 6.12(a) and (b). The symmetry of the polygon is particularly clear in (a) that shows topographical contrast due to the inclination of the facets about the vertical axis. There are different false colors in (b) that shows changes about the horizontal axis
232
IMAGE PROCESSING AND INTERPRETATION
because of the antisymmetry in angle in this direction. The scatter diagram in Figure 6.12(c) is formed by using this pair of topographical images and, using interactive correlation partitioning, each cluster of points in (c) has been assigned a color attribute to form the phase diagram of Figure 6.12(d). Clearly, in the case of this model sample with a surface composed entirely of gold but with strong topographical variations the phases in (d) are each a facet of the underlying polyhedron. The information revealed by the scatter diagram from this special example has been generalised and used for the estimation of the effective atomic number of the surface region and to correct the contrast of Auger images for the surface topography by Barkshire et al.44 This involved the calibration of the signals from the BSE detectors as a function of the angle of incidence of the electron beam for flat elemental standards of thorium, tantalum, silver, nickel and silicon. The sum and difference signals from the BSE quadrants could then be characterised as a function of the angle of incidence and the atomic number of the sample. It was found that the data could be fitted with simple polynomials for both angular and atomic number variations. A simple algorithm could then be used to calculate the angle of incidence, the take-off angle to the energy analyser and the effective atomic number of the sample surface. This information could then be used to estimate the Auger backscattering factor and to correct for effects of surface topography on the yield of Auger electrons. This work is described in detail by Barkshire et al. 44 and is outlined in Chapter 7.
6.7.3
Multivariate Statistics – PCA
Unfortunately, it is not convenient or practical to generate a scatter diagram directly from all the images in a spectrum-image owing to the huge amount of memory that would be required (of the order of IM bytes where I is the number of intensity levels to be resolved) and the need for 3 CM displays of the individual 3D scatter diagrams. It is therefore necessary to reduce the number of images prior to classification in order to limit the dimensionality of the search space. This may be accomplished by manually selecting spectral channels that are known to contain significant information. However, such an approach is against the spirit of spectrum-imaging since it requires prior knowledge of the specimen. Instead, a method is needed to generate a small number of statistically independent images, each revealing a unique contrast
SCANNING AUGER ELECTRON MICROSCOPY
233
mechanism, without making any assumptions about the specimen. A commonly used technique for achieving this is the Hotelling transform, also known as the Karhunen–Loeve (KL), principal component or eigenvector transform6. Other methods based on nonlinear dimensionality reduction transforms have also been reported60. These transforms can be applied to any data that can be represented as a column vector of numbers and so they are applicable to spectra – which are clearly just tables of numbers versus kinetic energy. Images are also just tables of numbers but now they are tabulated versus the position of the beam on the sample. The methods are described in many books about image processing1–9 and in books on multivariate statistics6,61. Gaarenstroom62 was the first to report the use of factor analysis for electron spectroscopy and has subsequently extended it63 to a method of quantitative analysis called target factor analysis. Applications to surface imaging have been described by Prutton et al.64. If all or some of the individual images in an image set are correlated with each other then there is redundant information in the set that can be exploited to improve the signal to noise ratio, increase the contrast or to reduce the total amount of information being stored. The Hotelling transform does this. The output of the transform is a set of images, the same in number as raw images but the information is compressed into a smaller set and the noise tends to be distributed in the other members of the set. The pixel values in the transformed image set – the principal components – are linear combinations of the corresponding pixel values in the raw images and the scatter diagram is rotated about its center of gravity. Such a rotation applied to the data in Figure 6.9(e) would place the clusters along one axis of a new scatter diagram and only noise along the second axis. Thus, an image set containing two correlated (or anticorrelated) images would be transformed into one new principal component image containing information about the correlated components in the raw data and a second principal component image containing only noise. The method of PCA is outlined in Figure 6.13 that describes the particular case of transforming the data to produce correlation coefficients for pairs of images in the set. If the data are not standardized as indicated in Figure 6.13 then it is transformed to produce the variance of the images and the covariance between pairs of images. The former may be preferred because different channels of a multi-channel analyser are likely to have different sensitivities and other detectors (EDX, SEM, etc.) may have been used to form some of the images in the set and they certainly will have different sensitivities. Using the correlation
234
IMAGE PROCESSING AND INTERPRETATION
A Formulation of Principal Component Analysis Consider the data for a set of M images each containing N pixels in r rows by c columns.
(1) Subtracting the mean value of each image from each of its pixels centers each image. These values are also normalised by dividing the centred values by the standard deviation of that image. All further calculations are performed using these standardised images. Normalisation prevents a disproportionate weight being attached to a detector for any particular image (because, for instance, it happens to have a higher sensitivity than any others). The raw image vectors are given the notation I and standardised image vectors are given the notation I ’ in the description below.
(2) The covariance matrix of the standardised image matrix is computed. This is defined as
Cij ≅
1 c ∑ N x =1
r
∑I ( y =1
/ / i x, y ) j( x, y )
I
where Ii(x,y) refers to the (x,y) pixel in image Ii. C is an MxM matrix for which the diagonal terms are autocorrelation coefficients and the off-diagonal terms are the cross-correlation coefficients between pairs of images.
(3) The eigenvectors and eigenvalues of matrix C are found with standard algorithms, e.g Press 65, 66. A transformation matrix H is formed whose columns contain the components of the eigenvectors of C and which are arranged in order of decreasing eigenvalues. This is the Hotelling or Principal Component transform.
(4) The Principal Component image matrix P is calculated by generating a new image matrix by multiplying the standardised image vector with H . Thus:
P = HI There is the same number of images in P as there were in the original data set. An account of this and similar procedures with examples in chemometrics 1 can be found in Brereton (see text).
Figure 6.13
Outline of the methodology of PCA
SCANNING AUGER ELECTRON MICROSCOPY
235
coefficients gives equal weight to each image in the set. The methods of PCA are described in more detail in the books by Gonzalez and Wintz3 and Brereton1. The latter gives examples of the application of multivariate statistics to problems in chemical analysis – chemometrics – and the application of these techniques to surface analysis may be thought of as surface chemometrics. Details of the numerical methods suitable for the processing of the image matrices may be found in the books by Press et al.65,66. The final step in the production of the principle components is a matrix multiplication of the raw data by the transform matrix sometimes called the Hotelling matrix. This multiplication rotates the scatter diagram because it is a linear operation. Further, it orthogonalises the image set as though it is separating out a set of independent simultaneous linear equations. The rotation of the scatter diagram places clusters with the largest separations along the first axis, those with the next largest separations along the second axis and so on. In other words it has sorted the raw image set into a new set of orthonormal components in order of decreasing variance. This transform is useful to the analyst because: (1) It reduces the amount of information that must be stored. (2) The number of statistically significant principal component images in the transformed set tells the analyst how many different kinds of region there are present in the solid and where they are. Spectroscopy of ‘typical’ places can be carried out subsequently to identify the differences between these regions. The selection of what is typical is then completely objective. (3) Although the overall signal to noise ratio is unchanged as a result of applying the transform, the contrast in the first few principal component image is increased because the rotation of the scatter diagram has increased cluster separation along the principal axes. (4) The principal component image set can reveal unexpected features of the sample surface for which specific spectral information was not sought. These regions can be analysed retrospectively to find out what is special about them. (5) The transform can be applied to data that has been pre-processed using some physical model of electron–solid scattering intended to try to separate the confusing effects of composition variations in the surface and in the bulk as well as the topography. The transformed images and their eigenvectors reveal the extent to which the pre-processing has been successful in separating the various effects at work31.
236
IMAGE PROCESSING AND INTERPRETATION
Figure 6.14 The three principal component images derived from the data shown in Figure 6.10. PCA 1 has the greatest variance, PCA 2 has less and PCA 3 has the least. The eigenvalues and eigenvectors are listed in Table 6.2
Examples of some of these advantages are demonstrated below. This discussion is not confined to Auger imaging – PCA is a useful method for the examination of all kinds of spectral and image data in all the techniques outlined earlier. For example, the first paper describing the application of the Hotelling transform to EDX images was published by Paque et al.67. More can be learned about the magnetic sample of Figures 6.10 and 6.11 by PCA using the images shown in Figure 6.9. The three principal component images are shown in Figure 6.14 and the associated eigenvectors and eigenvalues are listed in Table 6.2. Examination of the eigenvectors in Table 6.2 shows that PCA 2 contains a negligible amount of data from the Ag Auger map and is dominated by the anti-correlation between Cr and Ni. PCA 3 – the image showing the weakest correlations in the original data set – reveals the anti-correlation between Ag and both Cr and Ni. The greatest variance is in PCA 1 and is due to the positive correlation between all three elements. A sample consisting of only these three elements would not reveal correlation between all these components – they ought to sum to 100 at % in concentration and so if one element rises in concentration Table 6.2 Properties of the three principal component images shown in Figure 6.14 Eigenvector components
PCA 1 PCA 2 PCA 3
Eigenvalues
Silver
Chromium
0.483 0.156 0.014
0.640 0.060 0.766
0.536 0.680 0.501
Nickel 0.551 0.731 0.403
SCANNING AUGER ELECTRON MICROSCOPY
237
one or more of the other elements should fall. The positive correlation suggests that some other factor has been omitted from the analysis. Barkshire et al.55 have shown that one such effect can be the topography of the surface of the sample. This can cause the Auger signals from all elements in the sample to rise as the angle of incidence of the exciting beam rises. The same effect is thought to be at work for the sample discussed here – the inclinations of each exposed layer at the bevel surface are at different angles to the electron beam. This is discussed in more detail elsewhere 12. Another example of PCA is shown in Figure 6.15 that has been calculated using the four images from the four quadrants of the BSE detectors shown in Figure 6.2 and the topography sample. It is striking that PCA has automatically found three images, Figure 6.15(a), (b) and (c), that correspond quite closely to the Z-contrast from this gold coated sample and to the topographic contrast shown in Figure 6.15(a) and (b).
Figure 6.15 Four principal component images derived from the four BSE images of the topography sample. The eigenvalues and vectors are tabulated below the four images
238
IMAGE PROCESSING AND INTERPRETATION
The first component has the largest eigenvalue and is composed of approximately equal parts of each of the raw BSE images. It is formed from the sum of the raw components and so it is to be expected that it has contrast dominated by the Z-contrast in the sample. The second and third components have eigenvalues approximately two orders of magnitude smaller than the first. They both consist of the difference between the sums of the pairs of opposing quadrants as can be seen from the signs of the eigenvector components. This pair of principal component images have contrast dominated by the topography of the polyhedra. The fourth principal component has an eigenvalue five orders of magnitude smaller than the first and its contrast is dominated by the difference between the signals from adjacent BSE quadrants. This image is probably due to small systematic errors in the alignment of the incident electron beam and the center of the BSE assembly. The analyst does not necessarily have prior knowledge of what physical effects will be responsible for the contrast in which principal component image – after all the principal component images are derived purely from the statistical properties of the image set. Nevertheless it can be that the analyst has enough knowledge of a sample both from data from other experiments as well as from acquaintance with the conditions of sample preparation that particular physical effects can be recognised in one or more of the principal component images. This was done by Barkshire et al.55 who recognised the topographical character of one PCA image in the study of the extremely rough surface of a used catalytic sample and were able to exploit this to make a correction to the Auger images using this knowledge.
6.7.4
Self-organising Maps
As outlined above, in order to visualise and analyse spectrum-image data or large sets (more than three images) of energy-analysed maps, some different methodology is required. During the 1970s, Kohonen5 developed self-organising maps (SOMs). These are maps that attempt to simulate a brain function. They are a kind of visible neural network and have had significant impact in many research fields. One of the characteristics of his network is that it accepts multi-dimensional data and makes it visible in a 2D SOM. SOMs were first used as an informationprocessing tool in the fields of speech and image recognition. Kohonen has published the computer programs SOM_PAK through which SOMs can be constructed, and LVQ (Learning Vector Quantization)_PAK
SCANNING AUGER ELECTRON MICROSCOPY
239
through which the data that belong to the same or similar classes can be classified. These codes are available on the Internet from the Neural Networks Research Center, Laboratory of Computer Information and Science, Helsinki (http://cis.hut.fi/research/som-research/nnrc-programs. shtml). Following a description by Bonnet57, Kohonen’s algorithm to construct a SOM proceeds as follows: (1) Usually, a 2D grid is created with a given topology of interconnected nodes as indicated in Figure 6.16. The nodes are called neurons in Kohonen’s work because of his neurological objectives. (2) Each node is associated with an N-dimensional feature vector (otherwise called a code vector or prototype). The data to be input to this network of nodes has the same dimensionality as the feature vector. Thus, a multispectral data set containing say 256
Figure 6.16 A representation of the construction of a self-organising map containing 15 nodes in a 35 rectangular array. Each image in a set of N images is presented to the SOM using the algorithm described in the text. Each set, Xi , of corresponding pixels is described by an N-vector. Repeated input of the same data results in the collection of similar N-vectors into particular groups of nodes
240
IMAGE PROCESSING AND INTERPRETATION
images would need a 256 component feature vector. The 2D network of nodes for the SOM may be smaller than this. The feature vectors may be initialised randomly. (3) When an input vector Ik is presented to the network the closest node is sought and found. This node is called the winner. The closest node is defined as the one whose feature vector is at the smallest Euclidean distance. (4) The winner and its neighbors are modified (updated) in such a way that the associated feature vectors mk come closer to the input by using: m i;tþ1 ¼ mi;t þ ai Ik mi;t Þ i 2 Zt where at is a coefficient decreasing with iteration index t; Zt is a neighborhood, also decreasing in size with t. (5) The input vectors (the images) are repeatedly presented to the network until the components of the feature vectors have converged. This may require about 1000 repeated inputs of the multispectral image set. This repetition is often called the learning process. At the end of the process the SOM is rather similar to a scatter diagram in that in contains clusters of nodes containing the image vectors that are the same or similar. However, it is unlike a scatter diagram because it bears no obvious direct relationship to the grid of pixels in the original images and the occupancy and positions of the nodes have been determined by a nonlinear process. Walker68 reported the first application of SOMs to Auger images and Obu-Cann et al.69 have used this SOM_PAK code for analysis of AES, XPS and X-ray diffraction (XRD) spectroscopic data. In the latter work, for each kind of spectroscopy the SOM was constructed using measured spectra from many elements, alloys and compounds. Each spectrum I(E) forms a multi-dimensional description of a shape that is characteristic of each material. Thus, a spectrum containing measurements at, say, 1024 different energies is regarded as a single vector in a 1024-dimensional space. The components of this vector are the intensities in the spectrum at each of its energies. The same idea would be used for a scatter diagram of a spectrum-image containing 1024 energy points per pixel in each spectrum. However, instead of using ICP or ACP to identify the clusters in this scatter diagram in hyperspace, SOM uses this nonlinear algorithm to map the hyperspace into a nonphysical 2D space. In the case of spectrum-images the spectrum in each pixel can be presented to the same SOM and identified as belonging to a node or group of nodes
SCANNING AUGER ELECTRON MICROSCOPY
241
Figure 6.17 A phase map for the magnetic sample constructed from a SOM derived using Kohonen’s SOM_PAK algorithm as indicated in the text. The nodes in the 10 20 SOM have been colored to correspond to the vector lengths that are most frequent as derived from the intensity histograms of the Auger images shown in Figure 6.10. This phase map has been derived automatically and can be compared with the phase map derived interactively using ICP and shown in Figure 6.11(b). The authors are pleased to thank Dr. C. J. Walker for this SOM calculation and figure.
that are nearest to it. Successive pixels can thus be labeled with the elements that belong to the material in the sample giving rise to that spectrum. This amounts to ACP or automatic classification of the regions in the spectrum-image. An example of a phase map for the magnetic sample described earlier is shown with the SOM from which it was constructed in Figure 6.17. The SOM has an array of 10 20 nodes which were populated using the SOM_PAK algorithm with about 1000 cycles in which the 3D vectors from the pixels of the Ni, Cr and Ag images shown in Figure 6.10 were input. The nodes were labeled by inspecting the heights
242
IMAGE PROCESSING AND INTERPRETATION
of the peaks in the intensity histograms of Figure 6.10 and attributing colors to identify the different phases in the surface. The nodes shown in black in Figure 6.17 were not attributed to any phase. The appearance of the phase diagram is very similar to that obtained using ICP and shown in Figure 6.11(b). There are the same number of separable phases occupying roughly the same relative areas of the sample surface. Thus the same information could be obtained from the SOM as was obtained from analysis of the scatter diagrams but with the advantages that the SOM method is automatic and can be used for any number of images in a set. A review of artificial intelligence techniques in image processing has been presented by Cutrona et al.70 who have described a fuzzy relaxation method for ACP.
REFERENCES 1. R. G. Brereton, Multivariate Pattern Recognition in Chemometrics (Elsevier, Amsterdam, 1992). 2. D. E. Dudgeon and R. M. Mersereau, Multidimensional Digital Signal Processing (Prentice-Hall, Englewood Cliffs, 1984). 3. R. C. Gonzalez and P. Wintz, Digital Image Processing (Addison-Wesley, Reading, MA, 1982). 4. E. L. Hall, Computer Image Processing and Recognition (Academic Press, New York, 1979). 5. T. Kohonen, Self-organizing Maps (Springer Verlag, Berlin, 1995). 6. E. R. Malinowski, Factor Analysis in Chemistry (John Wiley & Sons, Ltd, Chichester, 1991). 7. M. Meloun, J. Militky and M. Forina, Chemometrics for Analytical Chemistry (Ellis Horwood, Chichester, 1992). 8. J. G. Moik, Digital Processing of Remotely Sensed Images (NASA Special Publications, Washington, DC, 1980). 9. W. K. Pratt, Digital Image Processing (John Wiley & Sons, Ltd, New York, 1978). 10. N. Bonnet, M. Herbin and P. Vautrot, in Scanning Microscopy 1996, edited by O. Johari (Scanning Microscopy International, Chicago, 1996), Vol. 11, p. 1. 11. N. Bonnet, M. Herbin and P. Vautrot (http://www.aber.ac.uk/ ecmwww/journal/ smi/pdf/smi97–01.pdf). 12. R. Watts, I. R. Barkshire and M. Prutton, Journal of Microbeam Analysis 3, 251 (1994). 13. M. Prutton, L. A. Larson and H. Poppa, Journal of Applied Physics 54, 374 (1983). 14. K. E. Bean, IEEE Transactions on Electron Devices ED-25, 1185 (1978). 15. M. Jacka, M. Kirk, M. M. El Gomati and M. Prutton, Review of Scientific Instruments 70, 2282 (1999). 16. O. L. Krivanek, C. C. Ahn and R. B. Keeney, Ultramicroscopy 22, 103 (1987). 17. D. E. Newbury and D. S. Bright, Microscopy and Microanalysis 5, 333 (1999). 18. Khorus (http://www.khoros.unm.edu). 19. Iris (http://www.nag.com).
SCANNING AUGER ELECTRON MICROSCOPY
243
20. D. L. Mountain, P. G. Kenny, I. R. Barkshire and M. Prutton, in UK Eurographics, edited by H. Jones, R. Raby and D. Vicass (London, 1996), Vol. 1, p. 95. 21. T. Porter and T. Duff, Computer Graphics 18, 253 (1984). 22. M. Levoy, The Visual Computer 6, 2 (1990). 23. R. A. Drebin, L. Carpenter and P. Hanrahan, SIGGRAPH 22, 65 (1988). 24. L. Frank, Vacuum 36, 437 (1986). 25. M. P. Seah and I. S. Gilmore, Journal of Vaccum Science and Technology A14, 1401 (1996). 26. M. F. Koenig and J. T. Grant, Surface and Interface Analysis 7, 217 (1985). 27. A. Rose, in Advances in Electronics, edited by A. Marton (Academic Press, New York, 1948), p. 131. 28. A. Rose, Imaging Technology 13, 1 (1970). 29. P. J. Ready and P. A. Wintz, IEEE Transactions COM-21, 1123 (1973). 30. R. Browning, Surface and Interface Analysis 20, 495 (1993). 31. I. R. Barkshire, J. M. Walton and M. Prutton, Surface and Interface Analysis 20, 583 (1993). 32. A. Savitsky and M. J. E. Golay, Analytical Chemistry 6, 1627 (1964). 33. M. P. Seah and P. J. Cumpson, Applied Surface Science 62, 195 (1992). 34. E. L. Kosarev and E. Pantos, Journal of Physics E: Scientific Instruments 16, 537 (1983). 35. G. Todd and H. Poppa, Journal of Vacuum Science and Technology 12, 953 (1973). 36. A. P. Janssen, C. J. Harland and J. A. Venables, Surface Science 62, 277 (1977). 37. M. Prutton, I. R. Barkshire and M. Crone, Ultramicroscopy 59, 47 (1995). 38. S. Tanuma, C. J. Powell and D. R. Penn, Surface and Interface Analysis 17, 927 (1991). 39. L. Reimer, Scanning Electron Microscopy (Springer, Berlin, 1985). 40. M. M. El Gomati, J. A. D. Matthew and M. Prutton, Applied Surface Science 24, 147 (1985). 41. H. E. Bishop, in Electron Beam Interactions with Solids, edited by D. F. Kyser, H. Niedrig, D. E. Newbury and R. Shimizu (SEM, Chicago, 1984), p. 259. 42. T. Sekine, T. Sato, Y. Nagasawa and Y. Sakai, Surface and Interface Analysis 13, 7 (1988). 43. M. Crone, I. R. Barkshire and M. Prutton, Surface and Interface Analysis 21, 857 (1994). 44. I. R. Barkshire, J. C. Greenwood, P. G. Kenny and M. Prutton, Applied Surface Science 55, 245 (1992). 45. D. S. Bright, D. E. Newbury and R. B. Marinenko, Concentration–Concentration Histograms: Scatter Diagrams Applied to Quantitative Compositional Maps (San Fransisco Press, San Fransisco, 1988). 46. S. Haigh, P. G. Kenny, R. H. Roberts, I. R. Barkshire, M. Prutton, D. K. Skinner, P. Pearson and K. Stribley, Surface and Interface Analysis 25, 335 (1997). 47. K. Artyushkova and J. E. Fulghum, Surface and Interface Analysis 33, 185 (2002). 48. J. Kudoh, G. Chakravorty, Y. Nemoto, N. Shiratori, H. Kawamura, S. Obata and S. Noguchi, IEEE Transactions on Geoscience and Remote Sensing 32, 949 (1994). 49. H. Hanaizumi, S. Chino and S. Fujimura, IEEE Transactions on Instruments and Measurement 44, 759 (1995).
244
IMAGE PROCESSING AND INTERPRETATION
50. N. Bonnet, Ultramicroscopy 57, 19 (1995). 51. S. D. Bohmig and B. M. Reichl, Fresenius Journal of Analytical Chemistry 346, 223 (1993). 52. P. V. Espen, G. Janssens, W. Vanhoolst and P. Geladi, Analusis 20, 81 (1992). 53. P. G. Kenny, M. Prutton, R. H. Roberts, I. R. Barkshire, J. C. Greenwood, M. J. Hadley and S. P. Tear, in Beam–Solid Interactions, edited by O. Johari (Scanning Microscopy International, Cambridge, 1992), Vol. 6, p. 361. 54. I. R. Barkshire, M. Prutton and G. C. Smith, Applied Surface Science 84, 331 (1995). 55. I. R. Barkshire, P. G. Kenny, I. W. Fletcher and M. Prutton, Ultramicroscopy 63, 193 (1996). 56. J. E. Castle, L. Sun and H. Yan, Corrosion Science 36, 1093 (1994). 57. N. Bonnet, Advances in Imaging and Electron Physics 114, 1 (2000). 58. V. N. E. Robinson, Scanning 3, 15 (1980). 59. V. Robinson, N. Cutmore and R. Burdon, in Scanning Electron Microscopy, edited by O. Johari (SEM Inc., AMF O’Hare, Chicago, 1984), Vol. 1, p. 483. 60. N. Bonnet, Journal of Microscopy 190, 2 (1998). 61. W. J. Krzanowski, Principles of Multivariate Analysis (Oxford University Press, Oxford, 1988). 62. S. W. Gaarenstroom, Applied Surface Science 7, 7 (1981). 63. S. W. Gaarenstroom, Applied Surface Science 26, 561 (1986). 64. M. Prutton, M. M. El Gomati and P. G. Kenny, Journal of Electron Spectroscopy and Related Phenomena 52, 197 (1990). 65. W. H. Press, B. P. Flannery, S. A. Teukolsky and W. T. Wetterling, Numerical Recipes in C. The Art of Scientific Computing (Cambridge University Press, New York, 1988). 66. W. H. Press, B. P. Flannery, S. A. Teukolsky and W. T. Wetterling, Numerical Recipes. The Art of Scientific Computing (Fortran Version) (Cambridge University Press, New York, 1989). 67. J. M. Paque, R. Browning, P. L. King and P. Pianetta, in XIIth International Congress for Electron Microscopy, edited by L. D. Peachey and D. B. Williams (San Francisco Press Inc., San Francisco, 1990), Vol. 2, p. 244. 68. C. G. H. Walker, Surface and Interface Analysis 24, 173 (1996). 69. K. Obu-Cann, H. Tokutaka, K. Fujimura and K. Yoshihara, Surface and Interface Analysis 30, 181 (2000). 70. J. Cutrona, N. Bonnet, M. Herbin and F. Hofer, Ultramicroscopy 103, 141 (2005).
7 Quantification of Auger Images M. Prutton
7.1
INTRODUCTION
One of the driving forces in studying the analysis of materials has been the need to obtain nondestructive, quantitative, information about the chemical composition of solids. Energy dispersive X-ray analysis (EDX) has been one method fulfilling this need and Auger electron spectroscopy (AES) is an important method for the corresponding analysis of the surface region of a solid. The analogy with EDX methods was the first to be pursued and was described by Bishop and Rivie`re1. A second approach was introduced by Palmberg et al.2 who used comparisons with the corresponding peak heights from the measured intensities of pure elemental standards. Both kinds of work have been important in the application of AES to materials analysis. The quantification of Auger images is strongly related to the quantification of spectra. The aim is to produce a set of Auger maps describing the atomic fractions of each element in the solid for each pixel of the images. There will be N Auger images for N elements in the surface and the procedure for image quantification requires the application of a quantification algorithm for each pixel in this multi-spectral set. The theoretical and measurement science background to quantification using Auger spectra has been thoroughly reviewed by Seah3. First, a brief summary of the spectroscopic methods is given because it establishes the methodology that is consistent between both AES and SAM and it is an authoritative description of the physics and the instrumental Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
246
QUANTIFICATION OF AUGER IMAGES
considerations. Then some approaches to the quantification of image data are given.
7.2 7.2.1
SPECTROSCOPIC QUANTITATIVE ANALYSIS Absolute Approaches
As described in Chapter 2, the detection of electron excited Auger processes is reasonably approximated as a linear process. This means that the process can be related to a product of the number of Auger electrons generated, the probability of their escape from the solid and the efficiency of their detection in an energy analyser. This was recognised by Bishop and Rivie`re1 whose equation for the Auger electron current detected for an XYZ Auger transition in a homogeneous solid can be written, using Seah’s notation IAXYZ ¼ Io gAXYZ nAX sAX ðEo Þ sec a½1 þ rA ðEAX ; Eo ; aÞ d
NA QðEAXYZ ÞlA ðEAXYZ Þcosy 4p
ð7:1Þ
where gXYZ is the probability that the ionised core level, X, in element A is filled with the ejection of an XYZ Auger electron (the alternative is emission of a characteristic X-ray), nAX is the electronic population of the level X, sAX ðEo Þ is the ionisation cross-section of the core level, X, in the element, A, for electrons of energy, Eo , a is the angle of incidence of the electron beam measured from the surface normal, rA ðEAX ; Eo ; aÞ is the additional ionisation of the core level, X, with binding energy, EAX , arising from back-scattered energetic electrons, NA is the atomic density of the A atoms, Q(EAXYZ) is a weak correction factor allowing for a reduction in the escape probability of electrons from the solid arising from elastic scattering, lA(EAXYZ) is the inelastic mean free path for the XYZ Auger electrons with energy EXYZ in the sample A, y is the angle of emission of the detected electrons from the surface normal and d /4p is the fraction of the Auger electrons entering the energy analyser. In principle this equation could be used to estimate the concentration of atoms NA of the A atoms by measuring the other quantities. In general this is a large task and the accuracy of determination of many of the quantities in this expression may not be acceptable. Further, if the elements present vary with position in the surface as in Auger imaging,
SCANNING AUGER ELECTRON MICROSCOPY
247
the task of evaluating this equation in each pixel would be formidable. In both Auger spectroscopy and imaging the complexity can be reduced and the accuracy of quantitative analysis can be improved by analysing the ratios of the intensities of the Auger peaks measured from the sample to the same intensities measured from bulk elemental standards whilst using the same experimental conditions.
7.2.2
Use of Ratios
The use of intensity ratios for calculating the atomic fraction, XA, of element A in the surface region of a sample containing s elements was introduced by Palmberg et al.2 Their relation is XA ¼
IA =IA1 ; s P ðIi =Ii1 Þ
ð7:2Þ
i¼1
where the superscript infinity defines the intensity measured to be that of the pure bulk elemental standard. The use of this ratio has the advantage that, since the measurements are taken in the same spectrometer under the same conditions, many of the parameters in the Bishop and Rivie`re equation cancel out. Thus, the ratio of intensities expressed using the physical parameters in Equation (7.1) (but omitting n and Q) becomes IA ½1 þ rA ðEAX ; Eo ; aÞNA lA ðEAXYZ Þ : ¼ 1 1 IA1 ½1 þ r1 A ðEAX ; Eo ; aÞNA lA ðEAXYZ Þ
ð7:3Þ
Thus, knowledge is required only of the Auger backscattering factors, the atomic density of the atoms (that is to be determined by measurement of the intensity ratio) and the inelastic mean free paths at the Auger energy in both the sample and the elemental standards. Since use of Equation (7.2) requires measurements of unknowns and standards in the same experimental conditions it is useful to prepare a set of elemental standards and record their Auger spectra under a set of carefully specified standard conditions that can also be used when measuring the unknown samples. The set of standard spectra can be incorporated into a database or into a handbook as published, for example, by Physical Electronics Inc.4 and JEOL Ltd5. This is very useful for a first estimate of the surface composition. However it can sometimes be significantly in error as described below.
248
7.2.3
QUANTIFICATION OF AUGER IMAGES
Matrix Effects
Rearranging Equation (7.3) to obtain the expression for the atomic fraction XA XA ¼ FA
IA IA1
ð7:4Þ
where FA ¼
1 1 ½1 þ r1 A ðEAX ; Eo ÞNA lA ðEA Þ ½1 þ rA ðEAX ; Eo ÞNA lA ðEA Þ
ð7:5Þ
Clearly, the terms in the numerator and the denominator of this expression containing only properties of the sample and the standards are not necessarily equal. Equation (7.5) is the simplest form of the matrix correction factor – a quantity that can have values between about 0.1 and 7. A more accurate equation for the matrix correction factor is given by Seah who includes the terms Q for elastic scattering effects and who gives experiment/theory comparisons for the various quantities involved. If Equations (7.4) and (7.5) are to be used to calculate the surface composition then an iterative calculation is necessary because the values of the matrix correction factors depend upon the composition of the surface – the inelastic mean free paths and the Auger backscattering factors depend upon the atomic density of each kind of atom A. A flow chart and program to do this is described by Walker et al.6 who describe a procedure for calculating the surface compositions from the measured peak intensity ratios for both homogeneous solids and a solid partially covered with a thin film with a different composition. The flow chart is shown in Figure 7.1 and some examples of the importance of matrix corrections for homogeneous solids are shown in Table 7.1. The nickel– iron alloy example is chosen because the similar properties of the two elements lead to quite a small difference in the estimate of the
———————————————————————— ———" Figure 7.1 Flow diagram for the estimation of surface composition for a bulk homogeneous solid or a thin film on top of a homogeneous solid. The grey boxes are included in the calculation only when the user chooses to model the surface as a thin film on top of a substrate with a different composition. XAt and XAs refer to the atomic fractions of element A in a film of thickness t on a substrate s. Reproduced by permission of John Wiley & Sons Ltd based on Walker et al.6
SCANNING AUGER ELECTRON MICROSCOPY
249
Start
Enter data required for calculation
Initial guesses of X Initial guesses of XAt and/or X As Weighted averages of Z, ? and M
A At
and rA using As
and rA using
Weighted averages of Z,
and M
FA using Equation (7.5) Fij using equation (4) FA if needed for film case
ITER=ITER + 1
New estimates for X A New estimates for X At, X As Using Equation (7.4) Estimate expected signals from XAs and XAt
ITER=ITMAX
NO
A
YES
YES
Estimate G and V using Walker et al OUTPUT XA OUTPUT XAt , X As and V
Stop
250
QUANTIFICATION OF AUGER IMAGES
Table 7.1 Some examples of matrix correction effects in homogeneous solids. The inelastic mean free paths listed have been calculated using the TPP2-M formulae12
Sample
Auger Measured peak Auger Com- energies signal ponents (eV) ratios
NiFe alloy WTi alloy CuBe alloy PbS compound
Ni Fe W Ti Cu Be Pb S
844 700 1729 416 916 98 92 146
0.80 0.20 0.50 0.50 0.90 0.10 0.50 0.50
Inelastic Auger mean backMatrix free scattering correction path factors factor (nm) (1 þ r) F 1.50 1.29 2.49 1.01 1.63 0.51 0.52 0.57
1.77 1.80 1.78 2.04 1.76 1.98 2.20 2.19
0.95 1.05 0.87 1.15 0.64 1.57 0.69 1.45
Composition (at %) 80.8 19.2 53.5 46.5 93.4 6.6 58.9 41.1
composition from the measured intensity ratios before and after correction for matrix effects. It can be seen in Table 7.1 that the matrix correction factors are only about 5 % different from 1 and the measured intensity ratios give a direct indication of the surface composition that differ from the ‘correct’ matrix corrected estimates by only about 1%. The copper–beryllium alloy with a low Be concentration is more seriously in error largely because of the different inelastic mean free paths of the elements at the energies of their Auger peaks – the error in the composition of Be estimated only from the intensity ratios is 52%. The lead sulfide example has a large difference in the atomic numbers of the two constituents resulting in quite significant matrix correction factors and a 22 % error in the estimate of the S composition. By measuring the faces of the surfaces of InSb, GaAs, MgO and CdTe exposed by cleavage in situ the authors have found that the matrix corrected surface compositions agree with the actual composition of these surfaces (50 % of each component) to within an error of 2 %.
7.2.4
Elemental Standards
Although it is practical to use published tables of the spectra from elements to form the intensity ratios before quantitative analysis, this may involve the analyst in correcting his measurements for different spectrometer properties from those used in the published work. The authors have found it preferable to mount a set of elemental standards on a separate sample holder than can be held available for measurement
SCANNING AUGER ELECTRON MICROSCOPY
251
in the same vacuum conditions and beam parameters without exposing the system to atmospheric pressure. This can be done by providing a carrousel holder for sample mounting plates in the analysis chamber. Of course, some elements are liquid or gaseous at room temperature and these present special difficulties when needed for quantitative analysis. It may be attractive to try to use a solid sample of known composition, e.g. a solid-state compound such as HgTe, MgO or PbS for Hg, O or S, respectively. However, the necessary cleaning of such a compound after insertion from atmospheric pressure will very likely result in a change in the surface composition by differential effects in chemical reactivity or ion sputtering yield. In such cases it is difficult to have any knowledge of the true composition of the surface that is intended to be a standard. To avoid such difficulties, Walker et al.6 have used, for example, the cleavage face of a single crystal of NiO as a standard for O because this is a material with a rock-salt crystal structure that cleaves on its (100) face which is made up of 50 % Ni and 50 % O ions. Further, it is a semiconducting material that does not charge up or dissociate in the electron beam. To use this material to derive a ‘virtual’ standard for bulk oxygen, the measured height of the oxygen Auger peak measured from the NiO surface is corrected by assuming that all the atoms in the matrix are oxygen atoms.
7.2.5
Instrument Calibration
In order to quantify the data in each pixel of a set of spatially registered images it is necessary to have one image for each element present in the surface of the sample. Further, because ratios of peak intensities are to be used, it is convenient if the measurement sensitivity of the energy analyser assembly and any other detectors used are constant during the acquisition of the data. Indeed, it is most convenient if the detector sensitivities are the same for the collection of the data from both the sample of unknown composition and the standards. The first parameter to be held constant is the current in the electron beam. As seen in the discussion above, all the signals reaching the control computer are proportional to the beam current. Therefore, if the beam current is measured using a Faraday cup (Chapter 3) before and after each acquisition then the intensity in each image can be scaled to a particular value of the beam current – preferably that used during the acquisition of data for the standards. Secondly, the sensitivity of channel electron multipliers and microchannel plates varies as they age,
252
QUANTIFICATION OF AUGER IMAGES
with exposure to electrons and with any contamination on their surfaces7, 8. The authors have found it practical to measure the spectrum from a silver standard along with measurements of the unknown sample. The area under this spectrum between two standard energies (say 1000 to 2000 eV) can then be measured and a scaling factor calculated between this area and the same quantity measured at the time when the silver standard was measured. This scaling factor is then applied to all the energy analysed images. Because the silver standard used in this way is needed in repeated experiments over many different analyses it has to be cleaned by ion bombardment many times. Therefore it becomes rougher as the number of cleaning cycles increases. In order to reduce changes in the area under the spectrum due to changing surface roughness the spectrum from the silver was always measured whilst scanning the electron beam over an area of about 80 80 mm – a scan large compared with the scale of the surface roughness.
7.3 7.3.1
IMAGE QUANTITATIVE ANALYSIS Relationship to Spectroscopic Procedure
The principles of producing quantitative analysis of surface composition are the same for images as they are for spectra. In an analysis of a sample containing P elements it is usually necessary to acquire P spatially registered energy-analysed images (one for each principal peak in the spectrum) together with energy-analysed images using two energies above each peak. Thus, for linear background removal, 3P images are acquired and for each of the corresponding pixels in each image a linear background extrapolation is performed to the energy of each of the peaks. The peak height can then be estimated by subtraction in each pixel for each of the P images. The next step is to use spectra from elemental standards measured under the same conditions as the images to estimate a set of peak heights – one for each element. The pixels in each Auger image can then be normalised to their corresponding elemental standard so yielding a set of P images containing the ratio (peak height in the sample)/(peak height in the elemental standard) in each pixel. This processed data can be obtained automatically with suitable computer software. The data are then ready to act as inputs to a calculation using iterative matrix corrections to allow for the composition dependence of the factors in the matrix corrections at each position
SCANNING AUGER ELECTRON MICROSCOPY
253
on the sample surface that was scanned by the electron beam. These factors are usually the density; the Auger backscattering factor and the inelastic mean free paths of the Auger electrons that were used. This approach has been described by Walker et al.6 and is outlined in the flow diagram of Figure 7.1. The iterative calculation usually converges in two or three cycles and so the calculation time for this procedure is not prohibitive. Such calculations can use the algorithms for the inelastic mean free paths described by Tanuma et al.9–12 and calculations of the Auger backscattering factor using the results of Monte Carlo simulations by Shimizu13. Quantified images from NiFeCr and PtRh surfaces are given by Walker et al.6 and by Barkshire et al.,14respectively. These examples are not repeated here because visually they appear very similar to the unquantified Auger images until the intensity histogram is displayed. This histogram has an abscissa defined in terms of atomic number.
7.3.2
Data Set Needed
The data set required for quantification of images contains not only the set of spatially registered Auger maps of each of the elements in the sample as described above but also the standard spectra of the elements in the sample. Should a back-scattered electron (BSE) detector be used, as described below, for the correction of topographical effects and the estimation of the local Auger backscattering factor then its images should also be spatially registered with the Auger maps. There is no time penalty for collecting an SEM image simultaneously with the Auger and BSE images and this is useful as a matter of routine to help with initial interpretation of the image contrast.
7.3.3
Use of BSE Images for Backscattering Factor
A multi-detector Auger instrument such as the York multi-spectral Auger microscope15(MULSAM) is particularly suitable for quantitative imaging because it is equipped with a detector for energetic BSEs that allows estimation of the atomic number of the sub-surface region as well as a determination of the inclination to the electron beam of the area being probed. This detector consists of four sections of a circular semiconducting structure that provides four images simultaneously with the energy-analysed images. The four signals from this BSE detector can
254
QUANTIFICATION OF AUGER IMAGES
be summed and this sum related to the subsurface atomic number and hence – via Shimizu’s algorithm13 – to the Auger backscattering factor. The difference between these signals is related to the local inclination of the surface to the electron beam. This inclination can be related to the angle dependence of the Auger peak heights. Using this information the effects of topographic variations on a scale larger than the beam size can be corrected out of the contrast in the Auger images16. After adjusting the signals from the four BSE quadrants by adjusting them to give the same outputs when a silver standard sample was oriented in normal incidence to the electron beam, they could be calibrated by using a set of 32 UHV compatible elemental standards (Geller Microanalytical Laboratory, Peabody, MA, USA). The signals from each of the four quadrants of the BSE detector could be summed for each element and the resulting dependence of this summed signal upon atomic number is shown in Figure 7.2. A cubic polynomial could be fitted to the 32 data points, as shown in Figure 7.2. This enabled software to estimate the local atomic number, Zeff, for each pixel in the Auger images. Extensive Monte Carlo modeling studies reported by Ichimura and Shimizu17 related the Auger backscattering factor to the local atomic number as follows:
0:32 þ 2:58Z0:2 r ¼ 2:34 2:1Z0:2 eff U eff 0:5
ð7:6Þ
Figure 7.2 Calibration diagram for the determination of the atomic number Z of sub-surface material from the sum of the signal from the four quadrants of the BSE detector described in Chapter 3. Reprinted from Barkshire et al.16, Copyright (1992), with permission form Elsevier
SCANNING AUGER ELECTRON MICROSCOPY
255
In this equation U is the overvoltage, Eo /EAX , for the Auger transition involved. By using the summed BSE image, the coefficients of the cubic polynomial for the detector calibration and Equation (7.6) the software could be written to construct an Auger backscattering image from the summed BSE image. Finally, each Auger image could be corrected for backscattering effects by dividing it with the appropriate backscattering factor image. Equation (7.6) and the description of a BSE detector in Chapter 3 is based upon the four-quadrant semiconducting type of device used in the MULSAM instrument. Other detectors can be used for the estimation of the local atomic number for instance scintillator types based upon emission of light by a phosphor or by a crystal such as yttrium aluminum garnet (YAG)18. The use of such detectors for Z contrast is described in the book by Reimer19. The determination of both Zeff and the local angle of incidence from the signals measured at the BSE detector quadrants is complicated by the Z-dependence of the signals. This dependence has been described by Barkshire et al.16. Figure 7.3 shows the angle of incidence dependence of
Figure 7.3 Normalised difference signal from a BSE multi-detector as a function of tan(a) for angle of incidence a and for high atomic number elements (Z 45). Reprinted from Barkshire et al.16, Copyright (1992), with permission form Elsevier
256
QUANTIFICATION OF AUGER IMAGES 35 Mo Ni Co Si Mg
30
Difference/Sum
25
20
15 10
5 0 0.0
0.2
0.4
0.6
0.8
1.0
1.2
1.4
1.6
1.8
tan(α)
Figure 7.4 Normalised difference signal from a BSE multi-detector as a function of tan(a) for low atomic number elements (Z < 45). Reprinted from Barkshire et al.16, Copyright (1992), with permission from Elsevier
a normalised BSE difference signal for Z 45 and Figure 7.4 shows the angular dependence of the same quantity for Z < 45. Given the arrangement of the BSE detector components in the MULSAM instrument (Chapter 6, Figure 6.2) the angle of incidence of the electrons can be derived after subtracting the sum of the signals of BSE quadrants 2 and 3 from the sum of the signals from quadrants 1 and 4. The normalised difference signal is this quantity divided by the sum of all four signals from the quadrants. This normalisation reduces the sensitivity of the difference signals to Z allowing the split of the angular dependencies into low Z and high Z regions as shown in Figures 7.3 and 7.4. Thus, to form an angle of incidence image in which the number in each pixel is the local angle of incidence, the four BSE images are summed to find the local atomic number (i.e. to form a Z image) and then to use equations fitting the data in Figures 7.3 or 7.4 according to the value of Z so determined. The Auger images can then be corrected for varying angle of incidence by using this angle image and Equation (7.1). Further, the local inelastic mean free path can be determined using the Z image and the Seah and Dench20 or (better) the TPP2-M12 formulae. Thus, by
SCANNING AUGER ELECTRON MICROSCOPY
257
simultaneous collection of four BSE images and the Auger images it is possible to correct the Auger images for varying Auger backscattering factors, varying angle of incidence and varying inelastic mean free paths. The corrected Auger images can then be quantified by forming the ratio of each corrected pixel value to the corresponding peak height from the appropriate spectrum of a flat, elemental, standard measured at the same angle of incidence. If a detector is available for electrons scattered from the sample with near elastic energies this can be sensitive to the topography of the sample and so can be an alternative to a set of BSE detectors. Barkshire et al.14 have used such a detector to estimate composition variations on the surface of an extremely rough PtRh catalyst by combining an image dominated by topographic contrast with the Auger images using PCA.
REFERENCES 1. H. E. Bishop and J. C. Rivie`re, Journal of Applied Physics 40, 1740 (1969). 2. P. W. Palmberg, G. E. Riach, R. E. Weber and N. C. MacDonald, Handbook of Auger Electron Spectroscopy (Physical Electronics Inc., Eden Prairie, 1972). 3. M. P. Seah, in Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications, Chichester, 2003), p. 345. 4. K. D. Childs, B. A. Carlson, L. A. LaVanier, J. F. Moulder, D. F. Paul, W. F. Stickle and D. G. Watson, Handbook of Auger Electron Spectroscopy, 3rd Edition (Physical Electronics Inc., Eden Prairie, 1995). 5. T. Sekine, Y. Nagasawa, M. Kudoh, Y. Sakai, A. S. Parkes, J. D. Geller, A. Mogami and K. Hirata, Handbook of Auger Electron Spectroscopy (JEOL, Tokyo, 1982). 6. C. G. H. Walker, D. C. Peacock, M. Prutton and M. M. El Gomati, Surface and Interface Analysis 11, 266 (1988). 7. M. P. Seah, C. S. Lim and K. L. Tong, Journal of Electron Spectroscopy and Related Phenomena 48, 209 (1989). 8. M. P. Seah, Journal of Electron Spectroscopy and Related Phenomena 50, 137 (1990). 9. S. Tanuma, C. J. Powell and D. R. Penn, Journal of Vacuum Science and Technology A8, 2213 (1990). 10. S. Tanuma, C. J. Powell and D. R. Penn, Journal of Electron Spectroscopy 52, 285 (1990). 11. S. Tanuma, C. J. Powell and D. R. Penn, Surface and Interface Analysis 17, 911 (1991). 12. S. Tanuma, C. J. Powell and D. R. Penn, Surface and Interface Analysis 17, 927 (1991). 13. R. Shimizu, Japanese Journal of Applied Physics, 22, 1631 (1983). 14. I. R. Barkshire, P. G. Kenny, I. W. Fletcher and M. Prutton, Ultramicroscopy 63, 193 (1996).
258
QUANTIFICATION OF AUGER IMAGES
15. M. Prutton, C. G. H. Walker, J. C. Greenwood, P. G. Kenny, J. C. Dee, I. R. Barkshire, R. H. Roberts and M. M. El Gomati, Surface and Interface Analysis 17, 71 (1991). 16. I. R. Barkshire, J. C. Greenwood, P. G. Kenny and M. Prutton, Applied Surface Science 55, 245 (1992). 17. S. Ichimura and R. Shimizu, Surface Science 112, 386 (1981). 18. R. Autrata, P. Schauer, J. Kapvil and J. Kapvil, Scanning Electron Microscopy 2, 489 (1983). 19. L. Reimer, Scanning Electron Microscopy (Springer, Berlin, 1998). 20. M. P. Seah and W. A. Dench, Surface and Interface Analysis 1, 2 (1979).
8 Applications: Materials Science R. K. Wild
8.1
INTRODUCTION
Scanning Auger microscopy is ideally suited to the study of metals and alloys because they are good conductors and problems associated with sample charging are rarely encountered even when they have thin nonconducting overlayers. The high spatial resolution allows small features such as inclusions, grain boundaries and surface particles to be characterised while the high speed of acquisition permits in situ studies of corrosion and diffusion. In this chapter I will describe the use of scanning Auger microscopy to the study of metals, alloys, and some of their oxides, carbides and nitrides and the effect of inclusions and impurities on the mechanical and corrosive properties.
8.2
CHEMICAL EFFECTS
The effect on the Auger peak position of the chemical combination of elements has been described in detail in Chapter 2 and will not be repeated here. However, if E1 , E2 and E3 are the energies of the electron shells taking part in the Auger process and f is the work function and these electron shell energies change by E1 , E2 and E3 , respectively, and the work function by f then the change in the Auger electron energy will be given by EAuger ¼ E1 E2 E3 f: Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
260
APPLICATIONS: MATERIALS SCIENCE
This chemical shift is frequently several or more electronvolts, which is readily detected by most modern Auger analysers, such as concentric hemispherical analysers (CHAs). However some detectors, such as cylindrical mirror analysers (CMAs), have an energy resolution that is a percentage of the energy of the detected electron (see Chapter 3). This resolution is generally in the region of 0.5 % with the result that electrons with energies of 100 eV will have a resolution of 0.5 eV where most chemical shifts should be detected but electrons with energy in the region of 1000 eV will have a resolution of only 5 eV and here chemical effects may be missed. An Auger peak may consist of several Auger transitions and predicting chemical effects from first principles is, in most cases, impractical and it is normal practice to identify compounds using a library of fingerprint spectra.
8.2.1
Oxides
Chemical shifts have been observed for Auger transitions involving the valence band in many systems as the clean metal is exposed to oxygen. Somorjai1 has shown that the Auger peak at 475 eV from the LMM transition in vanadium shifts to lower energy as vanadium is oxidised. Other studies have shown changes in Auger peak positions in Mg, Cr, Mn, Fe and U during oxidation2–5. Figure 8.1(a) shows some chemical shifts in the transition metals Mn, Cr and Fe on oxidation while Figure 8.1(b) shows the Auger spectra recorded from stainless steel as a function of time exposed to oxygen at 973K. The spectra from the pure elements can be used to identify the surface oxide on the stainless steel6. Initially only the peaks from iron and chromium are visible on the spectra recorded from the clean stainless steel but, within a few minutes exposure to 1 105 Nm2 oxygen, chromium oxide is detected. Gradually the chromium oxide peaks increase while the chromium and iron metallic peaks decrease. When the chromium oxide has formed then manganese diffuses through the oxide to form a chromium–manganese spinel. This is an example of chemical shifts involving the valence band but similar chemical shifts have also been reported in Auger spectra involving core shell electrons on oxidation for chromium7 and nickel8.
8.2.2
Carbides
The Auger peak from carbon results from an initial ionisation of the K shell with an electron falling from the L shell and the resulting energy
SCANNING AUGER ELECTRON MICROSCOPY
261
Figure 8.1 (a) Differentiated low energy Auger spectra from transition metals Cr, Mn and Fe and their oxides. (b) Differentiated Auger spectra from the surface of stainless steel as it is exposed to oxygen. Reprinted from Allen and Wild6, Copyright (1974), with permission from Elsevier
being transferred to a second electron in the L shell which is ejected. There are six possible L shell electrons which can take part in this process, all of them very close in energy. There are thus a number of overlapping transitions all too close to be resolved with the result that the Auger peak observed from pure carbon, graphite and diamond is a broad peak with little structure. However, on combining with transition metals to form carbides then the carbon Auger peak exhibits a different structure with a resulting fingerprint that is characteristic of the
262
APPLICATIONS: MATERIALS SCIENCE
Figure 8.2 Differentiated Auger KLL peaks from graphite and carbides of tungsten, titanium and nickel. Reprinted from Bauer9, Copyright (1972), with permission from Elsevier
particular carbide. Bauer9 has recorded the carbon Auger peak for a number of carbides. Figure 8.2 shows the carbon Auger region from carbides of tungsten, titanium and nickel together with the spectrum from pure graphite.
8.2.3
Nitrides
Many materials, particularly superalloys and metal matrix composites (MMCs), contain additional phases added to improve the mechanical properties. Many of these phases are nitrides in which the nitrogen is
SCANNING AUGER ELECTRON MICROSCOPY
263
combined with metallic elements such as aluminum or titanium. Auger spectroscopy can utilise the changes that occur in the nitrogen KLL Auger peak in the region of 385 eV to identify and characterise these nitrides. In fact, the nitrogen KLL peak is a triplet with peaks separated by approximately 10 eV and each of these triplets will exhibit a chemical shift50. Figure 8.3 shows the nitrogen KLL Auger peak region for nitrogen when combined with titanium, Figure 8.3(a), and aluminum,
Figure 8.3 Nitrogen KLL Auger peaks from nitrogen combined with (a) titanium and (b) aluminum. Reprinted from Heard et al50. Copyright (2000) Reproduced with permission from John Wiley & Sons, Ltd. Copyright (*)
264
APPLICATIONS: MATERIALS SCIENCE
Figure 8.3(b). There is some overlap of the titanium with the nitrogen but two of the nitrogen peaks can be seen to have energies of 388 eV and 372 eV while the nitrogen peaks, when nitrogen is combined with aluminum, occur at 382 eV and 367 eV.
8.3
SURFACE SEGREGATION AND EFFUSION
All, so-called, pure materials will contain a certain level of impurities. These are frequently in the form of carbon, sulfur, phosphorus, chlorine and silicon while elements such as arsenic and antimony may also be present in metals and alloys. In addition many alloys have elements, such as manganese and niobium, added at low levels to improve specific properties. When the material is heated these impurities and trace level elements may diffuse to internal and external interfaces and some may effuse through the surface and be lost from the material with resultant changes to the material’s properties. When nickel10, iron11 and chromium12 are heated, in a vacuum of 10-7 Nm-2 or better, to temperatures above 873 K then sulfur will diffuse and segregate to the surface. Figure 8.4 shows the Auger spectrum recorded from a chromium metal surface following heating to 1073 K in a vacuum of 10-7 Nm-2. Only Auger peaks are visible from chromium in the 400–600 eV region and sulfur at 150 eV. Similar effects are observed
Figure 8.4 The differentiated Auger spectrum from chromium heated to 1073 K in a vacuum of 107 Nm2
SCANNING AUGER ELECTRON MICROSCOPY
265
with nickel, iron and alloys composed of these elements, such as stainless steel. In some instances elements may diffuse from the bulk and effuse from the surface with the result that they may not be detected at the material surface. If stainless steel is heated in a vacuum to temperatures above 820 K and an aluminum cold finger is placed close to the heated stainless steel elements, which effuse from the stainless steel, may condense on the aluminum surface13. Figure 8.5 shows Auger spectra recorded from the stainless steel surface while maintained at 920 K and the cold finger following exposure for 120 min to the stainless
Figure 8.5 (a) Differentiated Auger spectra from EN58A stainless steel heated to 920 K and (b) aluminum foil cold finger after exposure to the heated stainless steel for 120 min. Reprinted from Wild13, Copyright (1974), with permission from Elsevier
266
APPLICATIONS: MATERIALS SCIENCE
steel. The Auger spectrum from the stainless steel surface indicates that impurities sulfur and phosphorus have diffused from the bulk to the surface but the spectrum from the aluminum cold finger indicates that chlorine, manganese and sulfur have effused from the stainless steel. Manganese is an element present in stainless steel which assists in maintaining the austenite phase and helps prevent the stainless steel reverting to the ferritic phase. Prolonged operation of these stainless steels at high temperature results in regions that revert to ferrite with resultant changes to the mechanical properties.
8.4
OXIDATION
Auger spectroscopy can be used to study oxidation and corrosion of metals and alloys by determining the surface composition in situ if the oxygen level is low, by interrupting oxidation to perform the analysis or by probing the oxide layer following oxidation using inert gas ion depth profiling, taper sectioning or ball cratering.
8.4.1
Early Stages
When metals are exposed to an oxidising environment such as air, oxygen, CO2, etc., at high temperature, reactions will take place between the bulk alloying elements and the impurities and trace level elements diffusing to the surface. At low temperatures less diffusion of bulk species takes place and corrosion may either cease following the formation of a passive oxide layer or it may proceed by diffusion of oxygen or hydroxide ions through the oxide. An example of the use of Auger spectroscopy to study in situ oxidation is shown in Figure 8.614. Here stainless steel has been exposed at 870 K to 1 105 Nm2 oxygen while the surface composition is monitored by Auger spectroscopy. During the early stages of exposure to oxygen, 1–200 min, only iron and chromium metal species could be observed together with sulfur and a low level of oxygen. As the period of exposure to oxygen increased so the levels of sulfur and iron decreased while the oxygen and chromium levels increased until after 600 min exposure the oxygen level approached 60 at% while chromium level was 40 at% indicating the formation of Cr2O3. After this point in time manganese was detected at the surface for the first time and from this time onwards the manganese level continued to increase slowly while
SCANNING AUGER ELECTRON MICROSCOPY
267
Figure 8.6 The surface composition of stainless steel exposed at 870 K to 1 105 Nm2 oxygen. Reprinted from Wild14, Copyright (1977), with permission from Elsevier
the chromium level decreased until at the completion of the experiment both manganese and chromium were present at the surface in approximately equal amounts (22 at%). Throughout this period the oxygen level decreased from 60 at% and then remained constant at 57 at% indicating that the surface oxide had converted to a spinel with composition (MnCr)3O4. Studies such as this can provide an explanation of otherwise confusing measurements. Weight gain studies on the oxidation of stainless steel often show an incubation period during which time there is no gain in weight and sometimes a weight loss is observed. The Auger results provide the explanation. The sulfur, present as an impurity, diffuses to the surface where it reacts with oxygen to form SO2 which is released into the environment. No oxide forms until the sulfur has been depleted from the bulk alloy and no weight gain is observed until the sulfur diffusion rate has reduced to a low level. At the completion of the oxidation the oxide composition can be determined from the gas/oxide interface to the metal/oxide interface by combining argon ion depth profiling with Auger spectroscopy to give a depth resolution that is superior to that determined by cross-sectioning
268
APPLICATIONS: MATERIALS SCIENCE
Figure 8.7 Depth profile through an oxide formed on 20 % Cr/25 % Ni/Nb stabilised stainless steel in CO2 at 1123 K. Reprinted from Tempest and Wild15, Copyright (1981), with permission from Elsevier
and electron microprobe analysis. Figure 8.7 shows the result of a depth profile obtained through an oxide formed on a 20 % Cr/25 % Ni/Nb stabilised stainless steel after exposure for 200 h at 1123 K in CO215. Apart from the presence of carbon from the CO2 the oxide is similar to that formed on a 18 % Cr/8 % Ni stainless steel in the presence of oxygen. The oxide layer is approximately 1mm thick and consists of two layers; an outer layer, which contains chromium and manganese and is again a (MnCr)3O4 spinel and an inner layer, which is essentially chromium and is a rhombohedral Cr2O3 layer. Each layer is approximately 0.5 mm thick and appears to be separated by a thin layer rich in carbon. Confirmation of the structure of the layers can be obtained from X-ray diffraction performed at various stages in the profile16. An X-ray diffraction pattern obtained immediately following oxidation and prior to depth profiling contains peaks from both oxide layers together with peaks from the underlying metal. An X-ray diffraction pattern recorded when 0.5mm has been removed will only contain peaks from the inner oxide layer and the underlying metal. These two spectra then allow the oxide structure to be characterised.
SCANNING AUGER ELECTRON MICROSCOPY
8.4.2
269
Passive Layers
Many metals form very stable, thin oxide layers that quickly grow to a given thickness but are then protective and do not increase in thickness over time. These passive oxide layers protect the underlying metal from further attack. One such metal that forms a stable passive oxide is aluminum17. In the case of aluminum a thin oxide of Al2O3 forms. Aluminum which has been cleaned by removal of surface atom layers and then oxidised in pure oxygen at 523 K for 5 h will form an oxide that is 2.5 nm thick. Aluminum has KLL Auger peaks at 1385 eV and LMM peaks at 59.5 eV. On oxidation the LMM peak shifts to 46.0 eV and the relative intensities of these two peaks can be utilised to determine the oxide thickness as both metal and oxide components would be detected. Figure 8.8 shows a sputter depth profile through the passive oxide layer on aluminum. Passive layers have also been found to form on iron–chromium layers where the chromium oxidises preferentially to form Cr2O3. The previous section demonstrated that a chromium rich layer forms initially when a stainless steel is exposed at
Figure 8.8 Sputter depth profile through the passive layer on aluminum
270
APPLICATIONS: MATERIALS SCIENCE
high temperature to a low oxygen potential and although manganese diffuses through this layer the oxidation rates are very low. At high gas pressures and lower temperatures mixed oxides form, containing both iron and nickel, and the protective chromium layer then forms gradually at the metal/oxide interface. In all these cases a further layer of silica will form beneath the chromium oxide layer which will further protect the alloy.
8.4.3
Pitting Corrosion
Metals and alloys are rarely perfectly pure and will contain many types of impurities. Some of these impurities will exist as individual atoms distributed through the matrix but others will combine to form particles with significant size. These particles may be oxides of the original alloy, silicates or combinations of trace elements and impurities such as manganese sulfide. These impurities are generally very small, with cross-sections that may be less than 1 mm but they can have a significant influence on the subsequent corrosive behavior of the alloy. Where these inclusions intersect the alloy surface they may act as initiation sites for corrosion resulting in pits occurring at the site of the inclusion. Many theories for pit initiation have been proposed and the evidence supporting the theories has been discussed in reviews of the subject18,19. The mechanism of pit initiation is still not fully understood and this is because initiation involves atomic scale interactions, both normal and lateral, to the surface. Scanning Auger microscopy (SAM) has the required lateral spatial resolution, combined with the depth sensitivity, to image and analyse the changes that take place in the vicinity of the inclusion. This technique has been used, in combination with X-ray techniques such as energy dispersive X-ray analysis (EDX) to study the initiation of pitting corrosion in stainless steel20–22. To understand the processes involved in the corrosion around an inclusion that intersects with the surface it is helpful if nondestructive information can be obtained from both the surface atom layers and from a few micrometers into the bulk. Scanning Auger microscopes can provide the chemical state information from the top few atom layers with a few nanometers spatial resolution and EDX provides information down to a few microns below the surface with a lateral resolution of 1 mm. Baker and Castle20 have used these techniques to study the pit initiation mechanism at MnS inclusions in stainless steel exposed to 0.5 M NaCl þ 0.5 M H2SO4 þ 0.08 % H2O2. The procedure they adopted was to machine 1 cm2
SCANNING AUGER ELECTRON MICROSCOPY
271
specimens from 18.6Cr 9.7 Ni 2.3 Mo stainless steel which were then mechanically polished, degreased and cleaned. Pits were then initiated by exposing the specimens, with the surface lying horizontal to minimise disturbance on removal, to the solution for 10 s. Specimens were then washed in water for <1 s and dried by standing on tissue before being mounted in the UHV system in less than 15 min. The corrosive effect of the 10 s exposure on an MnS inclusion is shown in Figure 8.9. The secondary electron image, Figure 8.9(a) shows the inclusion to have started dissolving in a number of places and for
Figure 8.9 (a) A secondary electron image of a MnS inclusion in the initial stages of dissolution. (b) Auger/EDX images of the inclusion showing the MnCl2 corrosion products. Reprinted from Barker and Castle21, Copyright (1993), with permission from Elsevier
272
APPLICATIONS: MATERIALS SCIENCE
corrosion products to have been deposited adjacent to the pit. This can be seen from the Auger and EDX element maps from this area reproduced in Figure 8.9(b). Auger element maps show that sulfur is concentrated at the center of the pit while chlorine is located in the areas of the corrosion deposits. Manganese extends over the pit and the deposits while oxygen and iron are both absent from these regions. The X-ray images, on the other hand, confirm that the manganese and sulfur are located in the region of the pit below the immediate surface. An Auger spectrum, taken from the region of the largest corrosion deposit, Figure 8.10, shows the surface to consist of a single component MnCl2, which in combination with the EDX data confirms the absence of any FeCl2. Baker and Castle propose that metallic corrosion and stabilisation of pit growth is critically dependent on the precipitation of a MnCl2 salt film onto the exposed metal surface preventing re-passivation. A critical concentration of MnCl2 within the cavity must be attained before salt film precipitation will occur. If this critical MnCl2 concentration is not reached during dissolution of the sulfide inclusion, re-passivation of the cavity will result. Thus the likelihood that a salt film will form and a pit will propagate will depend on the size and geometrical shape of the inclusion. There is the potential to gain a better understanding of the nature of pit initiation by combining AES with some of the scanning
Figure 8.10 Auger point spectra taken from the largest corrosion deposit adjacent to the inclusion shown in Figure 8.9(a). Reprinted from Barker and Castle21, Copyright (1993), with permission from Elsevier
SCANNING AUGER ELECTRON MICROSCOPY
273
probe microscopies (SPM) that are currently being developed because these are able to provide microstructural information with atom scale resolution.
8.4.4
Thick Layers
If the oxide layer is thick, several microns, as is frequently the case in metals and alloys that do not form protective oxides, then it is not practical to use inert gas ion bombardment to profile through the oxide for two reasons. Firstly the time taken to perform the depth profile would be prohibitively long and secondly the depth resolution is a function of the depth profiled and the resolution near the completion of the depth profile would be so poor that features in the vicinity of the metal/ oxide interface would be missed. There are a number of alternative methods for determining the depth distribution of elements in such cases. A taper section may be produced by polishing at a glancing angle or a crater may be polished within the surface extending to the substrate using a ball cratering device. In each of these cases, after the polished specimen has been mounted in the UHV chamber, the surface must be given a short ion etch in order to remove any contamination introduced during polishing before analyses are made along the taper section. Both of these techniques have been described earlier in this book and will not be repeated here (see Chapter 5). However it is also possible to use inert ion etching to achieve a depth profile of thick layers. When an ion etch is performed a crater is formed with approximately uniformly sloping sides. If a crater is produced using high rates of removal then the substrate can be reached relatively quickly and a depth profile subsequently determined by analysing at points along the crater edge. In this way depth resolution will not degrade as the substrate interface is approached. This has been demonstrated by performing a depth profile through layers of tin, silver and aluminum on a silica substrate which was followed by a depth profile obtained using the crater edge23. The two depth profiles are reproduced in Figure 8.11. In Figure 8.11(a) the depth profile obtained during the initial profile is shown, which indicates that there are two layers of tin oxide (SnO2) separated by two thin layers of silver and aluminum with the silver layer lying closest to the silica substrate. In Figure 8.11(b) the profile obtained by recording spectra as the crater edge is traversed shows qualitatively the same result. Caution is needed in interpreting the thickness of such layer structures because of effects shown for the magnetic sample in Chapter 6
274
APPLICATIONS: MATERIALS SCIENCE 80.00
Concentration (at. %)
70.00
O
60.00 Ag 50.00 40.00
Al
Sn
30.00 20.00 Si
C
10.00 0.00 0
5
10
15
20
25
30
35
Etch Time (Minutes)
(a) 100
Concentration (at. %)
90 Si
80 Ag
70
O
60 50 Al
40
Sn
30 20 10 0 0
50
100 150 200 Distance across crater edge (micons)
250
(b)
Figure 8.11 Depth profiles through layers on silica obtained (a) during argon ion etching and (b) by recording spectra across the crater edge. Reprinted from Wild23. Copyright (1997). Reproduced from R. Wild [23], by permission of John Wiley & Sons, Ltd (1997)
where the local angle of incidence can change due to differential sputtering effects.
8.5
CARBIDES AND DIAMOND GROWTH
The coating of diamond films onto substrates has many applications from improving wear resistance and reducing friction to improving biomedical implants. The process involves the deposition of carbon at
SCANNING AUGER ELECTRON MICROSCOPY
275
elevated temperatures in the presence of a plasma vapor24. However there are many obstacles to overcome in achieving good quality films with good adhesion. Steel is a material with many applications if a diamond film could be reliably grown on it. Unfortunately the steel tends to form an iron carbide layer that diffuses into the bulk. One possible way forward is to grow a protective chromium oxide layer on the steel as has been demonstrated earlier and then to grow the diamond film on this oxide. Scanning Auger spectroscopy has been used to investigate the success of this process by utilising changes that occur in the loss peaks when there is no change in the carbon KLL Auger peak. As electrons escape from the surface a fraction will lose energy by being inelastically scattered by the matrix. As the structure of the matrix changes so the shape of the loss peak changes and loss peaks from different forms of the same element will occur at different energies. Figure 8.12 demonstrates the capability when using a specimen of
Figure 8.12 Plasma vapor deposition of diamond on oxidised stainless steel: (a) secondary electron image; (b) loss peaks from the carbon KLL Auger peak; and (c) composite false color maps produced from peaks for diamond (green), graphite (red) and amorphous carbon (blue). Courtesy of Thermo VG Scientific
276
APPLICATIONS: MATERIALS SCIENCE
plasma vapor deposited diamond25. Figure 8.12(b) shows the loss peaks from amorphous carbon, graphite and diamond, recorded from different positions on the surface. True phase maps for graphite, amorphous carbon and diamond can be generated by selecting a loss peak characteristic of each phase while rastering across the surface. Figure 8.12(a) shows the secondary electron image of the surface while the false color map, Figure 8.12(c), shows the location of diamond (green), amorphous carbon (blue) and graphite (red).
8.6
INTERNAL INTERFACES
While Auger spectroscopy can accurately determine the composition of the surface and the near surface it is also ideally suited to the study of internal interfaces provided those interfaces can be exposed in the UHV environment. Current scanning Auger microscopes can analyse the top few atom layers with a lateral spatial resolution of a few nanometers, making it the most sensitive of the nondestructive analytical methods, detecting only a few hundred atoms. Scanning Auger microscopes can provide secondary electron images together with element maps all with a lateral resolution of a few nanometers and a depth resolution of approximately 1 nm.
8.6.1
Grain Boundaries
The properties of a grain boundary within a metal or alloy will ultimately determine the mechanical properties of the alloy. Segregation of minor alloying and impurity elements to these grain boundaries has been a longstanding field of study for a range of materials because of the influence on mechanical and physical properties26,27, including intergranular fracture28, environmentally assisted cracking29 and grain boundary motion30. In the case of ferritic steels, elements such as phosphorus, sulfur, tin and antimony present in the bulk at trace concentrations can segregate to grain boundaries, under equilibrium or nonequilibrium conditions, and thereby weaken these boundaries. When a steel is fractured in a brittle mode, the crack path will be determined by a number of factors that include the type and level of segregant at the boundary, the relative orientation of adjacent grains and the orientation of the grain boundary relative to the fracture path31. As a consequence it is important to be able to measure the composition
SCANNING AUGER ELECTRON MICROSCOPY
277
of the grain boundaries because this influences the grain boundary fracture energy. Before the grain boundary composition can be determined the grain boundary must first be exposed. A further complication is the need to expose the boundary under UHV. Seah32 demonstrated, during a program on surface segregation, the effect of air exposure on segregated elements. In one example, shown in Figure 8.13, a clean steel surface that had two monolayers of tin deposited to its free surface in UHV was exposed to 160 kPa s (1.2 G Langmuir) of air. After this short exposure the AES spectrum showed a reduction in the tin signal by over an order of magnitude. It is therefore essential that fracture is carried out within the analytical chamber of the scanning Auger microprobe if significant information is not to be lost. In some instances, where the grain boundaries are particularly weak, for example ferritic steels or tungsten with high levels of segregation, the boundary may be exposed by impact at room temperature. Cooling to low temperature, in the region of 77 K, by the use of liquid nitrogen, will induce intergranular fracture in a
Figure 8.13 Differentiated Auger spectra showing the effect of air exposure on two monolayers of tin on an iron matrix. Reprinted from Seah32, Copyright (1975), with permission from Elsevier
278
APPLICATIONS: MATERIALS SCIENCE
range of ferritic steels. In such cases a fracture stage is incorporated in the scanning Auger microprobe that is designed to fracture, by impact, specimens, usually cylindrical in shape with a notch at the mid point. The specimen is first introduced into the SAM chamber and located on the fracture stage using a sample transfer mechanism. The chamber is then baked for 12–24 h to achieve a good base pressure after which the specimen is cooled by passing liquid nitrogen through the fracture stage. The specimen is then fractured by impact and the fracture surface is presented to the Auger microprobe for analysis. Figure 8.14 is a secondary electron image of an iron–3% nickel steel specimen containing trace levels of phosphorus and tin which had been given heat treatments to induce these elements to segregate to the grain boundaries. The result is a fracture surface in which there is almost 100% intergranular fracture. Auger analysis of these grain boundaries indicates that both phosphorus and tin have segregated to the grain boundaries. Figure 8.15 is an Auger spectrum recorded from an exposed grain and shows the Auger KLL peak from phosphorus at 120 eV and the tin LMM doublet at 415 eV together with LMM and MVV peaks from iron and nickel. Frequently cavities may form at grain boundaries as a result of stress at temperature allowing diffusion of grain boundary atoms. These
Figure 8.14
Intergranular fracture induced at 77 K in an iron–3 % nickel steel
SCANNING AUGER ELECTRON MICROSCOPY
Figure 8.15
279
Differentiated Auger spectrum from an Fe–3 % nickel grain boundary
cavities, which may be less than 100 nm in size, may have different elements present on the cavity surface compared with the grain boundary surface. Figure 8.16 shows a high magnification secondary electron image of the grain boundary surface together with a false color Auger element map, recorded with the spectrometer fixed on the tin and phosphorus LMM Auger peak positions, respectively, while the incident
Figure 8.16 Secondary electron image (a) and false color element map showing tin (green) and phosphorus (red) (b) from the grain boundary on Fe–3 % nickel
280
APPLICATIONS: MATERIALS SCIENCE
electron beam was rastered over the surface. Tin is represented by green and phosphorus by red. Not all metals and alloys can be induced to fracture along grain boundaries by cooling to low temperatures and other techniques must be employed to expose the boundaries. Austenitic stainless steel and high nickel alloys such as nimonic and inconel alloys are very ductile even at low temperatures and it is necessary to weaken the boundary prior to fracture. This is achieved by means of hydrogen-induced embrittlement33,34. The specimen is placed on a gold boat between a pair of platinum anodes in an electrolyte of 0.1 N sulfuric acid containing 5 mg L1 sodium arsenite. Charging is performed at a current density of 50 mA cm2 at 340 K for between 40 h and 100 h. The specimen is then allowed to cool to room temperature with the potential still applied. It is then washed in distilled water followed by isopropanol. The specimen is then mounted in the UHV chamber and transferred to a tensile fracture device. When the system has been pumped to a low level the specimen is fractured using a slow tensile strain in the region of 103 mm s1. Following fracture the specimen is transferred to the Auger analyser using the sample transfer mechanism. Apart from the number of operations that need to be performed to achieve intergranular fracture in these alloys a major drawback of this method is that it is not possible to bake the system after the specimen has been mounted in the UHV chamber without losing the hydrogen by diffusion from the surface with the result that the exposed grain boundary will contaminate faster than usual and the analyses must be performed quickly. Figure 8.17 shows a fracture surface from a nickel based alloy inconel 600 together with an Auger spectrum from nimonic PE16 grain boundary. The latter shows LMM Auger peaks from the major alloying constituents, nickel,
Figure 8.17 Fracture surface of inconel 600 alloy following hydrogen charging and tensile fracture and a differentiated Auger spectrum of the area
SCANNING AUGER ELECTRON MICROSCOPY
281
chromium and iron together with Auger peaks from the minor alloying elements molybdenum and titanium plus peaks from trace elements phosphorus and carbon. The oxygen KLL Auger peak is only just detected indicating that the contamination of the fracture surface is in this case not significant. It would appear from the large carbon peak and its characteristic shape that chromium carbide has formed on the grain boundary. A grain boundary in a material is a plane of defects in the crystal lattice containing unsaturated atomic bonds. The free energy of the boundary will therefore be greater than that of the bulk lattice for an equivalent number of atoms. The actual free energy will be determined by a number of factors, in particular the orientation of adjacent grains, the atomic structure and the composition. The system will attempt to reduce the total free energy and it may do this by interacting with lattice defects or with foreign atoms. When foreign atoms migrate to the grain boundary to reduce the total energy this is known as interfacial segregation and has been the subject of a number of reviews35–37. The classical thermodynamic treatment (Langmuir) and the statistical mechanics approach (McLean) develop equations that link interfacial composition to bulk composition and temperature. Seah and Hondros26 applied the multilayer gas adsorption theory of Brunauer, Emmett and Teller38 to derive a solid state equivalent. They determined that the interfacial enrichment ratio (bI) is given by: 0
bI ¼
expðGI =RTÞ X I 0
where X I is the solid solubility limit, GI is free energy of adsorption, R is the Gas Constant and T the absolute temperature. Using this equation the grain boundary enrichment ratio of a solute in a matrix can be predicted using knowledge of the bulk solid solubility. Figure 8.18 shows the expected grain boundary enrichment for a number of solutes in different matrices. This basic theory has been extended and applied to systems within the nuclear industry to determine the extent of segregation in ferritic steels, used in the construction of pressure vessels, under the influence of neutron irradiation39–42. Under conditions of irradiation with fast neutrons, substantial disturbance of the lattice of crystalline materials occurs and this leads to residual defects within the crystal. Diffusion of point defect impurity atom complexes occurs down the concentration gradients created around grain boundaries. It is assumed that the
282
APPLICATIONS: MATERIALS SCIENCE
ENRICHMENT FACTOR
105 Cu-Bi 4
Cu-Be Ni-S αFe-S
α Fe-Te
α Fe-C
10
W-K Steel - Sb Steel - Sn Steel - P α Fe-Ca
Ni-B α Fe-B
103
αFe-Sn αFe-Sb Cu-Sb α Fe-N δ γFe-P αFe-P Fe-P α Fe-As δ Fe-Sn αFe-Sn αFe-Zn α Fe-P αFe-Cu Ni-In α Fe-Ni γ Fe-Cr γ Fe-Mn Cu-Sb γ Fe-Ni δ Fe-Si
2
10
101
Steel - Mn Steel - Ni Steel - Cr
Cu-Au α Fe-Si 0
10
0
10
101
10 2
10
3
4
10
5
10
Unknown
SOLID SOLUBILITY
Figure 8.18 Relationship between grain boundary enrichment ratio and solid solubility. Reprinted from Seah36. Copyright (1980). Reproduced by permission of The American Vacuum Society.
important point defects are interstitial atoms, because the interstitial– solute binding energies are generally an order of magnitude greater than for the vacancy–solute complex. Ferritic steels can become embrittled in this way and by using Auger spectroscopy to determine the amount of phosphorus segregation to the grain boundary the degree of embrittlement can be determined. Figure 8.19 shows a secondary electron image of the fracture surface
Figure 8.19 (a) The secondary electron image of the fracture surface of a CrMoV steel and (b) corresponding phosphorus Auger map. Reproduced by permission of Trans Tech from Bulloch and Wild43
SCANNING AUGER ELECTRON MICROSCOPY
283
of a CrMoV steel which had been fractured by impact at 77 K together with the phosphorus map from the surface. Although intergranular fracture was not 100%, large areas of grain boundary are clearly visible. By determining the segregation of phosphorus in CrMoV steels containing different bulk levels of phosphorus which had been heated at 713 K for 105 h it was possible to identify embrittled and nonembrittled specimens43. The average grain size varied in these steels from 5 to > 60 mm and hence the area of grain boundary surface available for phosphorus segregation varied accordingly. Plotting grain size against bulk percentage phosphorus and identifying the embrittled and nonembrittled specimens (Figure 8.20) resulted in a relationship between grain size, d, and bulk phosphorus content, %P, where: d %P ¼ 0:28
Figure 8.20 Relationship between grain size, d, and bulk phosphorus content, %P, in CrMoV steel heated to 713 K for 105 h. Reproduced by permission of Trans Tech from Bulloch and Wild43
284
8.6.2
APPLICATIONS: MATERIALS SCIENCE
Metal/Oxide Boundaries
Minor alloying and trace level impurity elements will diffuse to external as well as internal interfaces where they may influence reactions between the surface and the environment as demonstrated earlier in this chapter in the case of sulfur reacting with oxygen. Seah has determined the relationship between surface and grain boundary segregation for tin44. For a bulk solute content 10-5 to 10-2 molar fraction the surface segregation can be an order of magnitude greater than the grain boundary segregation with a maximum value of one monolayer. However, once an oxide layer has formed, a new interface is created to which elements may segregate. Segregating species can improve the adherence of the oxide layer or may cause the oxide to spall from the underlying alloy. For example, the addition of small amounts of reactive elements such as zirconium, yttrium, niobium or hafnium to nickel– chrome–aluminum alloys has been found to significantly enhance the adherence of the oxide scale. Heating NiCrAl-Zr to greater than 773 K results in surface segregation of zirconium as shown by El Gomati et al45. It is thought that the improvement in oxide adherence is the result of zirconium preventing the segregation of sulfur to the oxide–alloy interface. Heating NiCrAl to 973 K results in the segregation of sulfur to the surface whereas heating the NiCrAl-Zr to 973 K results in the segregation of zirconium with little evidence for sulfur segregation as shown in Figure 8.21 from Walker and El Gomati46. The narrow scan spectra show the LMM sulfur peaks at 148 eV and 136 eV from the NiCrAl alloy, Figure 8.21(a) while the NiCrAl-Zr alloy shows only low energy peaks from zirconium at 146 eV, 114 eV and 88 eV, Figure 8.21(b). Smialek47 has produced an overview of some key factors in understanding oxide adherence and the protective ability of aluminum oxide scales in high temperature turbine materials. In order to determine the effects of species segregating to the metal– oxide interface it is desirable to expose that interface for analysis. Oxide layers on most metals and alloys tend to be relatively thick, ranging from one to hundreds of micrometers. It is therefore difficult to use techniques such as ion depth profiling or taper sectioning to reveal a layer that may be only one atom layer thick. It is therefore necessary to develop techniques that can expose the interface in a similar manner to the exposure of grain boundaries. One such method involves sputter ion plating at an elevated temperature48. Briefly the technique involves coating the oxide surface at an elevated temperature with a metal that has a different coefficient of thermal expansion to the oxide. On
SCANNING AUGER ELECTRON MICROSCOPY
285
Figure 8.21 Narrow scan Auger spectra taken from NiCrAl (a) and NiCrAl-Zr (b) alloys after heating to 973 K. Reprinted from Walker and El Gomati46, Copyright (1989), with permission from Elsevier
cooling to room temperature stresses are set up between the coating and the oxide that cause the oxide to peel away from the underlying metal. This method has been used to study the oxide–metal interface on 20% Cr/25% Ni/Nb stabilised steel following oxidation in CO2 at temperatures above 1073 K49. The technique is illustrated schematically in Figure 8.22. The oxidised specimen is placed in a chamber which can be evacuated and resistively heated to 573 K. Pure argon is admitted to the chamber at a pressure of 3 101 Nm2 and a glow discharge set up by applying a potential of 1 kV to metal plates. The metal plates are manufactured from the metal to be sputtered. The sample is biased 50 V negative to permit continuous deposition and sputtering and a coating in the region of 30 mm in thickness is normally applied. After cooling the sides and one end of the coated specimen are cut away and the stresses cause the coating to peel away revealing the metal–oxide interface. Secondary electron images of the two sides of the metal oxide interface are shown in Figure 8.23. Figure 8.23(a) and (b) are low magnification images showing the metal and oxides sides from equivalent positions on each side. The grains and grain boundaries can be clearly seen, as channels on the metal side and ridges on the oxide side.
286
APPLICATIONS: MATERIALS SCIENCE
Figure 8.22 Schematic of sputter ion plating method to reveal the metal– oxide interface: (a) sputter ion plating; (b) cut sides; and (c) cut ends to reveal metal–oxide interface. Reprinted from Wild49, Copyright (1985), with permission from Elsevier
Recording spectra from various points on the two sides reveals that the oxide has pulled away from the grain center just below a layer rich in silicon and oxygen but that at the grain boundary the oxide has failed through the rhombohedral chromium oxide (Cr2O3) leaving a plug of oxide in the grain boundary on the metal side. Figure 8.23(c) is a secondary electron image from the metal side and Figure 8.23(d) is a false color Auger element map with iron, chromium and silicon represented by blue, red and green, respectively. Chromium and silicon can be seen to remain in the grain boundary regions. It is interesting to note that, following oxide spallation under normal conditions, this alloy does not subsequently gain weight at the same rate as the clean alloy but oxidises at a much reduced rate. This may be explained by the plug of oxide in the grain boundaries which reduces the rate of grain boundary diffusion and hence the rate at which atoms may reach the surface. Further information may be gained regarding the nature of the oxide in the vicinity of the metal–oxide interface by depth profiling using ion bombardment on both sides of the interface. In this way a very detailed picture of the interfacial region can be obtained. The depth profile recorded from a grain center using argon ion bombardment is shown in Figure 8.24, where profiles into the metal go from right to left and into the oxide from left to right. On the metal side there is some evidence for
SCANNING AUGER ELECTRON MICROSCOPY
287
Figure 8.23 Secondary electron images recorded from equivalent positions on (a) the metal side and (b) the oxide side of the metal–oxide interface and (c) a secondary electron image from a region on the metal side together with (d) a false color Auger element map with iron (blue), chromium (red) and silicon (green) from the metal side on 20 % Cr/25 % Ni/Nb stabilised steel following oxidation in CO2 at 1073 K for 72 h. Reprinted from Wild49, Copyright (1985), with permission from Elsevier
some of the oxide remaining after the oxide has spalled but argon ion profiling for a few minutes removes silicon and nickel and iron to their bulk values. Chromium is still depleted because it is the major element incorporated in the oxide. On the oxide side the silicon, which is present to approximately 7 at% is rapidly reduced indicating that its presence is limited to one or two atom layers, whereas chromium remains constant at around 30 at% for the duration of the profile. It is difficult to imagine this information being obtained without recourse to a method that exposes the metal–oxide interface. Depth profiling or taper sectioning from the outer surface would result in such smearing that the silicon layer would be lost.
288
APPLICATIONS: MATERIALS SCIENCE
Figure 8.24 Depth profile through the oxide–metal interface on 20 % Cr/25 % Ni/Nb stabilised stainless steel following oxidation in CO2 at 1073 K for 72 h. Note that the interface between the metal and oxide corresponds to argon ion bombardment time of 0 min. Reprinted from Wild49, Copyright (1985), with permission from Elsevier
8.6.3
Inclusions and Secondary Phases
Auger spectroscopy can be very useful in detecting second phases within metals and alloys where its ability to detect low atomic number elements such as carbon, nitrogen and oxygen together with changes in chemical state of these elements allows additional information to be obtained. One example is presented here in which Auger spectroscopy has been used to provide chemical state information with good spatial resolution from a nickel base superalloy coating50. Auger spectra obtained from various points on the polished sample revealed the presence of many elements including the metals aluminum, chromium, titanium, nickel, cobalt and iron together with nitrogen and oxygen. Figure 8.25(a) is a secondary electron image recorded from a region on the surface and shows a structure of light and dark phases which are aligned along crystallographic directions. Spectra from the dark phases show that there are two types: one containing nitrogen and aluminum and the other containing nitrogen and titanium with some carbon and nickel. The nitrogen KLL peak is found in the region 380–390 eV in the Auger
SCANNING AUGER ELECTRON MICROSCOPY
289
Figure 8.25 Auger images from a polished section of a coated superalloy: (a) secondary electron image, together with false color Auger element maps of (b) titanium (red), aluminum (blue) and nickel (green) and (c) nitrogen at 388 eV energy (red), nitrogen at 383 eV energy (blue) and nickel (green). Note the similarity between (b) and (c). Reprinted from Heard et al50. Copyright (2000). Reproduced by permission of John Wiley and Sons, Ltd.
spectrum. Detailed spectra, see Figure 8.3, indicate that the exact location of the nitrogen peak is dependent on the phase being analysed; the peak in the aluminum phase is at 383 eV and that in the titanium phase is at 388 eV. This chemical shift can be utilised to distinguish between nitrogen bound to aluminum and that bound to titanium. Element maps recorded using the LMM peak from titanium, the KLL peak from aluminum together with the LMM nickel are shown as false
290
APPLICATIONS: MATERIALS SCIENCE
color images with titanium, aluminum and nickel represented by red, blue and green, respectively, in Figure 8.25(b) while the maps for nitrogen at 388 eV and 383 eV are shown as false color images with nitrogen (383 eV), nitrogen (388 eV) and nickel represented by blue, red and green, respectively, in Figure 8.25(c). When taken in association with the aluminum and titanium maps, these images show very clearly the presence of separate aluminum nitride and titanium nitride phases.
8.6.4
Metal Matrix Composites
Many new and novel materials are being developed for specialised uses. These include incorporating fibers within metals or hard particles within soft alloys. These metal matrix composites (MMC) are increasingly being used as engineering materials and whilst they have the desired mechanical properties they often suffer from corrosion problems. Adding a second phase to a metal or alloy will cause galvanic coupling at points within the alloy leading to localised corrosion. In particular aluminum based MMCs are considered to be more at risk of corrosion than the aluminum alloy alone. These aluminum alloys are often reinforced by adding silicon carbide particles, a few microns in size, to the matrix. Pitting corrosion, see earlier section in this chapter, occurs in both the aluminum alloy and the corresponding MMC but the growth of the pits is affected by the SiC particles. MMCs have a higher density of pits that are shallower than those in the alloy alone. Several theories have been proposed to explain the observed differences between the alloy and the MMC ranging from preferential sites caused by crevices, to changes in the oxide to local cathodes. Castle et al.51 have used scanning Auger microscopy to locate the cathode centers in one aluminum alloy MMC. In their investigation the corrosion of SiCp/6061 Al in a 3% NaCl solution at various pH values was studied. The MMC, shown at low magnification in Figure 8.26 was fabricated using powder metallurgy and contained approximately 15 vol% SiC as 10 mm particles. Their experiments reveal that impurity elements such as iron, titanium, etc., form intermetallic compounds which result in micro-galvanic corrosion. Figure 8.27 shows some Auger and EDX maps of an iron containing metallic after 20 min exposure to NaCl. The Auger maps show that oxygen is enriched after exposure to NaCl around the intermetallics. The intermetallic has good conductivity and more noble electropotential and would therefore be expected to act as a cathode.
SCANNING AUGER ELECTRON MICROSCOPY
291
Figure 8.26 Secondary electron image of SiCp/Al prior to corrosion. Reprinted from Corrosion Science, 36, Castle et al., Copyright (1994), with permission from Elsevier
This was confirmed by exposing a surface to MgCl2 solution, where MgCl2 solutions of 0.1, 0.01 and 0.001 M were used to identify the critical concentration which will cause the precipitation of Mg(OH)2. The example given above is a good illustration of the use of SAM to investigate corrosion of MMCs. In addition it was also one of the first to demonstrate the power of scatter diagrams in identifying correlations between various elements 52. As described in Chapter 6, to construct a scatter diagram the intensities of two given elements for each pixel of the
Figure 8.27 Auger/EDX maps of an iron containing intermetallic after 20 min exposure in 3 % NaCl solution, pH 10.2. Reprinted from Castle et al52. Copyright (1994) with permission from Elsevier
292
APPLICATIONS: MATERIALS SCIENCE
Figure 8.28 Scatter diagram used to combine the Mg Auger signal and the Fe EDX signal. Reprinted from Castle et al52. Copyright (1994) with permission from Elsevier
map are plotted against each other. Correlations then appear as an intense spot on the diagram which represents the composition of a particular phase. The location of this phase, rather than that of the individual elements can be revealed through mapping these pixels in a given color. This is illustrated in Figure 8.28 where the scatter diagram is used to combine the Mg Auger signal with the Fe EDX signal. Correlation of the pixels in the white circle appear on the map as white while the pixels in the black circle appear as grey in the map and show that Mg is correlated with the intermetallics.
REFERENCES 1. G. A. Somorjai, Introduction to Surface Chemistry and Catalysis (John Wiley & Sons, Ltd, New York, 1994). 2. G. C. Allen and R. K. Wild, Journal of the Chemical Society, Dalton Transactions, 493 (1974). 3. S. Ekelund and C. Leygraf, Surface Science 40, 179 (1973). 4. A. M. Horgan and J. Dalins, Surface Science 36, 526 (1973). 5. M. Suleman and E. B. Pattinson, Surface Science 35, 75 (1973). 6. G. C. Allen and R. K. Wild, Journal of Electron Spectroscopy and Related Phenomena 5, 409 (1974). 7. G. C. Allen, P. M. Tucker and R. K. Wild, Journal of the Chemical Society, Faraday Transactions 74, 1126 (1978). 8. G. C. Allen, P. M. Tucker and R. K. Wild, Surface Science 68, 469 (1977). 9. E. Bauer, Vaccum 22, 539 (1972). 10. R. Hales, A. C. Hill and R. K. Wild, Corrosion Science 13, 325 (1973). 11. G. C. Allen, I. T. Brown and R. K. Wild, Oxidation of Metals 12, 83 (1978).
SCANNING AUGER ELECTRON MICROSCOPY
293
12. G. C. Allen, I. T. Brown and R. K. Wild, Journal of Microscopie and Spectroscopie Electronique 2, 257 (1977). 13. R. K. Wild, Corrosion Science 14, 575 (1974). 14. R. K. Wild, Corrosion Science 17, 87 (1977). 15. P. A. Tempest and R. K. Wild, Journal of Nuclear Materials 102, 183 (1981). 16. P. A. Tempest and R. K. Wild, Oxidation of Metals 17, 345 (1982). 17. P. Marcus and I. Olefjord, Corrosion Science 28, 589 (1988). 18. M. Janik-Czachor, G. C. Wood and G. E. Thompson, British Corrosion Journal 15, 154 (1980). 19. J. Kruger, International Materials Review 33, 113 (1988). 20. M. A. Baker and J. E. Castle, Corrosion Science 33, 1295 (1992). 21. M. A. Baker and J. E. Castle, Corrosion Science 34, 667 (1993). 22. J. E. Castle and R. Ke, Corrosion Science 30, 409 (1990). 23. R. K. Wild, Microscopy and Analysis 60, 5 (1997). 24. N. Ali, Q. H. Fan, W. Ahmed and J. Gracio, Thin Solid Films 420–421, 155 (2002). 25. M.-T. Kuo, P. W. May, A. Gun, M. R. N. Ashfold and R. K. Wild, Diamond Related Materials 9, 1222 (2000). 26. M. P. Seah and E. D. Hondros, Proceedings of the Royal Society London A335, 191 (1973). 27. A. W. Thompson and J. F. Nott, Metallurgical Transactions 24A, 523 (1993). 28. P. E. J. Flewitt and R. K. Wild, Grain Boundaries: Their Microstructure and Chemistry (John Wiley & Sons, Ltd, Chichester, 2001). 29. S. M. Bruemmer, Materials Science Forum 294–296, 75 (1999). 30. S. W. Chan and R. W. Balluffi, Acta Metallurgica 34, 2191 (1986). 31. A. G. Crocker, G. E. Smith, R. Moskovic and P. E. J. Flewitt, Materials Science Forum 294–296, 673 (1999). 32. M. P. Seah, Surface Science 53, 168 (1975). 33. H. E. Hall and C. L. Briant, Metallurgical Transactions 16A, 1225 (1985). 34. D. J. Nettleship and R. K. Wild, in Effects of Radiation on Materials: 15th International Symposium, edited by R. E. Stoller, A. S. Kumar and D. S. Gelles (ASTM, Philadelphia, 1992), p. 645. 35. M. Guttmann and D. McLean, Interfacial Segregation (ASM, Metal Park, Ohio, 1979), p. 261. 36. M. P. Seah, Journal of Vaccum Science and Technology 17, 16 (1980). 37. P. Lejcek and S. Hofmann, Critical Reviews in Solid State and Materials Science 20, 1 (1995). 38. S. Brunauer, P. H. Emmett and E. Teller, Journal of the American Chemical Society 60, 309 (1938). 39. C. A. English, S. M. Murphy and J. M. Perks, Journal of the Chemical Society, Faraday Transactions 86, 1263 (1990). 40. R. G. Faulkner, S. Song and P. E. J. Flewitt, Journal of Nuclear Materials 212–215, 608 (1994). 41. R. G. Faulkner, S. Song and P. E. J. Flewitt, Journal of Materials Science and Technology 12, 904 (1996). 42. R. G. Faulkner, S. Song, P. E. J. Flewiit, M. Victoria and P. Marmy, Journal of Nuclear Materials 255, 189 (1998). 43. J. Bulloch and R. K. Wild, Advances in Engineering Materials 99–1, 169 (1995). 44. M. P. Seah and C. Lea, Philosophical Magazine 31, 627 (1975).
294
APPLICATIONS: MATERIALS SCIENCE
45. M. M. El Gomati, C. G. H. Walker, D. C. Peacock, M. Prutton, H. E. Bishop, R. M. H. Hawes and J. Smialek, Surface Science 152/153, 917 (1985). 46. C. G. H. Walker and M. M. El Gomati, Applied Surface Science 35, 164 (1989). 47. J. L. Smialek, Surface and Interface Analysis 31, 582 (2001). 48. J. P. Coad and R. K. Wild, Applied Surface Science 14, 321 (1983). 49. R. K. Wild, Spectrochimica Acta 40B, 827 (1985). 50. P. J. Heard, J. C. C. Day and R. K. Wild, Microscopy and Analysis 77, 9 (2000). 51. J. E. Castle, L. Sun and H. Yan, Corrosion Science 36, 1093 (1994). 52. J. E. Castle and R. T. Tremaine, Surface and Interface Analysis 1, 49 (1979).
9 Applications: Semiconductor Manufacturing C. F. H. Gondran
9.1
INTRODUCTION
The semiconductor industry is marked by change, continuous improvement and rapid growth. Consumer demand for smaller, faster, and more powerful electronics has fueled intense competition in technological advancements and manufacturing efficiency. Since the introduction of metal oxide semiconductor field effect transistors (MOSFETs), the majority of the improvements in semiconductor device performance and reduction in price per function have been realised by decreasing the size of device features and thus increasing the number of functions in a given substrate area. Improving yield and increasing the substrate wafer size have also reduced the price per function through improved manufacturing efficiency. Today, leading-edge manufacturers are mass-producing integrated circuits (ICs) with tens of millions of interconnected electronic devices per square centimeter. The feature sizes in these devices have become so small that some new problems have emerged. For example, the thinness of transistor gate oxides needs to scale with other decreasing device dimensions, but at this point thinner transistor gate oxides would allow excessive leakage currents and degrade the performance of the device. To realise the potential gains of smaller features, some materials
Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
296
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
traditionally used in certain parts of the circuits will need to be replaced1. These new materials may be new only to this industry or they may be designed specifically for these applications. In either case, materials will have to be rigorously characterised to select the right ones and integrate them into the semiconductor manufacturing process flow. Another approach to improve device performance is to use ‘nonclassical’ device structures in place of traditional structures such as MOSFETs2,3. While much research and development (which includes materials characterisation) is being done in nonclassical device structures, much more is needed. Research and development focused on new materials and new device structures make today a very exciting time for semiconductor materials scientists and analysts. The specifics of applications for Auger electron spectroscopy (AES) and scanning Auger microscopy (SAM) may change dramatically in the next few years, but the bottom line will remain the same – AES and SAM are valuable tools for the semiconductor industry because they combine small volume analysis with the ability to identify a wide range of elemental species and give quantitative information or, in the absence of standards, semi-quantitative information. Moreover, SAM offers additional value by pinpointing the location of various materials in high-resolution element maps.
9.2
EVOLUTION OF SOLID-STATE SEMICONDUCTORS
Vacuum tube-based electronics such as two-way radios and radar played a valuable role in World War II. The advantages of lightweight, portable electronics were obvious. This fueled a tremendous research effort in electronics. The first demonstration of a solid-state device acting like a transistor was achieved in 19474,5, and similar point contact amplifying transistors started to be produced commercially in 19516. In 1958, Kilby at Texas Instruments demonstrated the first IC, a circuit made up of multiple interconnected electronic devices on the same piece of silicon (Si) or germanium (Ge). In 1960, Noyce at Fairchild Semiconductor developed the ‘planar’ Si IC7,8 – and the world was never the same. Since the 1960s, solid-state electronics and microprocessors have found their way into numerous everyday consumer products. Today, ICs are found in everything from toasters and dishwashers to personal computers, electronic gaming sets, and space shuttles. The advent and
SCANNING AUGER ELECTRON MICROSCOPY
297
popularity of the personal computer and the use of microelectronics in cellular (mobile) phones and other personal electronic devices have been major factors in accelerating the growth of the semiconductor industry. With annual revenues over US $200 billion in 20009 the semiconductor industry is a major part of today’s global economy. From the late 1940s throughout the 1950s, great strides were made in understanding the solid-state physics and the processes used to massproduce electronic devices on Si wafers. Semiconductor device technologies progressed from Ge to Si point contact transistors to bipolar transistors to mesa and planar transistors to MOSFETs introduced in the late 1950s and early 1960s7,8. By this time, the basics of today’s design and fabrication technology were generally understood and manufacturers energetically set about optimising their manufacturing processes. As lithographic capabilities improved, allowing smaller features to be printed, other process technologies kept pace. The ability to print smaller features meant smaller devices and the possibility of more devices or functions per chip. This both improved device performance and reduced cost per function. In 1965, Intel’s co-founder, Moore10–13, predicted that the number of transistors per chip would double every 2 years (as would the number of device functions per cost). This prediction has come to be known as ‘Moore’s law.’ For the most part, Moore’s law has held true, except for those periods when the number of functions per cost doubled every 18 months. Cost per function can decrease more rapidly than feature size since it is influenced by both decreasing feature size and increasing manufacturing efficiency. The graphs in Figure 9.1 show the exponential decay with time for feature size and cost per function. By 2007, microprocessors are expected to have 1 billion or more devices on a square centimeter of silicon. Many industry analysts believe that Moore’s prediction might hold true for another decade or so, but this will not be easily achieved. Most of the improvements in IC performance and reductions in manufacturing cost that have been seen from the 1960s to the present have been realised by scaling down the sizes of the device features and improving manufacturing efficiency. Today the industry is approaching the fundamental physical limits on how much feature sizes can be reduced. To keep pace with Moore’s law, other avenues need to be pursued. Near-term solutions such as the introduction of new materials and new device geometries will help to delay the inevitable switch from Si-based semiconductors to quantum or molecular electronics, until those technologies are ready.
298
APPLICATIONS: SEMICONDUCTOR MANUFACTURING 1.E+ 00
Price (Pennies per Transistor)
Feature Size (nm)
1.E+ 05
1.E+ 04
1.E+ 03
1.E+ 02
1.E+ 01
1.E+ 00 1965
1975
1985
1995
So u r c e: VL SI Res ear c h In c .
1.E- 02
1.E- 03
1.E- 04
1.E- 05 1965
2005
Ye a r (a)
1.E- 01
1975
1985
1995
2005
Ye ar
(b )
So u r c e: VL SI Res ear c h In c .
Figure 9.1 Moore’s law. Moore’s 1965 prediction that the number of transistors per chip would double every 2 years (as would the number of devices per cost) has come to be known as ‘Moore’s law’. In the years since his prediction the industry has been able to meet this aggressive growth goal. The graph in (a) shows the exponential decay of feature size over time. The graph in (b) shows a steeper exponential decrease in cost per function over time. The more rapid decrease in cost per function is due to the fact that both decreasing feature size and increasing manufacturing efficiency influence it. The technology development goals set out by the International Technology Roadmap for Semiconductors have been chosen to help keep the industry on this productivity curve. Data used with permission from VLSI Research Inc.
In recent years, device speed, power consumption, and reliability have been improved by replacing the aluminum (Al) interconnect wiring in integrated circuits with copper (Cu) wiring. The motivation for switching to Cu was its lower resistivity. Smaller lines mean higher resistance and greater power consumption unless a lower resistivity material is used. Additional replacement materials are being contemplated. These will provide other needed improvements: low dielectric constant (referred to as low-k) insulating material will be used between the interconnect wires to cut down on cross-talk between different parts of the circuit and high-k dielectric materials will be used for gate oxides to minimise leakage currents. Today, state-of-the-art low power devices are manufactured on silicon-on-insulator (SOI) substrates. More widespread use of high performance substrates like SOI or strained Si wafers (for increased electron mobility) will be seen in the near future1–3. Also, in the near future, revolutionary device design changes will be needed to keep up with Moore’s law.
SCANNING AUGER ELECTRON MICROSCOPY
299
To maintain progress at this pace, a staggering investment in research and development is required. Many of the anticipated major changes cost much more money than a single manufacturing, equipment, or consumables supply company can afford. An example of an area requiring this type of effort is the development of progressively shorter wavelength lithography tools needed to print smaller features. The development of the related technology infrastructure, new masks, resists and inspection tools to be used with the new lithography tools must be done in conjunction with the development of these tools. Because development costs can be so high and processes so interdependent, manufacturers of lithography tools, masks, and chemical resists partner with individual device manufactures, to share costs and expertise to facilitate the effort. Since the 1980s, IC manufacturers and various industry groups have recognised the importance of pooling resources to tackle these major technological challenges. A series of joint development efforts and a number of consortia have sprung up around the world. While research objectives can be accelerated by multi-corporate cooperation, this mode of operation requires broad-based consensus building early in the process. Since 1994, leading semiconductor technologists in cooperation with industry groups have formally agreed on and published an industry roadmap9. It sets forth clear goals and criteria for new materials, processes, and tool specifications to manufacture progressively smaller feature sizes known as ‘technology nodes’ [defined by the size of the dynamic random memory (DRAM) half-pitch]. Working together to set industry direction has helped to optimise the research efforts on issues widely believed to be obstructions on the path toward keeping pace with Moore’s law. The roadmap is periodically revised to maintain a current best guess look into the future. The continuous miniaturisation of features drives a growing need for high-resolution analysis, including better images from scanning electron microscopes (SEMs), greater use of transmission electron microscopy (TEM) imaging and more sensitive and smaller volume chemical analysis. Figure 9.2 presents the International Technology Roadmap for Semiconductor forecasts of feature sizes (DRAM half-pitch) by introduction year and the smallest particles that need to be detected and analysed for composition. Values from the 1993 and 2003 editions of the International Technology Roadmap for Semiconductors are shown. The requirement for chemical analysis of ever-smaller particles may develop into a major application for AES/SAM in the near future.
300
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
1000 DRAM Half-pitch (1993 predictions) DRAM Half-pitch (2003 predictions)
Size (nm)
100
Minimum Defect Size (1993 predictions - to detect) Minimum Defect Size (2003 predictions - to detect)
10
Minimum Defect Size (2003 predictions - for analysis) 1 1990
1995
2000
2005
2010
2015
2020
Production Year
Figure 9.2 Feature sizes predicted in the 1993 and 2003 International Technology Roadmap for Semiconductors (ITRS). The ITRS sets out forecasts for many aspects of semiconductor processing to be used as goals. DRAM half-pitch is used as the primary metric for the device feature size. This metric is used to define a series of ‘technology nodes’. Solid gray and black lines show the DRAM half-pitch predictions from the 1993 and 2003 roadmaps. The overall trend in feature size reduction is very much the same for 2003 as it was in 1993. The slight offset in the lines shows that, between 1993 and 2003, device size was reduced more aggressively than predicted. The forecasted size of particles that must be detected is shown in long dashed lines for 1993 and 2003 forecasts. The discontinuity in these lines shows that the 1993 roadmap particle size forecasts were unrealistic. The short dashed black line shows forecasts for the size of particles for compositional analysis from the 2003 roadmap; these goals too are very aggressive and cannot be met using the current EDXS technology for analysis. A smaller volume analytical technique will need to be put into service for routine particle composition analysis in the near future
9.3
AUGER ELECTRON ANALYSIS OF PLANAR DEVICES
Most semiconductor devices are known as planar devices, at least until (three-dimensional) multi-gated devices1–3 become mainstream. But planar is a relative term. For the most part, Si wafers and the thin films used in semiconductor manufacturing are smooth and flat. Such planar samples are ideal for AES/SAM. However during processing, these films are etched, producing large step heights of up to several hundreds of nanometres. These steps can play a significant role in analysis. Analysis is frequently required just above, just below, or on the sidewall of the etched steps or on particles, which rise above their surface location. Topographic considerations, such as those discussed in
SCANNING AUGER ELECTRON MICROSCOPY
301
Chapters 4 and 5, often need to be considered during the analysis of semiconductor samples. For AES/SAM analysis, these topographical issues are the same for classical metal oxide semiconductors (CMOSs) as they will be for nonclassical, nonplanar and multi-gated devices. Sample topography can create artefacts by several mechanisms14,15. The main mechanisms involve inadvertent excitation in areas other than the intended analysis area. This can occur when the excitation source is scattered either internal or external to the sample. When the electron beam strikes the sample, most of it penetrates into the sample and spreads out causing an interaction volume plume. Like X-rays, Auger electrons are produced throughout this interaction volume. Because of their low energy, only those Auger electrons generated in the surface layer, 2–3 nm, can escape the sample with their characteristic energy. For a truly planar sample only these electrons have a chance of being detected. However, when the analysis area is on a raised feature, Auger electrons excited deep in the sample may be able to escape from a nearby surface other than the intended analysis area and then reach the detector. This can happen when the electron beam impinges near an edge. When Auger electrons generated well below the surface on which the electron beam was focused can escape from a sidewall, the total amount of detected signal is enhanced. This is shown in Figure 9.3(a). Tilting the sample back as in Figure 9.3(c) can reduce this effect. But at the other side of the line, Figure 9.3(d), the edge enhancement effect is seen again. Additionally, the tilted step in Figure 9.3(d) shadows the area directly below the step, obstructing direct access by the electron beam. This shadowed area cannot be analysed. Excitation by the externally scattered portions of the electron beam is another mechanism for inadvertently producing detectable Auger electrons in unintended areas. Although most of the electron beam enters the sample, some is scattered. Some of the scattered electrons have sufficient energy to create Auger electrons on the next surface they strike. This is shown in Figure 9.3(b) Auger electrons generated near the surface of the unintended secondary excitation site can reach the detector along with those from the intended analysis area. Auger electrons from this sort of stray excitation are usually only a few percent of the measured signal, but can be enough to make the results of an analysis for low-level impurities questionable. Another important geometric consideration is whether or not a lineof-sight exists. There is a series of questions that should be asked when setting up any analysis. Can the primary beam reach the intended analysis area? If sputtering, can the ion beam reach the analysis area?
302
APPLICATIONS: SEMICONDUCTOR MANUFACTURING (a)
(b)
(c)
(d)
Figure 9.3 Topographic effects seen at large steps. (a) When the primary beam impinges on the top surface near an edge, the high energy electrons in the interaction volume can excite the Auger process in atoms deep below the intended analysis surface, near the sidewall of the step. Auger electrons are generated close enough to the sidewall surface that a percentage of them will escape and reach the detector. This causes an edge enhancement of the total signal detected. If the sidewall composition is different from the analysis surface, it will introduce errors. (b) When the primary beam is focused on the surface below a large step, high energy electrons scattered from the intended analysis area can generate Auger electrons in unintended areas such as the step sidewall or top surface. Again if these surfaces have a different composition than the intended analysis area, detection of these Auger electrons will lead to misleading results. The edge enhancement artefact shown in (a) can be reduced or eliminated by tilting the sample as in (c). The sample is tilted back sufficiently to minimise the intersection of the interaction volume and the sidewall. This prevents the inadvertent excitation, and thus detection of Auger electrons from the sidewall. However, sample tilt can create additional problems on the other side of the line (d), where not only is the intersection of the interaction volume and the sidewall increased but also the area just below the step is shadowed from the primary electrons
Can electrons from the intended analysis area reach the detector? Will other surfaces be excited inadvertently (either by electrons in the interaction volume or electrons scattered from the analysis area)? Can Auger electrons from inadvertently excited areas reach the detector? There must be a keen awareness of these concerns. The way that the electron beam interacts with the sample, the possibilities of obstruction and shadowing, and the effect of these phenomena on the data should all be thought through when designing experiments. Understanding these phenomena will help to minimise their effects and ensure proper caution while interpreting results. In some cases, artefacts cannot be eliminated. As long as the artefacts are recognised as such, useful information can still be gained. As discussed in Chapter 3, instrument geometries vary. The specifics of line-of-sight issues depend on the instrument geometry, sample geometry and orientation. Many Physical Electronics SAM tools have
SCANNING AUGER ELECTRON MICROSCOPY
303
Figure 9.4 Element maps on a tilted sample. The etched sample shown in these element maps is tilted with the lower edge of the image tilt 30 out of the plane of the paper. (a) The tilt of the sample reveals a carbon-based residue on the sidewall of a line, seen as the bright (high signal intensity) line in the center of the C map. Indirect excitation of this carbon-rich residue in the areas where the sidewalls are tilted away as in Figure 9.3d causes the appearance of a bloom in C intensity near these line edges (seen in the upper and lower one-third of the image). (b) The O element map of the same region. The carbon-rich residue overlayer fully attenuates the Auger electron signal from O on the sidewall. This appears as a dark line in the middle of the map. The contrast for these and all subsequent SAM images is formed using the ratio (N1 N2 Þ=N2 as described in Chapter 5
a cylindrical mirror analyser (CMA) mounted coaxially with the electron beam. Other tools utilise off-axis CMAs or hemispherical analysers. The details of the topographical considerations will be specific to the tool used. The data shown in this chapter were taken using either a Physical Electronics PHI 670 (coaxial CMA) or a Physical Electronics SMART-II 300 mm (off-axis CMA). The element maps in Figure 9.4 (taken with a coaxial CMA) show the result of the interplay of the line edge topography and the interaction volume plume. The lower edge of the sample was tilted 30 upward towards the electron source, partially exposing the sidewall of the line in the center of the image, as in Figure 9.3(c). When the primary beam is rastered on this sidewall, most of the detected signal comes from an organic residue on the sidewall. For the other edge of the line (shown in the upper and lower one-third of the image), the sidewall is tucked under the line, as in Figure 9.3(d), and never sees the primary beam directly. The Auger process is initiated on the sidewall surface by high-energy electrons within the interaction volume. Consequently, some C signal from the hidden sidewall is seen when the electron beam is rastered near the edge of the line. This situation gets worse closer to the edge of the line, creating an apparent bloom of C near the line edge. In this case, the C bloom is easily recognised as an artefact because it is not symmetrical on both sides of the tilted line. Additionally, the SAM element maps of
304
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
the exposed sidewall provide knowledge of the C-containing residue on the sidewall, which helps to identify the bloom as an artefact. But the field of view for element maps may not always show both sides of the line in different orientations to the primary beam. The effects of sample geometry need to be anticipated prior to every analysis. Whenever artefacts are present or even possible, it is important to explain them to anyone using the data, to avoid their being mistaken for meaningful data. Despite such gross effects, subtle compositional variations and the compositional differences in small features can be seen using scanning Auger maps. The SEM image in Figure 9.5(a) shows 100 nm defects on the line. Imaging these defects is pushing the resolution limits of the instrument and conditions used to collect the data. Auger electron spectra taken on and off these particles, at the same distance from the line edge, are essentially indistinguishable. This is due in part to similarities in the composition of the particles and their surroundings and the small volume of the particles. Another factor is that the spectra taken near the edge of the line contain contributions from a larger area than was intended to be excited. Any subtle differences in the spectra could easily be due to slight variations in the distance to the edge of the line and the related change in the contribution from material on the
Figure 9.5 Submicron particles on lines. The SEM image in (a) shows small particles on an etched line. Surface survey spectra taken on and off these small particles were extremely similar. N is seen in survey spectra taken both on and off the particles in part because N is present on the line sidewalls. Since the N signal from the sidewalls is so strong, it is difficult to tell from survey spectra how much of the N signal is due to the particles. The N concentration map (right) highlights local differences in composition. The N concentration map shows a strong correlation between local spikes in N composition and the particles seen in the SEM image. Thus it can be determined that the primary compositional difference between the particle and the line is N in the particles. Because the survey spectra were so similar, element mapping was required to demonstrate the composition of the particles
SCANNING AUGER ELECTRON MICROSCOPY
305
sidewall. AES spectra were used to identify the elements present and SAM element maps were taken of those elements. The N map clearly demonstrates a correlation between the particles and increased N concentration. The N element map, Figure 9.5(b), reveals information about the composition of the particles that was not directly available in the AES spectra. In this case, the residue was causing problems in the manufacturing process and needed to be eliminated. The information about the particle’s composition provided by AES and SAM mapping helped engineers select an approach to eliminate the problem. A challenging analytical problem that is seen frequently in the semiconductor industry is the analysis of material in round, high aspect ratio, etched holes known as vias. Analysis may be needed of barrier layer material deposited in the vias or residue deposited in them during the etch process. In semiconductor manufacturing, it is common practice to etch vias and fill them with metals to provide a conductive pathway between different layers of the multi-layer interconnect wiring. (Via is the Latin word for route or path.) These vias are created by patterning resist on an insulating layer and etching holes through the insulating layer. During the etch process, it is actually desirable to have some etch residue deposit on the sidewalls of the vias to protect them from being etched. This helps provide an anisotropic etch, which yields nearly vertical sidewalls. Some residue will also form on the underlying metal layer when the dielectric etch is completed. After etching, these residues must be removed by a post-etch cleaning process. If the duration of the etch is not long enough, a thin or partial layer of dielectric will remain. It will not be possible to make good electrical contact to the underlying metal for the full area of the via if there is either a residual dielectric layer or an etch residue remaining after the post-etch clean. If the via is completely blocked, it will cause an open electrical circuit. If it is partially blocked, it will cause high resistance through the via. AES/ SAM analysis of the material causing the blockage can be useful in determining if the etch was too short (residual dielectric) or too long (excessive etch residue) or if the residue cleaning process was effective16. However, careful consideration of the sample geometry is required in order to acquire meaningful data. Figure 9.6 shows a cross-section of an etched via. The height-to-width aspect ratio of the via is high enough that none of the electrons emitted from the base of the via have a clear line-of-sight to the detector for any standard instrument geometry. In fact, when the primary beam is focused on the base of the via, the only Auger electrons that can be
306
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
(a)
(b)
Figure 9.6 Cross-sectional view of a via hole. (a) When the primary electron beam is focused on the base of a high aspect ratio via, the line of sight to the detector for Auger electrons emitted from the base of the via is blocked by the sidewalls. No signal from the area of interest can be detected. Some of the high energy electrons in the primary beam are scattered and cause inadvertent excitation of material on the via sidewalls. Some signal from these areas can reach the detector giving an entirely false reading. (b) Signal from the base of the via can be detected if the surrounding dielectric material is subjected to a focussed ion beam (FIB) or chipped back to reduce the via’s aspect ratio
detected are those inadvertently excited on the sidewall by the scattered portions of the electron beam, Figure 9.6(a). For low aspect ratio vias, AES/SAM analysis has been accomplished by a judicious choice of sample angle17,18. But as vias have become smaller, aspect ratios (i.e., the ratio of depth to width) have increased, and these approaches are no longer adequate. Some SEM work has been done to image the residue on the base of vias. This requires the sample to be biased or strong extraction fields to be used. Neither of these approaches is appropriate for AES because the sample bias or extraction fields would alter the energy of the escaping Auger electrons. To detect Auger electrons from the base of a via, the via’s aspect ratio must be reduced without disturbing the material on the base of the via, as in Figure 9.6(b). This can be done by using a focused ion beam (FIB)19 or by physically chipping back the dielectric layer from the edge of the sample20. Both of these approaches work best on a large array of vias. Figure 9.7(a) shows an SEM image of an array of vias where the dielectric layer has been chipped back to reduce the aspect ratio of the vias. SEM imaging making it possible to recognise shallow vias that retain residue or residuals at their base. AES/SAM survey spectra are taken in the various areas of the sample to identify the elements present.
SCANNING AUGER ELECTRON MICROSCOPY
307
Figure 9.7 SEM image and element map of residue on a via base. (a) SEM image showing via holes etched through a dielectric layer. The sample has been chipped from the lower right corner such that the dielectric is missing in the lower right and the dielectric layer thickness is reduced in the center of the image. (b) The C element map shows C-containing etch residue on the sidewalls of the intact via holes at the top of the image. Auger electrons from residue on the base of these via holes cannot reach the detector because of the combination of the high aspect ratio of the vias and the angle between the electron beam and the detector. An increase in the C signal intensity corresponding to etch residue on the base of the via can be seen on the left side of the third row down because these vias have had their aspect ratio reduced
The element map in Figure 9.7(b) gives a clearer illustration of where the residue is located. This is a good example of how important it is to consider the geometry of the sample in relation to the incoming electron beam and the possible incoming ion beam as well as visualise the line-of-sight to the detector. Consideration should also be given to issues such as the risk of inadvertent excitation by either scattered electrons or high-energy electrons within the interaction volume. This exercise will help minimise topography-related artefacts. When artefacts cannot be avoided, areas prone to artefacts should be flagged. Once this is done, AES/SAM is an unusually flexible and robust technique, yielding quantitative and semiquantitative results on a wide range of samples and sample geometries. With its unique ability to analyse small volumes, AES/SAM is a valuable tool for process development and troubleshooting.
9.4
MOSFET TRANSISTORS
MOSFETs have been a standard solid-state electronic device design since the early 1960s. In a basic MOSFET (Figure 9.8), the source and drain areas of the Si substrate are doped to be conductive, but only for one type of charge carrier, either electrons or holes (vacancies in the valence band). In nMOS and pMOS devices, the majority charge carriers
308
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
Insulating Insulating SiO2 SiO 2
Metal Metal Contact Contact
Gate Gate Electrode Electrode SiO2 (doped (doped poly Si) poly Si) ++++++
Source Source (doped crystalline Si) (doped crystalline Si)
- - - - - Channel Channel
Metal Metal Contact Contact Gate Gate Oxide Oxide Drain Drain (doped crystalline Si) (doped crystalline Si)
Crystalline Si – lightly doped opposite to the source and drain
Figure 9.8 Basic MOSFET structure. In a basic MOSFET, the Si substrate in the source and drain regions is doped to be conductive to one type of charge carrier. However, when an electric potential is applied across these regions no current can flow because the channel, below the gate, is not conductive. If an electric potential is applied to the gate electrode, the field from this potential will draw charge carriers into the channel completing the circuit between the source and drain
are negatively charged electrons and positively charged holes, respectively. CMOS circuits have a complementary mix of n- and pMOS devices. The semiconducting gate channel region between the source and drain controls whether or not the charge carriers can flow from the source to the drain. The channel region is lightly doped with the minority charge carrier. This creates an electrical discontinuity. Charge carriers from the source region cannot flow through the channel region to the drain. The circuit is open. However, when a sufficient voltage is applied to the gate electrode, electric fields extend through the gate dielectric (hence ‘field effect’) and into the channel region. These fields change the distribution of charge carriers – depleting the minority carriers and accumulating the majority carriers in a channel under the gate electrode. This closes the circuit and allows current to flow from the source to the drain when the gate electrode voltage is on. Greater detail on the operation of various common semiconductor devices, their utility, and the underlying physics is available in many texts21–23.
9.5
SEMICONDUCTOR MANUFACTURING PROCESS OVERVIEW
Integrated circuits, microprocessors, or memory devices are complex circuits made up of millions of interconnected electronic devices,
SCANNING AUGER ELECTRON MICROSCOPY
309
including transistors, capacitors, diodes, and resistors. The number of devices per chip is limited by the size of the devices (which controls the amount of Si surface area required per device) and the Si surface area per chip or die (typically 1–6 cm2). The electronic devices are wired together by several layers of metal interconnect paths surrounded by insulating material. The whole circuit is built by depositing, patterning, and etching a series of layers of different materials with different electrical properties: metallic conductors, (partially conductive) doped semiconductors, semiconductors and insulators. Several good texts are available that give a complete description of the complex semiconductor manufacturing process24–26. The following abbreviated and anecdotal introduction to semiconductor manufacturing process flow is intended only to give some context for the materials analysis needs, particularly AES/SAM analysis, throughout the manufacturing process and to highlight areas where development work for new processes and materials is taking place. Single crystal Si is the choice substrate material for mass-producing semiconductor devices for several reasons. Si is readily available; it is the second most abundant element in the earth’s crust. A primary component of sand, Si is easily purified and crystallised. Most importantly, Si can be readily and controllably transformed from a semiconductor to an electrically conductive or insulating material. In its pure state, Si is a wide bandgap semiconductor, but it can be doped easily with a precise low level of electron donors or acceptors to become electrically conductive (to either electrons or holes). Additionally, Si grows a conformal, electrically insulating surface oxide layer, SiO2 (sand, glass, quartz). All of these material types – semiconductor, insulators, and conductors – are needed to fabricate solid-state electronic devices, such as MOSFETs. Si wafers, also known as slices, are the starting block for the mass production of semiconductor devices. In the early days of solid-state electronics, individual ‘discrete’ devices were processed on 25 mm diameter Si wafers. Today, ICs with millions of interconnected electronic devices are mass produced on 200 and 300 mm wafers. The area of the wafer, thus the number of same-sized die per wafer, increases with the square of the wafer diameter. A 300 mm wafer has four times as much area as a 150 mm wafer (used in the late 1980s), producing four times as many same-sized die or chips. The larger diameters allow for greater manufacturing efficiency because more chips are processed with each wafer. There are additional costs for processing larger-area wafers, but they are outweighed by the benefit of producing more die. The next
310
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
wafer size is expected to be 450 mm, forecast for introduction around 20159. Si wafers are cut from large, single-crystal boules of Si. The singlecrystal boules are pulled slowly from high purity molten Si. The initial seed crystal sets the desired crystal orientation. The boules used to make 300 mm wafer slices are 300 mm (12 in.) in diameter and 1 m in length and weigh over 200 kg. The wafer slices are cut, polished, and cleaned in preparation for device manufacturing. At this point, the wafers must have an impurity level much lower than can be measured by AES. Total reflection X-ray fluorescence (TXRF) is used to look for metallic impurities on the Si surface. Fourier transform infrared spectroscopy (FTIR) can detect oxygen inclusions. Secondary ion mass spectrometry (SIMS) monitors the level of intentional dopant inclusion and checks for impurities such as low levels of sodium (Na) and potassium (K). After wafer preparation and at various points in the manufacturing process, the wafers must be cleaned. An oxidising cleaning process using a sulfuric acid and hydrogen peroxide mixture is used to remove organic contaminants on the surface. The RCA ‘standard cleans’ and variations on them are common cleaning chemistries. Standard clean one (SC1: ammonium hydroxide, hydrogen peroxide) and standard clean two (SC2: hydrochloric acid, hydrogen peroxide) are used separately or combined to remove particles and metals, respectively. Over the years, the mechanics of the cleaning processes have evolved from dipping wafers in large heated baths to various spray technologies, which help conserve chemicals. Conservation is an important consideration for improving manufacturing efficiency. Resource conservation is not only good for the environment, it also reduces the costs of consumables and waste disposal. The semiconductor industry is committed to finding ways to conserve raw materials such as water and to minimise environmental impact. The ITRS recommends aggressive targets for water use reduction9. When modifying manufacturing process steps to conserve resources, it is important to identify practical limits. Figure 9.9 shows an SEM image and AES/SAM element maps of a water spot defect left on a patterned film. This type of salt defect could be a sign that the rinse water conservation limit has been exceeded. Knowing this limit, engineers can design a process that uses the least acceptable amount of resources, balancing factors such as raw materials, waste production, processing time and power consumption. Then, using careful statistically driven analysis of the process variability, they select robust process conditions that will reliably produce a high quality product while conserving resources to keep down costs.
SCANNING AUGER ELECTRON MICROSCOPY
311
Figure 9.9 A large defect found on the surface of a cleaned wafer. The majority of the defects detected on this sample were significantly smaller than the one shown. The submicron defects of interest were difficult to locate due in part to the resolution limits of the tool and conditions used. However, the nature of the particles made analysis of the smaller defects using an electron beam impossible. The difference between the two SEM images of the large defect (a) before and (b) after AES survey spectra were taken in the indicated areas shows a significant amount of electron beam damage to the central core particle. The smaller defects were significantly less stable and disappeared/decomposed on attempts to image them. Element maps were taken of the elements indicated in the survey spectra. Several element maps showing the spatial distribution of these elements are presented. (c) S is seen in a ring around the defect. (d) Ca is found in the remaining core particle. (e) N from the underlying film is attenuated by the surface defect and there is increased surface O (f) in the defect area
Exposure to air or any of the chemistries used to clean wafers leaves a thin native SiO2 layer on the Si surface. A hydrofluoric acid (HF) clean, used for surface conditioning, leaves the thinnest layer of oxide, although the oxide layer will continue to grow for several weeks. Thicker oxide layers can be grown in furnaces or deposited by spin-on
312
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
10 9 8 7 6 5 4 3 2 1 0
Si
SiO2
SiOx
dN(E)/dE,
N(E)*E
or chemical vapor deposition (CVD) processes. The ability of Si to grow a uniform conformal oxide is one property that makes Si ideal for semiconductor manufacturing. Both thickness and quality of these oxide layers have been studied by AES27–30. Si is one of several elements for which the Auger peak energy and line shapes change significantly with oxidation state (see Chapter 2). The lower energy, Si LVV peak has three distinct line shapes and peak energies corresponding to Si, SiO2 and SiOx, a suboxide found at the boundary between Si and SiO2 and in sub-stoichiometric silicon oxides. Using standard spectra from substrate Si and thermal oxide, target factor analysis can identify the shape and location of the SiOx peak. All three peaks are shown in Figure 9.10. A linear least squares routine can be used with standard spectra for all three peaks to fit depth profile data to the component spectra. Remember that the thickness of native oxide layers ranges from 1 to 4 nm. Because these layers can be thin relative to the sampling depth of AES, rigorous quantitative analysis is precluded. Nevertheless, AES depth profiling can qualitatively compare the amount and depth distribution of the suboxide layer for various surface treatments31–33. The ability of AES to discriminate the oxidation states of Si is useful in many situations.
65 70 75 80 85 90 95 100 105
(a)
Kinetic Energy (eV)
10 9 8 7 6 5 4 3 2 1 0
Si SiOx SiO2
65 70 75 80 85 90 95 100 105
(b)
Kinetic Energy (eV)
Figure 9.10 The Si KLL peak positions. The low energy Si KLL lineshape and peak position are sensitive to chemical bonding because the transition involves two valence electrons. The peak exhibits three distinct line shapes and peak positions, corresponding to different chemical states. The standard lineshape and peak position for Si in SiO2 can be recorded using a thick thermal oxide film. The standard lineshape and peak position for Si in solid Si can be recorded from freshly sputtered substrate Si. Once these are known, the spectra for a sub-oxide film can be fit to these two and a third component spectra corresponding to Si 2þ and 3þ oxidation states. The resultant line shapes are displayed in (a) NðEÞ and (b) dNðEÞ/dE versus electron energy
SCANNING AUGER ELECTRON MICROSCOPY
313
Towards the beginning of the manufacturing process for earlier devices, a thin oxide layer was grown and coated with a silicon nitride layer. Resist was deposited on these layers and patterned for the removal of the layers from all but the device areas. With the nitride protecting only the device areas, a thick furnace oxide was grown between them to provide some electrical isolation between devices. This process is known as local oxidation of Si (LOCOS). More effective device isolation for high power and current generation devices is obtained using shallow trench isolation (STI). STI is particularly effective when using SOI substrates. Lithography processes are used to transfer patterns to the various layers of materials that are deposited on the wafer. The pattern is first transferred to a photoactive resist layer by exposing specific areas to light. The pattern is then developed in the resist layer and transferred to underlying layers either by etching or ion implantation. Like many materials and processes used in semiconductor processing, photolithography (using light to transfer the patterns) is reaching its limits. In the near future, it is projected to be replaced by new technologies such as electron or extreme ultraviolet lithography9. Scanning electron microscopy is used both in- and off-line to measure the size and quality of the features patterned in the resist. After the device areas are isolated, their protective nitride and thin oxide layers are removed. Next the gate oxide is grown or deposited. Traditionally, thin, high quality furnace oxides were used for the gate oxide. To achieve current cutting-edge device performance, however, gate oxides are now nitrided to increase the ‘k’ value (the dielectric constant or relative permittivity). This allows the gate oxide layer to be physically thick enough to prevent excessive electron tunneling yet perform electrically like a very thin silicon dioxide layer. A gate electrode material is deposited on top of the gate oxide. After the gate oxide and electrode layers are grown and deposited, the gate stack is patterned and etched. This leaves the gate, containing gate oxide and electrode, centred in the device area. Traditionally, heavily doped polySi has been used for a gate material. However, when new high-k gate dielectrics are introduced, metal gates will likely replace doped polysilicon gate electrodes. Development of new gate oxides with higher k values requires materials characterization. AES depth profiling is typically a good choice for characterising thin films. However, some of the materials being investigated pose special challenges for AES and other sputter-based depth profiling techniques. Depth profiles of HfO2 and HfxSi(1 x)O2
Silicon
Oxygen
Hafnium (metal)
Hafnium (oxidised) 0
(a)
100
200
300
400
Sputter Depth (Å)
500
600
Atomic Concentration (%)
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
Intensity
314
100 90 80 Oxygen 70 60 50 40 Hafnium (oxidised) 30 20 10 00 100 200 300
(b)
Silicon
400
500
600
Sputter Depth (Å)
Figure 9.11 HfO2 sputter depth profile. During ion beam sputtering, HfO2 experiences preferential removal of O component; this leaves metallic Hf on the surface, which was not present in the original film. (a) Plot of dNðEÞ/dE signal intensities as a function of depth of a thick HfO2 high-k dielectric film, after separating Hf lineshape components. (b) The nonoxidised component is recognised as an artefact and left out of the atomic concentration calculation. There is some risk with this procedure. If there is any metallic Hf present before sputtering, it will also be left out of the calculation. It is unlikely an as-received film would contain nonoxidised Hf because Hf is good at obtaining O and O transport in HfO2 is facile
show differential sputtering34,35. Differential sputtering can be compensated for in many situations. Normally, for materials like HfO2, AES sensitivity factors can be chosen to give the correct bulk composition for the relative intensities seen at the steady-state sputtering conditions. Differential sputtering also creates a depth profile artefact where residual Hf tails off slowly, appearing to extend into the underlying layer, see Figure 9.11(a). However in HfO2, lineshape fitting can be used to separate out the contributions to the Hf signal from oxidised and nonoxidised Hf. When it can be safely assumed that the nonoxidised Hf is due to only the sputtering artefact, it can be discarded and approximate atomic concentrations calculated ignoring its presence, as in Figure 9.11(b). This eliminates the tailing artefact. In HfxSi(1 x)O2, steady-state relative signal intensities that are related to the initial film composition are never established. When O is preferentially removed from the HfO2 components, metallic Hf is produced. The metallic Hf is extremely good at obtaining O and can pull the O away from the SiO2 component, leaving ‘metallic’ Si36. This shifts the equilibrium of the system and prevents the establishment of steady-state sputtering conditions. Because sputter conditions that avoid this sputter/subsequent chemistry combination artefact have not been identified, AES is not routinely used to depth profile HfxSi(1 x)O2 films for composition.
SCANNING AUGER ELECTRON MICROSCOPY
315
Heavily doped poly-Si, the traditional material for gate electrodes, is not compatible with many of the leading candidates for high-k gate oxides. New materials for gate electrodes will also be required. Integration of these new materials into the manufacturing process will require materials characterization. During the selection of new gate electrode materials and development of associated manufacturing processes such as etch chemistries, AES depth profiling is being used extensively to check for film composition, interfacial reactions and mixing. AES surface survey analysis is also being used extensively to check the effectiveness of various etch process candidates. Returning to the process flow, the gate oxide and electrode layers are patterned and etched exposing the surrounding non-gate areas of the active devices. Any residual material in the source and drain regions could create undesired conduction pathways or block desired implant and contact pathways with detrimental effects. While TXRF has better sensitivity to surface metals, it lacks the spatial resolution necessary to effectively analyse patterned wafers. AES/SAM is a good choice for detecting surface residuals on more realistic patterned test wafers due to its high surface sensitivity and excellent spatial resolution. While etch processes for the high-k gate dielectric and associated metal gate stacks are being developed, AES is being used to confirm that layers are completely removed from the non-gate active area. This analysis is typically done on large test structures to avoid potential topography related artefacts, particularly to detect gate oxide and electrode material components inadvertently excited on the adjacent, raised gate oxide and electrode stacks. The next few patterning steps are used to define where the various dopants will be added to the substrate Si. Dopant implantation and activation produce active regions where either electrons or holes can flow. During ion implantation, dopant ions penetrate into the exposed regions of the Si. In other areas of the wafer, the ions are blocked by resist or masking layers under the resist. Several patterns can be used sequentially to implant both n- and p-doped source and drain regions as well as source drain extensions, halos, and other implants used to finetune the electrical behavior of the device. (Other implants may be used earlier in the process as well.) The wafer is then annealed at high temperatures to incorporate the dopant ions into the Si lattice and make them electrically active. The dopant ions contribute extra charge carriers, either electrons or holes, to the Si electronic band structure, making the implanted regions conductive. The ability of Si to be doped to increase its conductivity is
316
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
the heart of Si semiconductor technology. Dopant concentrations are usually too low to be monitored by AES. Depth profiles of ion implants to determine concentration and dopant distribution before and after anneal are taken in relatively large test structures using SIMS. Spreading resistance probe (SRP) profiling or other electrical measurements are needed to test the extent of electrical activation. These techniques also require relatively large test structures. Low voltage SEM may offer promise in this area (see Chapter 10). Once the source and drain have been defined, implanted, and annealed, the patterning layers are removed and oxide spacers are fashioned on the sides of the gate stack. Next a thin metal layer is deposited. This layer is thermally reacted with silicon where silicon is the underlying layer. The metal does not react in areas where SiO2 is the underlying layer. The unreacted metal is then removed with an etch that leaves the metal silicide in those places where it formed. This process and the resultant material are known as salicide (i.e., self-aligned silicide) because the patterning is achieved without a lithography step. Silicides adhere well to the underlying Si and provide good electrical contact to the active areas and gate electrode. With new metals being used elsewhere in the gate stack, new metal silicides are also being introduced. Like other new materials, these silicides must be characterised to assess their composition and compatibility with the other materials in the structure. Given the proper sensitivity factors, AES depth profiling can be used to check the stoichiometry in various metal silicides. One side note about thermal reactions: the temperatures used for thermal reactions must decrease as the IC is built up. The highest temperature processes are the post-ion implantation anneals used for dopant redistribution and activation. Subsequent thermal steps should be at sufficiently low temperatures to avoid further redistribution of the dopants. Throughout the manufacturing process, various steps and materials introduced continue to reduce the thermal budget (the amount of total heat per area that can be applied without detrimental effects). After the silicide is grown, the first interlayer dielectric (ILD) is deposited and patterned. Via holes are etched through the ILD to allow metal wiring to contact the silicide on the active areas and gate electrode. A conductive, adhesive barrier layer is then deposited in the openings through the dielectric, followed by a metal plug. These plugs are the contact level vias. For older processes, tungsten (W) plugs were also used for vias between different levels of metal interconnects. For newer Cu metallization schemes, the metal-to-metal plugs are Cu. In Cu
SCANNING AUGER ELECTRON MICROSCOPY
317
metallization schemes, several layers of ILD may be patterned and etched before depositing the barrier and seed layers and subsequent metal. Selecting a good barrier layer material depends on several important criteria. The barrier must be able to be deposited into small features like trenches and holes in a continuous and reasonably conformal layer37,38. A thin layer must effectively block the migration of the metal atoms into the dielectric material and prevent the migration of O from the dielectric into the metal. The barrier layer also must adhere well to all the materials it contacts: salicide, silicide, ILD, other metals. The barrier layer should also not add significantly to the overall electrical resistance. To improve conformality as feature sizes decrease, barrier layer deposition processes have evolved from sputter deposition and furnace processes to physical vapor deposition (PVD), chemical vapor deposition (CVD) and atomic layer deposition (ALD). For years, TiN and Ti/TiN multilayers were the barrier layers of choice, and AES sputter depth profile analysis was used to analyse their composition and impurity levels. AES analysis of TiN is complicated by a near complete spectral overlap between the primary N peak and one of the primary Ti peaks. Several methodologies have been used to work around this spectral overlap39. Ta/TaN barrier layers have become increasingly popular since the introduction of Cu interconnects. AES sputter depth profile analysis of TaN is not completely straightforward either. During ion sputtering, N is preferentially removed. Fortunately, steady-state sputtering conditions yielding a surface composition related to the original film composition are quickly reached. Sensitivity factors can be selected that give the correct original film composition when applied to the steady-state signal intensities. When doped poly Si and Al metallization layers were used for the interconnect wiring, these conductive layers were deposited and etched to create the wiring patterns. The patterns were then coated with a barrier layer and filled and covered with a dielectric layer. Vias were etched through the ILD between the metallisation layers, lined, and filled with W plugs to allow electrical connections between different layers of wiring. Both this old metal etch and the newer dielectric etch processes can leave residues or residuals that are best analysed by AES/ SAM. Because good etch chemistries are not available for Cu, the change to Cu interconnect wiring required significant changes in the manufacturing process. Damascene and dual Damascene processes were adopted. The Damascene process is an adaptation of a process used by artisans of Damascus (the capital of Syria) for inlaying gold or silver into steel or
318
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
iron. This inlay technique can be used to make ornate jewellery, sword hilts, and cane handles as well as high performance computer chips. In the Cu Damascene process, the material to be used as an insulator between the wires is deposited and patterned. The diffusion barrier and metal line materials are deposited into the patterns in the dielectric. Then the wafer is polished to remove the excess Cu and barrier materials from the top surface. The Cu Damascene metal layer deposition must be continuous and conformal in small high aspect ratio features. This is best accomplished with a two-step process. First, a conformal layer of fine seed crystals is grown using CVD. Figure 9.12 shows SEM images and AES/SAM maps of some early attempts to deposit the Cu seed layer on different surfaces. In these examples, more process development was needed to achieve a continuous and uniform Cu seed layer. Once a continuous Cu seed
Figure 9.12 Nonuniform Cu seed deposition. The SEM images in (a) and (c) show nonuniform deposition of Cu seed on various films. SAM element maps of Cu in (b) and (d) demonstrate that all of the observed structure and topography is due to nonuniform Cu seed distribution. Scanning Auger maps of the other elements present were used to help understand whether these problem depositions were due to contaminants on the surface of the underlying layer or the seed deposition conditions. This information was used to guide modification to the surface treatment and seed deposition processes to optimise the deposition of a uniform seed layer
SCANNING AUGER ELECTRON MICROSCOPY
319
layer has been deposited, a thicker layer is electroplated. This two-step deposition results in a uniform coating of Cu in the patterned vias and trenches and on top of the dielectric layer where it is not wanted. The Cu deposition is followed by chemical mechanical polishing (CMP) to remove the Cu overburden. CMP is a dirty and chemically complex step that can produce defects and contamination, which are detectable, and best analysed, by AES/SAM. Figure 9.13 shows a defect left on a wafer after Cu and TaN removal by CMP.
Figure 9.13 CMP particle. Excess metal is deposited on the top surface of the wafer when the barrier and Cu layers are deposited into the features etched in the dielectric layers. A CMP process is used to remove this excess material. The CMP process employs a slurry, which contains small particles to mechanically abrade the surface, as well as chemicals that help attack the material. The wafer is polished with a large polishing pad until both the Cu and barrier metal layers are removed from the top surface. The wafer is then cleaned in preparation for the next dielectric layer deposition. Particle detection tools identified a larger number of particles on this wafer after the post-CMP clean. The defect coordinates were fed into the SMART300 mm software and used to relocate the particles. (a) An SEM image of a typical particle. The Cu element (b) shows some attenuation of the Cu signal from the metal line to the lower left of the particle. The Si element map (c) shows the particle is Sibased, likely from the CMP slurry. The K element map (d) shows a salty residue holding the particle to the wafer surface. This material is also responsible for the attenuation of the Cu signal in the area just below the particle
320
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
A single layer Cu Damascene interconnect, deposited with the twostep process, involves more high cost manufacturing steps than Al metallisation and thus adds time and cost to the manufacturing process. However, the performance and reliability benefits of Cu outweigh the cost. Furthermore, the use of dual Damascene processing can reduce the overall number of process steps. In the dual Damascene scheme, two layers of dielectric separated by an etchstop layer are patterned and etched with different patterns for each layer, the vias below and the trenches for metal lines in the upper dielectric layer. The barrier layer material and Cu are inlayed into both of these layers at one time. This saves a complete round of barrier and Cu layer deposition and CMP. While the conversion from Al to Cu interconnect wiring involved many changes to the process flow, the modifications were trivial compared with the task of replacing Si based technology. (The inevitable paradigm change will be delayed as long as possible with materials replacements.) As electronic devices become smaller, the amount of insulation between the interconnect wiring is decreased. This can lead to crosstalk between the different parts of the circuits. For interconnects, it is undesirable for electric potentials or magnetic fields from current flow from one part of the circuit to influence other parts of the circuit. To reduce this cross-talk, dielectric materials with lower k values are being developed. Promising candidates include porous silicates, porous organic layers, and hybrids. AES/SAM is playing an important role in the characterisation and integration of these materials. Developing low-k dielectric materials has proven to be a difficult task40. The most effective way to lower the k value of a material is to incorporate air into the structure. A wide range of porous materials has been studied as potential low-k dielectric materials. Unfortunately, there are several traits that are inherent to porous materials that are undesirable for this application. For example, many of these films lack the mechanical strength to hold up to CMP processing. All low-k candidate materials are subjected to a series of mechanical tests. As with all materials in the device, it is important to achieve good adhesion between the low-k and other materials with which it comes in contact. Mechanical tests are also done to determine where and with how much force the interconnect materials stack will delaminate. Figure 9.14 shows an AES surface analysis used to determine the location of the delamination of dielectric stack structural failure. In this example, the barrier layer has peeled back from the surface of a silicon carbide etchstop layer. This is encouraging since the low-k material below the capping layer has
SCANNING AUGER ELECTRON MICROSCOPY
321
Figure 9.14 Delamination in an interconnect test stack. Blanket films were deposited on test wafer to test the compatibility of various materials which may be introduced to the interconnect stack. This wafer was used to test the adhesion between various layers. Tape was used to induce a delamination in the stack, and Auger surface analysis was used to determine which interface failed. Auger survey spectra shown in (b) were taken in the area exposed by the delamination and on the underside of the material that peeled back as shown in (a). Spectra were taken both before and after a light sputter clean to remove any oxidation that may have taken place after the delamination occurred. In this case, the Cu and TiN barrier layer separated from the underlying silcion carbide layer very cleanly
322
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
remained intact. Depth profiles can also be useful in determining the exact delamination location. Another major risk with porous materials is that their sponge-like structures are highly susceptible to diffusion of other materials. To prevent material diffusion into the films, the surfaces are modified or capped to seal the pores. These surface treatments are done before the barrier layer is deposited. AES surface and depth profile analysis can be used to determine what effects the surface treatments have on the low-k material and whether the surface modification is effective at preventing diffusion. AES analysis is also used to determine how polishing modifies the low-k material. These tests are first run on blanket (unpatterned) low-k films. Then more tests are run on fully processed and patterned wafers. Even more testing is required to determine if there can be diffusion under operating conditions in the final product. The challenges to replacing SiO2 dielectrics with low-k materials do not stop with the materials issues. Combining surface treatments and capping layers with multiple layers of low-k and etchstop films creates a complicated stack. The k values of all these materials are different. Sophisticated modeling of the materials and structures is required to optimise the structure and manufacturing process and avoid local spikes in electrical fields41. Many layers of insulator are deposited, etched, and then filled with metal wires that connect the devices to each other and eventually lead to bond pads that connect the IC to the outside world. Figure 9.15 shows a two-metal level interconnect scheme. (Real ICs can have as many as 9–13 layers of interconnect wiring.) The Si-based semiconductor manufacturing technology has reached the point where continued device scaling is not as simple as the feature size reduction executed over the past few decades. Feature sizes will continue to be reduced but multiple material replacements are needed to achieve the associated improvements in device performance. The need to identify and integrate new materials into the semiconductor manufacturing process flow has introduced exciting challenges for materials scientists and analysts in the field. AES /SAM plays an important role in these research and development efforts. However the true strength of AES/SAM lies in its combination of high spatial resolution and shallow sampling depth that gives it a small analytical volume. This is of tremendous value in the face of continued miniaturisation. With killer defect sizes having, for some time now, been below the size that can be analysed by EDX, AES/SAM is a strong candidate for routine defect analysis. The ever-increasing need for small volume defect analysis
SCANNING AUGER ELECTRON MICROSCOPY
323
Figure 9.15 Multi-layer interconnect. This diagram shows a cross-sectional view of a single transistor and four levels of Cu wiring interconnected Cu vias. This interconnect wiring constitutes the internal circuitry of an IC chip. Integrated circuits can have as many as 9–13 levels of interconnect wiring. The IC chip has been fabricated from the substrate up. After the active devices were created in the Si substrate, the lowest layer of dielectric was deposited. Photoresist deposited on the capping layer was used to transfer to the chip a pattern indicating where the contact vias (to be filled with W) should be etched. There would also be contact to the gate electrode at this level, but it is not shown. The dielectric for the first level of Cu lines is deposited, capped, patterned and etched. Next the barrier layer, Cu seed and Cu electroplate are inlayed into the pattern. CMP is used to remove the excess metal. A via-first dual damascene Cu metallisation scheme is used for the second through fourth layer of Cu wiring. Two layers of dielectric are deposited with an etchstop layer between. The via pattern is etched through both layers, then the metal line pattern is etched into only the upper dielectric layer. Barrier layer material and Cu are inlayed into both layers at once and CMP is used to remove the excess metal. The top level of metal shown is connected to a bond pad, which would be used to connect the chip to external circuits. A passivation layer will be deposited over the bond pads and etched back to allow wires to be soldered to the pads
324
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
promises an important ‘future role’ for AES/SAM for routine defect characterization.
9.6
DEFECT LOCATION AND CHARACTERIZATION
Depending on the type of ICs they manufacture, device manufacturers need to maintain certain target yields of useful die to be competitive. To achieve these yield goals, particle and defect levels need to be monitored and characterised, to help control and eliminate particles and defects. The smaller the device feature size, the smaller the size of a defect that can cause an open or short in the chip’s circuitry. An entire IC chip can be rendered useless by a single killer defect – one properly placed small particle or patterning defect. Light-scattering tools are used to detect and record the location of particles and other defects on wafers at various points in the manufacturing process. Sophisticated software helps correlate this information to identify which defects have the most devastating effects. Defect location coordinates can also be used to relocate the same defects in a full-wafer SEM where electron-induced energy-dispersive X-ray spectrometry (EDXS) spectral analysis can quickly identify the composition of the particles. However, for some time now, many of the killer defects have been so small that their X-ray spectra are dominated by background signal from the surface below the defect. Now and into the future, an analysis technique with smaller sample volume capabilities must be used to analyse these defects. AES/SAM has the capabilities to become the next analytical technique for in-line defect analysis. In fact, particle and defect analysis may soon become the primary application for AES/SAM in the semiconductor industry. Full-wafer defect review tools such as Physical Electronics’ SMARTTool (Semiconductor Micro Analysis Review Tool) and FEI’s DREAMS (Defect Review via Electron Beam Induced Auger Electron Microanalysis of Semiconductors) prototype use surface-sensitive high spatial resolution AES to achieve the extremely small analytical volumes required for analysing submicron particles and device features. These tools also provide full-wafer SEM capability with a highly accurate stage that can relocate particles identified in various defect detection tools using a set of common coordinates. The SMART-Tool can be equipped with an FIB to cross-section defects in situ. Increasing wafer size is an important factor in the push for more sophisticated analytical techniques in the manufacturing line. As wafer
SCANNING AUGER ELECTRON MICROSCOPY
325
size increases, more die are processed per wafer pass reducing manufacturing cost on a per die basis. However, the same increase in the number of die per wafer is seen in the amount of product that can be lost if anything goes wrong in the manufacturing process. In short, the stakes are higher. This puts an increased urgency on controlling the length of time required to identify and correct the cause of any process excursions. Similarly with more die per wafer, more die are lost when a wafer is broken for analysis, thereby increasing the costs for extracting wafers from the production line for analysis. Driven by these increasing risks and costs, device manufacturers are looking to in-line metrology and near- or in-line analytical techniques to lessen the time to identify root causes41,42. Particle and defect analysis can be required at any point in the manufacturing process. Generally, light-scattering tools monitor for defects and particles at selected points. Defect location coordinates produced in these tools can be used to relocate the defects in characterization tools. For many years now, SEM-based defect review tools have characterised defects and particles. These tools used to be equipped with EDXS detectors for chemical analysis. However today, EDXS has limited utility because larger defects are normally controlled and the smaller defects are too small to be analysed effectively by EDXS due to background signal. Figure 9.16 shows a comparison of the sensitivity of AES and EDXS to the composition of a relatively large particle. The primary features in the AES spectrum signal from the Cu particle while the EDX spectrum is taken in the same area shows a strong signal from the Si substrate. Signal from the background tantalum (Ta) film and the Cu particle can also be seen. If the Cu particle was one-quarter this size, it would be very difficult to discern the Cu signal in the spectrum. This example shows how, because of the large sampling volume, EDXS becomes less useful as the defect size decreases. Increasingly, defect analysis is done off-line by AES/SAM, and the incorporation of such techniques into full-wafer in-line defect review tools is looking attractive but is not without complications, see material in Chapters 5 and 10. Sometimes, the greater sampling depth of EDXS is advantageous. For the particle in Figure 9.16, some Cu signal can be identified and reasonably assigned to the particle being analysed. Because EDXS samples such a large volume, this interpretation assumes that the Cu signal is due to the particle and not some buried feature. The flip side of the greater sampling depth is that EDX can be used to analyse buried defects, while AES will detect only the top 2–3 nm of material. Figure 9.17 shows SAM maps of a
326
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
Figure 9.16 AES versus EDXS. (a) An SEM image of a 1 mm Cu flake on a blanket Ta film. Cu, S, surface C and O are seen in the NðEÞ Auger spectrum (b) along with some signal from the underlying and surrounding Ta. The EDXS spectrum (c) which samples much deeper shows very strong peaks from the underlying Si, a significant amount of Ta and detectable amounts of Cu and S. While it is reasonable to assign the Si and Ta signal to the substrate and Ta film, there is no way to tell from the EDXS data whether these elements are also present in the particle. The background noise in this spectrum could hide the Cu and S signals if the particle was one-quarter this size. This suggests EDXS would not routinely be successful at analysing particles smaller than 250 nm. The industry roadmap hopes that in 2005 compositional analysis could be performed on 30 nm particles. This would be a stretch even for AES/SAM
Figure 9.17 ‘Cheese-ball’ particle. The SEM image (a) of this fairly large, FIB crosssectioned particle suggests a core–shell structure. The shell is thick enough that Auger analysis of the intact particle would not have detected the core materials. EDXS would have been able to analyse for both components. Auger element maps (b–d) reveal a more complicated internal structure. This could not have been seen by EDXS
SCANNING AUGER ELECTRON MICROSCOPY
327
Figure 9.18 Auger maps of a sub micron particle. (a) An SEM image of a small particle found on a blanket Cu film. (b) The Cu element map shows the background Cu film. The top portion of the particle appears to attenuate the Cu signal completely, while Cu signal is seen in the other portions of the particle – some of which appear to be thicker than the top portion. This suggests there is Cu in these portions of the particle. (c) The O map shows the most oxidation in the Si-rich portion of the particle. (d) The Si element map shows a strong signal in the top portion of the particle and less Si in the lower portions. This supports the conclusion that the particle has both Cu- and Si-rich portions. In this case SAM mapping was used to demonstrate that the submicron particle definitely contained some of the same material as the background film and illustrated the compound nature of this small particle
FIB cross-section of a rather unusual ‘cheese-ball’ defect. If this particle were not cross-sectioned, Auger surface survey spectra taken on the particle would not see the Al in its core. EDXS on this particle would have seen the Al without cross-sectioning, but would not reveal any details about the particle’s internal structure. While this is a unique case, it does demonstrate the value of having cross-sectioning, or at least sputtering capabilities, in an AES/SAM tool. However, the submicron particle in Figure 9.18 is much more representative of the size and type of particle that needs to be analysed to support semiconductor manufacturing today. This particle, found on a blanket Cu film, contains both Si and Cu. While it is clear from the SAM map that both Cu and Si are present in the particle, EDXS could not have determined that the particle contained Cu. In fact, depending on the strength of the signal from the underlying Si, EDXS may not have
328
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
been able to definitively determine that the particle contained Si! Rapid discovery and elimination of the source of these particles was aided by the knowledge that they contained both Si and Cu – information readily obtained by Auger analysis. In many other instances, AES/SAM has been able to analyse particles and defects that other techniques could not, thus identifying potential root causes.
9.7
ELECTRICAL DEFECT IDENTIFICATION AND LOCATION
Device performance can be electrically tested at various points during the manufacturing process and at the end of the line. These tests can confirm the desired performance of the IC and individual electronic devices or identify trouble areas. When there is a failure, such as a high resistance component in a large array, identified by electrical test, various techniques including voltage-contrast (VC) imaging can be used to pinpoint its location. A detailed discussion of different types of VC imaging and their mechanisms can be found in Campbell and Soden43 and references therein. Unbiased or passive VC imaging can be performed in any system with either electron or ion beam imaging capabilities. When an imaging electron beam is rastered on the sample, the rate of electron absorption by the near surface features is lower than the rate of electron loss from secondary electron emission and forward scattering; this creates a net positive charge on any ungrounded features. Many interconnect features, while conductive, are isolated electrically from ground intentionally to prevent dissipation of the electron beam-induced charge. The positive charge or voltage established on these features depresses the rate of secondary electron emission and makes the features appear dark in SEM images. When one end of a chain of features is grounded, the electrical charge can bleed off from all connected features into any electrical discontinuities. A ground contact to the substrate can be produced with a FIB spot mill. Because features that are grounded do not build up the positive charge, they emit secondary electrons more readily and appear brighter in SEM images while features on the other side of an electrical discontinuity appear dark. The contrast between the grounded and ungrounded features makes it easy to identify the site of an electrical discontinuity in secondary electron images. Once the exact point at which continuity to the substrate ground is lost has been identified, a FIB can be used to mark and cross-section the
SCANNING AUGER ELECTRON MICROSCOPY
329
Figure 9.19 Cu diffusion into low-k dielectric. The SEM image in (a) shows a cross-sectional view of a failed via between two metal lines. The sample is rotated 90 such that the substrate is to the left. The metal lines and via appear lighter in color than the surrounding dielectric. There is some lighter material diffused into the dielectric on both sides of the via. The Cu Auger element map in (b) confirms that the material that has diffused into the dielectric is Cu. This indicates a failure of the barrier layer. The Cu diffusion seen in this sample occurred during electrical testing. Enough Cu diffused into the dielectric that a void was created under a portion of the via causing high resistance at that via. The failed, high resistance via was identified using voltage contrast imaging and cross-sectioned in a stand-alone FIB. The sample was transferred to the SAM for analysis
fail location. The defect in Figure 9.19 occurred during an electrical stress test. The fail location was identified by VC imaging and crosssectioned in a stand-alone FIB. The sample was then transferred to an AES/SAM for analysis. The SEM image suggests, but AES/SAM is required to demonstrate, that the barrier layer has failed and Cu from the via plug has diffused into the surrounding dielectric material. This type of failure is usually observed early in the development of a manufacturing process. Analytical information about the failure is extremely valuable to process engineers tasked with identifying what changes must be made in the complex manufacturing process to prevent this failure in the final product. Voltage-contrast analysis can be performed in any system with an FIB. Full-wafer AES/SAM tools such as the PHI SMART-Tool can be equipped with an in situ FIB. The FIB allows defect cross-sectioning and uncovers buried defects. Its presence also makes SMART-Tool an all-in-one tool for VC analysis44. Full-wafer analysis tools have navigation systems to drive quickly to the die of interest. The in situ FIB can create ground contact in seconds. SEM imaging in the AES/SAM system can immediately identify the location of the failure. The in situ FIB can
330
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
Figure 9.20 Auger maps of the cross-section. The high resistance via in this sample was identified by voltage contrast imaging and cross-sectioned using the in situ FIB in the SMART-II 300 mm tool. The SAM element maps for (a) Cu, (b) Si, (c) N and (d) O are shown. Bright areas in the Cu Auger signal intensity map indicate the presence of Cu, seen in the metal 1 and 2 line segments and the via plug on the left. There is no evidence of Cu on the right where another via plug should be. This indicates that that the via plug is completely missing (very high electrical resistance!). Lower magnification SEM images (not shown) showed Cu plugs in the vias on either side of the failure site, demonstrating that the cross-section cut is along a line through the vias. The Cu plug at the site of the electrical discontinuity is, in fact, missing and not simply missed by the cross-sectioning cut. No Ta is seen in the location of the missing via either (data not shown). In fact, there is no evidence that the via was even etched. The Si map shows no deviation in the Si signal intensity across the area where the via should be. This indicates there is neither any material on the surface (such as etch residue or barrier layer material) attenuating the Si signal nor any unusual topography (such as an etched via). Based on this analysis engineering efforts to correct this problem were focused on the process steps associated with patterning the via. Reproduced by permission of SPIE from Gondran et al.44
mark and cross-section the defect in just minutes. AES/SAM analysis of the failure site can take from one-half to several hours depending on the quality of data required. Figure 9.20 shows an SEM image and SAM maps of the crosssectioned defective via site that was located by VC imaging and
SCANNING AUGER ELECTRON MICROSCOPY
331
cross-sectioned and analysed in the SMART-II defect review tool. SEM images and Auger data clearly point to the via patterning or etch steps as the origin of the missing via defects. Substantial amounts of process engineering time can be saved because the process steps where the defects originated were identified. It is reasonable to assert that the time required to identify a corrective action is reduced by concentrating engineering efforts on the appropriate process steps. A rapid resolution to the processing issues will minimise the number of lots processed with missing vias. Because the SMART tool is a full wafer FIB and analysis tool, this entire analysis was performed without breaking the wafer. It should be possible to salvage the useful die on the analysed wafer by returning it to the manufacturing line. Published studies41,42 show that with proper precautions, wafers can be reintroduced to the manufacturing line after FIB milling with no negative impact on the unaffected die. In this case, the next process step was the deposition of a passivation layer, which should cap the FIB mill. This qualifies as a proper precaution. Individual device manufacturers will likely conduct tests to decide where in their process they would be comfortable returning FIB-milled wafers to the line.
9.8
PRACTICAL CONSIDERATIONS
The semiconductor industry is fast paced, and it seems that no one can wait for analytical data. When analysis is done in support of a manufacturing line, the line may be functioning below the desired yield level or completely down waiting for a correction to a processing problem. The opportunity costs, the loss of the nonuseful die or everything that is not produced while the line is down, are too high for anyone to be comfortable with long turnaround times for analysis. With the cost of state-of-the-art manufacturing facilities passing the US $2 billion mark, the time pressures continue to mount. This fast paced world is, of course, at odds with the methodical and slow nature of many types of analysis. AES/SAM analysis can take several hours. It is often necessary, albeit painful, to compromise completeness of the analysis and the signal-to-noise ratio of the data in the interest of time. Nevertheless, sufficient time must be taken, up front, to design experiments well or the data may not prove useful. As we have seen, geometric and topographical effects can create misleading
332
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
results. If the experiment is not designed with care, additional tests may be required to understand the effect of any artefact that may be present. Other factors that can complicate AES/SAM analysis are the risk of spectral overlaps, differential sputtering, as well as less predictable factors like the risk of sample charging or electron beam damage to the sample. New materials are being used increasingly in the manufacturing processes. These include several heavier elements whose Auger spectra are more complicated. More complicated spectra increase the risk of spectral overlaps. There are few Auger spectral overlaps for the materials traditionally used in semiconductor device manufacturing. Most notably the primary N peak overlaps nearly completely with one of the primary Ti peaks. This system has been well studied and several analytical protocols have been identified. Recently, mixed oxides of Si, Zr and Hf were studied as a potential gate dielectric material. Figure 9.21 shows the spectral overlaps for this system. The primary Si peaks have overlaps: one with Zr, the other with Hf. Thus neither can be used for the analysis of a three-element system. Fortunately this combination is an unlikely candidate for future use. Much work could be done to study this system and identify the best protocols, but at this point it looks unlikely that these mixed oxides will be used. Several other problematic elemental combinations are also being considered. Gate stacks containing Si, Hf and Ta are being studied. These are not a whole lot better – although some of the overlaps can be sorted out with the aid of a peak shape fitting routine, others by the use of minor peaks. Differential sputtering has been discussed. It can be compensated for in many cases, but in some cases such as thin films (in which steady state sputtering conditions are not reached) AES sputter depth profiles are not useful. Because AES/SAM uses a primary electron beam, sample charging is always a risk. Sample charging is often seen on thick dielectric stacks and small conductive islands isolated in insulating materials. Sample charging can be manifested as anything from a slight shift in the peak positions and slight distortion of the peak shapes to a completely distorted spectrum that changes from sweep to sweep. Sometimes sample charging can be eliminated by a fortuitous choice of analytical conditions. It is generally worth trying the full range of analytical conditions to reduce or eliminate charging – varying beam voltage, beam current and sample angle – within the constraints set by the sample geometry and topographic considerations.
SCANNING AUGER ELECTRON MICROSCOPY
dN(E)/dE
Si
333
Si
O
Hf
Hf
C
Hf Hf
Hf
Zr Zr
200
Hf
Zr
400
600
800
1000 1200 1400 Kinetic Energy (eV)
1600
Zr Zr
1800
2000
Figure 9.21 Spectral overlaps. There are few Auger spectral overlaps for the materials traditionally used in semiconductor device manufacturing. Most notably the primary N peak overlaps nearly completely with one of the primary Ti peaks. This system has been well studied and several analytical protocols have been identified. Unfortunately, several of the new materials being considered for introduction to the manufacturing process have complicated Auger spectra and thus more possibilities for spectral overlaps. One particularly difficult system, which was recently considered, is that of mixed oxides of Si, Zr and Hf. Si has two primary peaks one at 92 eV (76 eV for oxides) and one at 1619 eV (1606 eV for oxides). Hf has a large peak at 1624 eV. When analysing Hfx Si(1 x)O2 it is best to use the lower energy Si peak and the Hf peak at 185 eV. Zr has a series of peaks around 100 eV. When analysing ZrxSi(1 x)O2 it is best to use the higher energy Si peak and the Zr peak at 1845 eV. For the Si, Zr and the Hf mixed oxides, there are spectral overlaps with both Si peaks which significantly complicate the analysis! Much work could be done to study this system and identify the best protocols, but at this point it looks unlikely that these mixed oxides will be used. Several other problematic elemental combinations are also being considered. It is a challenge to recognise which combination of materials will be eventually adopted and thus warrant thorough investigation and the development of analytical protocols
Surface coatings used to reduce sample charging for other electron beam-based techniques cannot be used for AES/SAM analysis because AES is so surface sensitive. The coating would occupy the entire sampling depth and the sample could not be analysed. Sometimes when a small particle becomes electrically charged, it will jump from the surface of the sample and out of the field of view, preventing analysis. New AES/SAM tools are being equipped with very low energy ion guns that can be used for charge compensation. Some success has been reported using FIB sample preparation19 but this procedure can be
334
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
time consuming and hit-or-miss. Sample charging remains a problem that can make AES/SAM analysis unreliable in that it cannot always produce useful results when it seems it should. The primary electron beam can also cause damage to samples. With plenty of excess energy and electrons, the damage usually involves a redox reaction, e.g. SiO2 ! Si releasing O2 into the vacuum. The SEM images in Figure 9.9 show how the electron beam has destroyed a large part of the central defect during the course of recording a survey spectrum. Smaller particles on the same wafer (on which analysis had been requested) disappeared completely during imaging and could not be analysed. AES analysis would not have been successful had the larger defects not been present. While AES/SAM semi-quantitative and small volume analytical capabilities often make it an excellent choice for analysing samples in support of a semiconductor manufacturing line, it is susceptible to roadblocks. A failure to produce useful results in the past can prevent engineers from looking to AES/SAM analysis in the future. Timeliness can also be a factor discouraging the use of AES/SAM to support manufacturing. AES/SAM is inherently slower than other techniques. And to make matters worse, when analysis is used in a troubleshooting mode, results are needed immediately but trouble shooting is seldom routine. The long list of issues that should be considered when setting up an experiment to ensure the data could be easily and correctly understood needs to be addressed each time a nonroutine sample is submitted – keeping the process slow. The speed and reliability of AES/SAM results increase with the experience level of the analyst. Unfortunately, reliance on the skill and expertise of an individual is seen as a problem for the support of manufacturing lines that need to run seamlessly 24 hours a day, 7 days a week. The semiconductor industry in general is committed to an ever-increasing level of automation. As feature sizes get smaller, the precision to be gained from automated wafer handling is critical for layer-to-layer alignment. Automated wafer handlers help to avoid particles and scratches. This is especially important when dealing with the size and weight of 300 mm wafers. Semiconductor manufacturers have been reluctant to embrace AES/SAM for routine defect monitoring in part because the instrument software is designed to be flexible enough to facilitate a wide range of analyses. This means the instrument is too complicated and the data too raw for technicians who have not received extensive training to run the instrument and interpret the data.
SCANNING AUGER ELECTRON MICROSCOPY
9.9
335
NEW MATERIALS, MANUFACTURING AND ANALYSIS CHALLENGES
The semiconductor industry appears to have reached some limits on how far Si device technology can be miniaturised. However, the number of years and dollars of research invested collectively by device and semiconductor processing equipment manufacturers has brought so much understanding to Si device physics and processing that it is unlikely to be matched or replaced by any less explored technology in the near term. The most promising and likely course will be to alleviate the limitations of Si device technology by modifying existing processes, replacing ancillary materials and changing the device structures. For many years Al was the material of choice for the interconnecting wiring in ICs. It was easily deposited in uniform films; could be etched anisotropically (producing sharp line edges); and, with the addition of 1% Si, had good material properties for integrating with other materials in devices. However, Al has higher resistivity than other metals. As feature sizes decreased, the resistivity of Al could no longer be tolerated and was replaced by Cu for interconnects. For compatibility, the barrier layer material (between the metal and insulating materials) was also switched from titanium nitride (TiN) to tantalum nitride (TaN). During the development and integration of these new materials, AES/SAM analysis was used extensively. The ability of Si to grow a conformal thin, high quality oxide was one of the original reasons for its use. But this advantage cannot be further exploited. Gate oxides are scaling to a thickness that causes the interfacial suboxide layers to contribute to a significant fraction of the total film thickness45 so producing a layer that does not behave like SiO2. Furthermore, the physical thickness of the film allows for excessive electron tunneling into the substrate. To continue the miniaturisation of Si devices, the SiO2 in the gate oxide will need to be replaced by a dielectric layer with a higher k value, that can more easily be penetrated by electric fields and that can provide the same capacitive properties as very thin SiO2 but at a greater physical thickness. This will also allow transistors to turn on and off more quickly. Gate oxides are currently nitrided to boost their k value and allow for greater physical thicknesses. The search for a longer-term replacement for silicon dioxide faces some serious roadblocks. The high-k oxides, such as hafnium oxide and zirconium oxide, tend to have crystalline forms, causing issues with film uniformity. Grain boundaries are also
336
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
potential leakage sites. Moreover, setting the threshold voltage on highk gate dielectrics is problematic. Polysilicon gate electrodes are largely incompatible with several potential high-k gate oxide materials. This will force a dual switch, simultaneously replacing polysilicon at the gate electrode and SiO2 as gate oxide. On the other hand, this opens the possibility of using different metals for gate electrodes on nMOS and pMOS devices to better match the electrode workfunction with the specific device. Silicon dioxide is being replaced in the interconnect as well. Here the replacement materials need to have lower k values than SiO2 to reduce cross-talk and allow lower power operation in smaller devices. Many of the original reasons for using Si are no longer valid. Even the Si substrate is destined for modification. A switch to SOI substrates promises performance improvements equivalent to those associated with a change of one technology node (next smaller device feature size) but without reducing feature sizes. SOI wafers have a thin layer of device quality crystalline Si separated from the bulk of the substrate by a thin oxide layer. Another promising potential substrate is strained Si, which has shown greatly enhanced carrier mobility46. The Si crystal lattice is strained by alternating layers that incorporate larger Ge ions. The lattice strain reduces phonon interactions that can slow electron flow. Using SOI wafers to manufacture multi-gated field effect transistors (MuGFETS) is also being explored. In MuGFET devices, the gate and gate oxide wrap around the channel region creating a much larger active channel and allowing greater drain current per channel area. Such nonclassical device structures appear to be the way of the future. To keep up with Moore’s law, feature sizes must continue to shrink despite the physical limitations of Si and SiO2. This can be accomplished with the addition of new materials. Eventually a switch to new device geometries will skirt other physical limitations. While these changes pose significant challenges to semiconductor device manufacturers, many mature analytical techniques, such as AES/SAM, can help provide an understanding of the materials and processing issues. These research and development applications are in full swing and provide numerous interesting challenges for AES/SAM analysis.
9.10 ROLE OF AES/AEM IN SEMICONDUCTOR MANUFACTURING Several analytical techniques used in semiconductor manufacturing enjoy well defined niches. TXRF and vapor phase desorption inductively
SCANNING AUGER ELECTRON MICROSCOPY
337
coupled plasma mass spectrometry (VPD ICP-MS) are used to monitor for low-level metallic surface impurities. Most manufacturing facilities use one or both of these techniques for routine monitoring. Fast optical techniques are used at several junctures in all modern manufacturing lines to ensure that the process is within specification. Ellipsometers measure insulator film thickness. Light-scattering detects submicron particles. Sheet resistance is used to monitor the thickness of metallic films. Off-line SIMS can be found in almost all manufacturing facilities to measure dopant concentrations and distributions and to monitor mobile ion contamination (Na, K). Lithography critical dimensions (CDs) are regularly monitored in-line by nondestructive top-down SEMs. High resolution SEMs and increasingly TEM cross-sections measure feature sizes and form off-line. While AES/SAM enjoys an exciting role in research and development for the semiconductor industry, it has not yet established itself in a core application for semiconductor manufacturing. As feature sizes continue to shrink, the need for small volume analysis capabilities becomes more critical. AES/SAM seems poised to take on a bigger role in support of manufacturing. Full-wafer AES/SAM technology is clearly mature; third generation defect review equipment has been on the market and is particularly well suited to solving today’s semiconductor defect analysis problems. However these tools need to be made available with a higher level of automation (e.g. recipe driven software). AES /SAM can meet the industry’s technical needs for small volume analysis but not its expectations for speed and throughput. The use of new methods that offer increased speed in the future is discussed in Chapter 10. Likely semiconductor manufacturers will be driven by the need for small volume analysis as a compromise for their expectations for speed and throughput. AES/SAM instrument vendors need to work to provide more automated software to operate the tools and interpret the data. This will reduce the tools’ functionality, making them less appealing to experienced AES/SAM analysts. To meet the needs of semiconductor manufacturing AES/SAM instruments will need recipe-driven software that incorporates some logical functions to model an experienced analyst. The specific applications for AES/SAM have developed with the industry and are likely to continue to change over the next few years, but the bottom line will remain the same – AES and SAM are valuable tools for the semiconductor industry. They combine small volume analysis with the ability to identify a wide range of elemental species. They give quantitative information or, in the absence of standards, semiquantitative information. SAM additionally can pinpoint the location of
338
APPLICATIONS: SEMICONDUCTOR MANUFACTURING
various materials in high-resolution element maps. With the introduction of new materials and processes, there promises to be a lot of exciting AES/SAM work in support of their research and development. There is also great potential for AES/SAM to assume a more prominent role in routine use in defect analysis.
REFERENCES 1. H. R. Huff and P. M. Zeitzoff, in Characterization and Metrology for ULSI Technology, edited by D. G. Seiler, A. C. Diebold, T. J. Shaffner, R. McDonald, S. Zollner, R. P. Khosla, E. M. Secula and N. Y. Melvin (American Institute of Physics, Woodbury, NY, 2003), Vol. 1, p. 107. 2. K. Bernstein and N. J. Rohrer, SOI Circuit Design Concepts (Kluwer Academic Publishers, Boston, 2000). 3. J. P. Colinge, Silicon on Insulator Technology: Materials to VLSI (Kluwer Academic Publishers, Boston, 2004). 4. J. Bardeen and W. H. Brattain, Physical Review 74, 230 (1948). 5. W. H. Brattain and J. Bardeen, Physical Review 74, 231 (1948). 6. I. Ross, Proceedings of the IEEE 86, 7 (1998). 7. H. R. Huff, in Conference on Characterization and Metrology for ULSI Technology, edited by D. G. Seiler, A. C. Diebold, T. J. Shaffner, R. McDonald, S. Zollner, R. P. Khosla, E. M. Secula and N. Y. Melvin (American Institute of Physics, Woodbury, NY, 2003), Vol. 1, p. 3. 8. H. R. Huff, Journal of the Electrochemical Society 149 (2002). 9. ITRS (Semiconductor Industry Association, 2004). 10. G. E. Moore, (Fairchild Semiconductors Inc., 1964). 11. G. E. Moore, Electronics 38, 114 (1965). 12. G. E. Moore, International Electron Devices Meeting, 11–13 (1975). 13. G. E. Moore, International Society for Optical Engineering 2438, 2 (1995). 14. M. M. El-Gomati, M. Prutton, B. Lamb and C. G. Tuppen, Surface and Interface Analysis 11, 251 (1988). 15. R. Shimizu, T. E. Everhart, N. C. MacDonald and C. T. Hovland, Applied Physics Letters 33b, 549 (1978). 16. T. T. Nguyen, C. F. Hoener, S. J. Kirk and C. Y. Tse, International IEEE VLSI Multilevel Interconnect Conference (VMIC) (1994), p. 353. 17. W. Pamler and A. Kohlhase, Surface and Interface Analysis 14, 289 (1989). 18. W. Pamler and J. Mathuni, Journal of Vacuum Science and Technology A 12, 12 (1994). 19. C. F. Hoener, B. Shaver and T. Nuygen, Surface and Interface Analysis 23, 83 (1995). 20. C. F. Hoener, E. Pylant, E. G. Boden and S.-Q. Wang, Journal of Vacuum Science and Technology B 12, 1394 (1994). 21. K. F. Brennan, Introduction To Semiconductor Devices (Cambridge University Press, Cambridge, 2005). 22. S. Dimitrijev, Understanding Semiconductor Devices (Oxford University Press, New York, 2000).
SCANNING AUGER ELECTRON MICROSCOPY
339
23. R. F. Pierret, Semiconductor Device Fundamentals (Addison-Wesley Publishing, Prentice Hall, New York, 1995). 24. G. May and S. Sze, Fundamentals of Semiconductor Fabrication (John Wiley & Sons, Ltd, New York, 2004). 25. S. Wolf, Microchip Manufacturing (Lattice Press, Sunset Beach, CA, 2004). 26. P. V. Zant, Microchip Fabrication: A Practical Guide to Semiconductor Processing (McGraw-Hill, New York, 2004). 27. S. S. Chao, J. E. Tyler, Y. Takagi, P. G. Pai, G. Lucovsky, S. Y. Lin, C. K. Wong and M. J. Mantini, Journal of Vacuum Science and Technology A - Vacuum Surfaces and Films 4, 1574 (1986). 28. S. S. Chao, Y. Takagi, G. Lucovsky, R. C. Custer, J. E. Tyler and J. E. Keem, Applied Surface Science 26, 575 (1986). 29. J. S. Johannessen, W. E. Spicer and Y. Strausser, Journal of Applied Physics 47, 3028 (1976). 30. G. Lucovsky, S. Y. Lin, P. D. Richards, S. S. Chao, Y. Takagi, P. Pai, J. E. Tyler and J. E. Keem, Journal of Non-Crystalline Solids 75, 429 (1985). 31. C. M. Grundner and H. Jacob, Applied Physics A39, 73 (1986). 32. C. R. Helms, N. M. Johnson, S. A. Schwarz and W. E. Spicer, Journal of Applied Physics 50, 7007 (1979). 33. E. D. Pylant, C. F. Hoener, M. F. Arendt and B. Witkowski, Materials Research Society Symposium Proceedings 318, 99 (1993). 34. F. Iacona, R. Kelly and G. Marletts, Journal of Vacuum Science and Technology A Vacuum Surfaces and Films 17, 2771 (1999). 35. J. M. Sanz, Vacuum 37, 445 (1987). 36. T. Albers, M. Neumann, D. Lipinsky, L. Wiedmann and A. Benninghoven, Surface and Interface Analysis 22, 9 (1994). 37. B. R. Rogers, Surface and Interface Analysis 18, 173 (1992). 38. S.-Q. Wang, I. Raaijmakers, B. J. Burrow, S. Suthar, S. Recar and K.-B. Kim, Journal of Applied Physics 68, 5176 (1990). 39. H. Bender, W. D. Chen, J. Portillo, L. v. d. Hove and W. Vandervorst, Applied Surface Science 38, 37 (1989). 40. K. Maex, R. Baklanov, D. Shamiryan, F. Iacopi, S. H. Brongersma and Z. S. Yanovitskaya, Journal of Applied Physics 93, 8793 (2003). 41. I. Iacopi, Y. Travaly, M. Stucchi, H. Struyf, S. Peeters, R. Jonckheere, L. H. A. Leunissen, Z. Tokei, V. Sutcliffe, O. Richard, M. Van Hove and K. Maex, in Materials Research Symposium – Technology and Reliability for Advanced Interconnects and Low-k Dielectrics (Materials Research Society, 2004), p. 812. 42. R. Weiland, W. Tittes and J. Jackman, in Micro Magazine, 57 (2001). 43. A. Campbell and J. Soden, (ASM International, 1999), p. 161. 44. C. F. H. Gondran, D. F. Paul, K. D. Childs, L. G. Dennig and G. C. Smith, in SPIE, edited by K. W. Tobin and I. Emami (2003), Vol. 5041, p. 142. 45. D. A. Muller, T. Sorsch, S. Moccio, F. H. Baumann, K. Evans-Lutterodt and G. Timp, Nature 416, 826 (2002). 46. K. Rim, J. L. Hoyt and J. F. Gibbons, IEEE Transactions on Electron Devices 47, 1406 (2000).
10 Concluding Remarks M. M. El Gomati and M. Prutton
10.1 INTRODUCTION Most of the material in this book has been devoted to descriptions of the Auger process and how it can be used in a UHV scanning electron microscope to study the distribution of elements in the surface region of a solid. Much space has been given to the means by which sets of maps can be obtained that reveal quantitatively the concentration distributions of these elements. The application of this microscopy to both materials science and semiconductor device characterisation has been described by Bob Wild and Carolyn Gondran in Chapters 8 and 9, respectively. It has been successful in obtaining understanding of many processes that occur at surfaces and interfaces that would otherwise have been only the subject of theoretical prediction or even speculation. In this chapter the advantages and disadvantages of the methodology of SAM will be discussed and an attempt will be made to predict some of the ways in which it could be developed to become even more powerful. The advantages of SAM will be detailed so as to emphasise some of the results seen in Chapters 8 and 9. Subsequently the disadvantages and development potential of SAM will be described by addressing questions concerning the speed of data acquisition, the spatial resolution and the energy resolution. Finally, the possibility of exploiting faster and more powerful desktop computers in order to help design useful experimental methods with the application of Monte Carlo simulation of Auger electron generation and escape from complex, rough, surfaces – a sort of computer aided design for SAM (CADSAM) – will be outlined. Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
342
CONCLUDING REMARKS
10.2 WHAT ARE THE ADVANTAGES OF AUGER IMAGING COMBINED WITH SPECTROSCOPY? The main advantages of AES and SAM are the sensitivity of these methods to a small number of atomic layers near to and at the surface, their ability to identify the atoms in small regions on the surface and the possibility of extending them to quantitative surface chemical analysis. This combination of properties with high spatial resolution means that SAM is a powerful analytical method. As emphasised in Chapter 1, chemically specific imaging of a surface is particularly useful for the detection and identification of the elements in an unusual or unexpected region. This was pointed out by Browning et al.1 who compared the information obtainable using spectroscopy at fixed points or in small regions, line scanning across a feature on a surface and two-dimensional imaging of a small area on the surface. Even sampling a surface with a coarse sequential or randomly scanned finely focused probe can yield a rapid survey of a region that can have important information about the character of the surface in each pixel of the image. Subsequent and more finely graded scans of the region surrounding a particularly interesting survey pixel can then provide analytical images of the detailed properties of that region of the surface – albeit at a slower speed. Browning2 has extended this approach to SAM and EDX images with considerable success. The value of analytical images has been stressed throughout this book and the spatial resolution obtainable in Auger images has been extended down to 5 nm by Hembree et al.3 by using a modified scanning transmission electron microscope as indicated in Chapter 4. Most SAM manufacturers offer instruments capable of sub-10 nm resolution. Estimation of the surface composition of a solid has reached a mature stage of development using Auger electron spectroscopy and this methodology has been extended to SAM by combining the information obtained in a set of spatially registered Auger images, one for each element present in the region of interest. These advantages suggest that SAM should have become a standard technique in many laboratories studying materials science. Hardware and software tools as well as complete instruments are all available from various sources and the spatial distribution of the elements in the surface can be determined down to regions as small as about 5 nm. The physics of the electron scattering processes are now as well understood as the physics of the EDX method and comparable accuracies in the determination of the local composition are possible. However, SAM has not
SCANNING AUGER ELECTRON MICROSCOPY
343
become such a widespread technique in spite of the value of the information that it can deliver in many fields of materials science. The disadvantages of the current SAM methodologies are outlined below in order to examine this lack of general acceptance.
10.3 WHAT ARE THE DISADVANTAGES OF AUGER IMAGING? As seen in Chapter 9 one important application of SAM is in the analysis of surfaces and interfaces in semiconductor device structures both during the fabrication process and post hoc. As the road map for the development of this industry demands smaller structures with increasing ratios of the surface area to the volume of the structures required so the relative importance of the properties of surfaces and interfaces becomes significant. This is the more so because of the increasingly complex structure of the materials used to satisfy the requirements of the correct semiconducting properties for the specified devices and the sometimes conflicting requirements of adherence, electrical properties and thickness of the layer structures employed at active device areas and at electrical contacts. Advanced techniques such as SAM and SIMS are used in this industry but they do not occupy situations in the fabrication line because they are both too slow in data acquisition and they require a very high level of analytical expertise in order to interpret the data so obtained. In addition, SIMS is an intrinsically destructive technique and is therefore not used in on-line characterisation. Therefore their use tends to be off-line for sampling various stages in the production process, for trouble shooting and for development of new device structures. The various properties of SAM that might be improved to the point at which it could be introduced as an in-line tool for quality assessment and control are discussed briefly below.
10.3.1
What can be Done About the Speed?
In an industrial application of SAM the issue of the speed of analysis is probably the most important. A desirable objective might be to improve the speed of sample introduction, sample location, data acquisition and analysis and then sample removal to a similar level to that possible with SEM.
344
CONCLUDING REMARKS
SEM instruments can be equipped with sample introduction/removal mechanisms that operate in the order of a few minutes and SEM image acquisition in times of the order of a few seconds. Thus, the turn-round time for an SEM inspection is of the order of a few minutes. Given that samples can be inserted on a carrousel mechanism carrying as many as 10 or so samples, the inspection time can be reduced to tens of seconds per sample. SAM instruments, on the other hand, require sample introduction/ removal from atmospheric pressure to UHV followed perhaps by a light clean to remove contaminants derived from the air before proceeding to sample location in the analysis position and starting a data acquisition procedure. This stage may take as much as a few tens of minutes. Then the data acquisition itself can proceed. Because the Auger electron yield from the sample is low compared with the secondary electron yield and because the energy analyser collects a smaller fraction of the emitted electrons than a secondary electron detector then the total time for Auger data acquisition, for spectra or images, is significantly longer than it is for SEM. Typical turn-round times in a research environment where ‘rapid’ sample appraisal is needed are unlikely to be shorter than about an hour. The results then have to be interpreted to allow for topographical effects (such as those that occur at the sharp edges of semiconducting device structures) and possible contrast variations due to sub-surface composition changes beneath a homogeneous surface film. The measurement issues may be resolved by improvements to the hardware of the microscope and the interpretive issues may be assisted with the use of appropriately improved computer software. The hardware issues can be addressed on three fronts. The counts of electrons reaching the detector can be increased by increasing the beam current and increasing the solid angle of collection of the energy analyser. The data acquisition times may be improved by these increases because a given signal to noise ratio will be realised in a shorter time due to the increased electron count rates at the electron detector. The third front is to move from energy analysers that collect the Auger electrons with one small range of kinetic energies at a time to multi-channel detection where many different energies or an entire spectrum are collected simultaneously. The speed gain here is by a factor equal to the number of Auger peak energies that can be collected simultaneously. The principal disadvantage of increasing the beam current is the consequent increase in the electron beam induced damage to the sample. Additionally, if the source brightness is increased or a greater fraction of the electrons leaving the source are focused into the probe then the
SCANNING AUGER ELECTRON MICROSCOPY
345
probe size will be increased due to spherical and chromatic aberrations and the Boersch effect. It may be possible to increase the solid angle subtended by the electron energy analyser at the sample surface and accepted for analysis. An indication of what might be gained here is demonstrated by the photoelectron microscope described by Drummond4, where a combination of magnetic and electrostatic fields are used to collect about 30 % of the electrons leaving the sample and present them for analysis. This analyser has not been developed for Auger microscopy using incident electrons because of the difficulty of scanning a focused electron beam across a sample surface when the beam has to pass obliquely through a monopole magnetic field in its passage from the column to the sample surface. If this technical problem can be solved the gain in speed of data acquisition would be an order of magnitude. The possibility of collecting an entire spectrum in each pixel by simultaneous analysis and detection of a large energy range has been explored by Jacka et al.5. This approach leads to the possibility of collecting electrons at each of the Auger energies found in the spectrum and so to parallel image acquisition. The speed gain that can be obtained will be equal to the number of different Auger images that need to be collected in order to characterise the region of interest on the sample. This approach requires further development of the hardware as well as the development of software for the acquisition, display and analysis of large multi-spectral data sets but it does offer much promise. Development of this analyser has been reported by Cubric. Fast data acquisition would be welcome in a number of industries and research activities. For example, quality control in the semiconductor fabrication industry is needed but has quite severe requirements. These include beam currents of the order of 100 pA, analysis to be carried out within an SEM-like environment and a throughput of several wafers per hour, each wafer being measured on a number of test pads. This is demanding but possible. Such development would benefit other applications currently not being explored – for example, biological studies.
10.3.2
Is Better Spatial Resolution Needed?
As demonstrated in Chapter 9 the decreasing size of the structures fabricated in semiconducting devices places increasing demands for improved spatial resolution in SAM. Current SAMs have characteristic probe sizes of the order of 5–10 nm and so can be used to analyse small
346
CONCLUDING REMARKS
features in the devices. As device structures are developed on even smaller scales then better spatial resolutions will be needed. Cazaux and Colliex6 have predicted that detection and identification of a single atom on a surface may be possible for some combinations of adatom and substrate and Hembree et al.3 have demonstrated the use of a special STEM based instrument yielding 5 nm edge resolution for silver islands on a silicon substrate (see Chapter 4). The principal difficulties in analysing such small particles are associated with the volume of information carried by the Auger electrons leaving the sample and with the scattering effects (Chapter 4) that occur at sharp discontinuities at the edges of the particle and at phase boundaries within the particle. The area of the surface probed by a focused electron beam has been discussed by Powell7, who used an analytical scattering model described by Cazaux8 to show how the area sampled for analysis depends upon the Auger backscattering factor. When analysing a surface feature that is smaller than the radial distribution of back-scattered electrons then the spectrum observed contains Auger peaks due to both the elements in the feature and the elements in the underlying and surrounding material as demonstrated in Chapter 5. This conclusion is consistent with measurements and modeling of the much smaller edge resolution obtainable when line-scanning or imaging using a particular Auger peak and a small diameter electron probe because these energy analysed cases include no observations of the peak sizes from other elements. However, in spite of the edge resolution in an Auger image being largely determined by the probe size, the larger area determined by the backscattering distribution causes complexity in the interpretation of the composition of a small feature on the surface. One approach that may help to reduce this complexity and enable quantitative analysis of small particles involves the modeling of scattering processes using Monte Carlo methods. This is briefly discussed below. It seems to be a general principle that, as the need arises for analysis of smaller and smaller regions the information density necessarily becomes higher, data acquisition times rise and more sophisticated software tools become essential. These effects can be seen in PEELS, SIMS and high resolution TEM as well as in SAM.
10.3.3
Is Better Energy Resolution Needed?
The energy resolution of the analyser used in SAM is usually designed to correspond to the width of the Auger peak being measured. Since this
SCANNING AUGER ELECTRON MICROSCOPY
347
width is usually quite large (of the order of 10 eV or more) and does not vary very much over the various elements that can be observed this choice helps to maximise the signal that can be measured at the output of the analyser. However, this choice also results in relatively poor energy resolution for the observation of details in electron spectra and thus it is not common practice to be able to observe small chemical shifts. This can be overcome by using adjustable slit widths in the energy analyser and closing down this width when it is essential to improve the energy resolution. This results in a decrease in the solid angle of acceptance of the analyser and so an increased data acquisition time in order to maintain a given signal to noise ratio. The need for higher energy resolution does not occur in many situations encountered in SAMs. An example of when it does become important is in distinguishing between the Auger peaks of Ti and TiN described in Chapter 9.
10.3.4
Is Combination With Other Methods Useful?
The MULSAM instrument has demonstrated the power of combining several techniques in the same equipment as well as the ability to use their signals simultaneously. This suggests that other combinations of techniques may also be powerful. For example, the study of nanostructures can be enhanced by combining SAM with scanning probe microscopy (SPM)[1] and the study of dopants in semiconductors can be performed with low voltage SEM combined with SAM9.
10.3.5
Is Image Simulation a Useful Tool?
As described in Chapter 4, Monte Carlo modeling has been very useful to help understand both the spatial resolution and the various edge effects that can occur in forming an Auger image of a heterogeneous sample. The speed and power of modern desktop computers has made possible the fast modeling of electron scattering processes from complex surface features and so offers the possibility that the analyst could model the results expected from an AES or SAM measurement and compare them with actual observations. Even better, it may be possible to decide from prior modeling how to choose the best experimental conditions to observe a particular feature or effect. Such choices may involve, for [1]
Omicron Nano Technology GmbH, Lumburger Str. 75, 65232 Taunusstein, Germany.
348
CONCLUDING REMARKS
instance, the beam energy or the orientation of the sample with respect to the beam and the analyser. Yan et al.10 have described a preliminary approach to this computer aided Auger imaging in a way that allows specification of the incident electron beam, a three-dimensional sample structure and the energy analysis parameters. As demonstrated by those authors, the simulations of realistic samples took several hours which is inconveniently slow. However, the approach is capable of several modifications to speed it up. The most obvious method for speeding up simulations is the use of faster computers, possibly with dual processors. The Monte Carlo algorithm itself can be accelerated by adapting the method of ‘reverse’ Monte Carlo described by Cumpson11 in which the Auger electrons are simulated starting with the analyser/detector assembly and traced back to the incident electron beam. Cumpson reports that speed gains of the order of 500 can be obtained by this means. Further improvements may be achieved by exploiting the fact that a whole class of different surface structures may be present on the same substrate structure – as with a wide variety of electronic microstructures fabricated on a silicon substrate. One high precision simulation can be carried out for the substrate and the trajectories of electrons leaving it can then be traced through the surface structure being modeled. By these means it should be possible to reduce the computational times for the methods of Yan et al. to a few seconds. Once a library of simulations has been acquired then it may be possible to predict image contrast (and sample composition distributions) for a given postulated structure by using parameters derived from the Monte Carlo results to interpolate from the experimental results using simple analytical approximations. For example, a combination of forward and reverse Monte Carlo algorithms could be used whereby a surface region is dealt with using a reverse Monte Carlo code and the substrate effects are handled with a forward code. For the incorporation of SAM instrumentation into on-line analysis of semiconductor fabrication processes improvements need to be made because of the high level of skill required to operate current SAM instruments. As shown in the preceding chapters, the physical principles underpinning both AES and SAM are now well understood and the desirable improvements in hardware are now possible. Therefore, it should be fruitful to explore the possibilities of using neural nets and intelligent software (usually referred to as expert systems) to assist the analyst with optimisation of the measurement conditions for a particular type of structure in the sample and for the accumulation of experience in the interpretation and understanding of previous results.
SCANNING AUGER ELECTRON MICROSCOPY
349
REFERENCES 1. R. Browning, D. C. Peacock, M. Prutton and C. G. Walker, in EMAG 83 (Institute of Physics, Bristol, 1984), Vol. 68, p. 127. 2. R. Browning, Surface and Interface Analysis 20, 495 (1993). 3. G. Hembree, F. C. H. Luo and J. A. Venables, in XIIth International Conference for Electron Microscopy (San Francisco Press, San Francisco, 1990), Vol. 2, p. 382. 4. I. W. Drummond, in Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, edited by D. Briggs and J. T. Grant (IM Publications, Chichester, 2003), Vol. 1, p. 117. 5. M. Jacka, M. Kirk, M. M. El Gomati and M. Prutton, Review of Scientific Instruments 70, 2282 (1999). 5b. D. Cubric, S. Kumashiro, A. Walker and A. Kale, proceedings International Congress on Electron Microscopy 15, 3, 351 Durban 2002. 6. J. Cazaux and C. Colliex, Journal of Electron Spectroscopy and Related Phenomena 52, 837 (1990). 7. C. J. Powell, Applied Surface Science 230, 327 (2004). 8. J. Cazaux, Surface Science 125, 335 (1983). 9. M. M. El Gomati, F. Zaggout, H. Jayacody, S. P. Tear and K. Wilson, Surface and Interface Analysis (2005). 10. H. Yan, M. M. El Gomati, M. Prutton, D. K. Wilkinson, D. P. Chu and M. G. Dowsett, Scanning 20, 465 (1998). 11. P. J. Cumpson, Surface and Interface Analysis 20, 727 (1993).
Author Index Adachi H., 122 Ahmed H., 122 Ahmed W., 293 Ahn C. C., 244 Albers T., 339 Ali N., 293 Allen G. C., 292, 293 Andersen H. H., 197 Andrews P. T., 42 Anthony M. T., 124 Arendt M. F., 339 Arslan I., 120 Arthur J. R., 198 Artyushkova K., 243 Ashfold M. R. N., 293 Auger P., 13 Augustus P. D., 197 Autrata R., 258 Baker M. A., 293 Baker M. D., 41 Baklanov R., 339 Bales M., 14, 123 Balluffi R. W., 293 Baraldi C., 43 Bardeen J., 338 Barkshire I. R., 121, 124, 197, 198, 199, 242, 243, 244, 257, 258 Bassett P. J., 14, 42, 122 Bauer E., 121, 292 Baumann F. H., 339 Bay H. L., 197 Bean K. E., 242 Bearden J. A., 163 Bell A. E., 122 Bender H., 339 Benninghoven A., 339
Bergmark T., 13, 123 Bernstein K., 338 Bertein F., 123 Bethe H., 43, 162 Bevolo A. J., 120 Bishop, H. E., 13, 43, 162, 199, 243, 257, 294 Blauth E., 123 Boden E. G., 338 Boersch H., 121 Bohmig S. D., 244 Bohn G. K., 123 Bolen R. B., 162 Bonnet N., 121, 242, 244 Boyde A., 121 Brandis E. K., 13, 162 Brattain W. H., 338 Brennan K. F., 338 Brereton R. G., 242 Briant C. L., 213 Briggs, D., 13, 41, 42, 120, 197, 198 Bright D. S., 121, 242, 243 Brodie I., 122 Brongersma S. H., 339 Brores A. N., 122 Brown I. K., 197 Brown I. T., 292, 293 Brown L. M., 120 Browning N. D., 120 Browning R., 14, 121, 122, 123, 161, 162, 241, 243, 244, 349 Bruemmer S. M., 293 Brunauer S., 213 Brunger R., 199 Bulloch J., 213 Bullock E., 123 Burdon R., 198, 244
Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
352 Burhop E. H. S., 13 Burr A. F., 163 Burrow B. J., 339 Cabela T., 43 Campbell A., 339 Carlson B. A., 13, 257 Carpenter L., 243 Carvalho V. E. d., 198 Casnati E., 43 Castle J. E., 214, 244, 293 Cazaux J., 43, 162, 163, 349 Chakravorty G., 243 Chambers A., 13, 121 Chan S. W., 213 Chang T. H. P., 123 Chao S. S., 339 Charasse M. N., 163 Charbonnier F., 122 Chazelas J., 163 Chen W. D., 339 Chino S., 13, 257, 339 Chorkendorff I., 243 Chornic B., 43, 199 Christie A., 41 Christou A., 14, 162 Chu D. P., 162, 349 Cini M., 42 Cleaver J. R., 122 Coad J. P., 294 Colinge J. P., 338 Colliex C., 121, 349 Collins R., 120 Cowham M. J., 124, 198 Cox M. G., 162 Coxon P., 123 Crewe A. V., 122 Crocker A. G., 293 Crone M., 243 Crouser L. C., 122 Cumming A. D., 122 Cumpson P. J., 43, 44, 162, 243, 349 Cumpson P., 43 Curgenven L., 162 Curtis C. C., 124 Custer R. C., 339 Cutmore N., 198, 244 Cutrona J., 244 Czanderna A. W., 121, 197 Czyewski Z., 44 Czyzewski Z., 162
AUTHOR INDEX D’Souza A. S., 197 Dalins J., 292 Davies G. J., 163 Day J. C. C., 294 Dee, J. C., 124, 198, 258 Delchar T. A., 13 Dench W. A., 124, 198, 258 Dennig L. G., 339 DeVore W., 123 Dimitrijev S., 338 Doern F. E., 199 Dolan W. W., 122 Dowsett M. G., 120, 162, 349 Doyle W. D., 198 Drebin R. A., 243 Drummond I. W., 124, 349 Duden T., 121 Dudgeon D. E., 242 Duff T., 243 Duke C. B., 13 Duncomb P., 162 Dwyer V. M., 43 Dyke W. P., 122 Eagen C. F., 123 Einstein P. A., 121 Ekelund S., 292 El-Gomati M. M., 336 El-Kareh A. B., 122 El-Kareh J. C., 122 Elbakush T., 123 Emmett P. H., 293 English C. A., 293 Erikson N. E., 123 Espen P. V., 244 Evans-Lutterodt K., 339 Everhart T. E., 124, 163, 338 Fahlman A., 13, 123 Fan Q. H., 293 Farrell H. H., 199 Fathers D. J., 124 Faulkner R. G., 293 Ferguson I. F., 14 Fisher D. G., 197 Fitch R. K., 121 Flannery B. P., 244 Fletcher I. W., 244, 257 Flewiit P. E. J., 198, 293 Forina M., 242 Fowler R. H., 122 Frank L., 123, 243 Fuggle J. C., 198
AUTHOR INDEX Fujimura K., 244 Fujimura S., 243 Fujita D., 44 Fukuhara S., 162 Fulghum J. E., 243 Garcia N., 124 Gaarenstroom S. W., 244 Gallon T. E., 42, 198 Geladi P., 244 Geller J. D., 13, 257 Gelsthorpe A., 123 Gergely G., 43 Gerlach R. L., 162 Gibbons J. F., 339 Gilmore I. S., 43, 124, 243 Gilmore J. S., 43 Golay M. J. E., 243 Gomati M. M. El., 14, 44, 121, 122, 123, 124, 162, 163, 198, 199, 242, 243, 244 Gondran C. F. H., 339 Gonzalez R. C., 121, 242 Good R. H., 122 Gracio J., 293 Gramari D., 43 Grant J. T., 13, 41, 243 Green M., 162 Greenwood J. C., 42, 121, 124, 198, 199, 243, 244, 258 Gressus C. L., 14 Gressus C. Le., 43, 199 Griffiths B. W., 13, 14 Grivet P., 122 Grundner C. M., 339 Gryzinski M., 163 Gun A., 293 Guttmann M., 293 Hadley M. J., 244 Hafner H., 123 Haigh S., 243 Haine M. E., 121, 123 Haken H., 42 Hales R., 292 Hall D. D., 197 Hall E. L., 242 Hall H. E., 293 Halliday B. S., 121 Hamrin K., 123 Hanaizumi H., 243 Hanrahan P., 243 Hansen H. S., 198
353 Harland C. J., 14, 124, 199, 243 Harris L. A., 13, 123, 198 Hashimoto H., 122, 162 Hawes R. M. H., 294 Hawkes P. W., 121 Heard P. J., 294 Heddle D. W. O., 123 Hedman J., 123 Heinrich K. F. J., 120 Helms C. R., 339 Hembree G. G., 124, 163 Hembree G., 124, 349 Herbin M., 242, 244 Higginbotham I. G., 198 Hill A. C., 292 Hill C. J., 197 Hirata K., 257 Hirtz J. P., 163 Hobson J. P., 13, 121 Hoener C. F., 338, 339 Hofer F., 244 Hofmann S., 293 Hondros E. D., 293 Horgan A. M., 292 Hove L. v. d., 339 Hove M. Van., 339 Hovland C. T., 162, 163, 338 Hoyer A., 199 Hoyt J. L., 339 Hsieh K. C., 124 Hsu Y., 123 Hudson J. B., 121 Huff H. R., 338 Humpherson M., 123 Humphrey K., 122 Hunt C. P., 124 Iacona F., 339 Iacopi F., 339 Iacopi I., 339 Ichimura S., 258 Innes P. D., 13 Ishida T., 162 Jablonski A., 43, 44, 162, 163 Jacka M., 124, 242, 349 Jackman J., 339 Jackson A. R., 44 Jacob H., 339 Janik-Czachor M., 293 Janssen A. P., 14, 163, 199, 243 Janssens G., 244 Jansson C., 198
354 Jayacody H., 349 Jeanguillaume C., 121 Johannessen J. S., 197, 339 Johansson G., 123 Johnson N. M., 339 Jonckheere R., 339 Jones A. V., 13 Jones G., 198 Jones M. E., 124 Joshi A., 42 Joy D. C., 162 Joy D., 162 Joyce B. A., 14 Kapvil J., 258 Karlson S., 123 Kasper E., 121 Kataoka Y., 122 Katraoka Y., 122 Kawai S., 122 Kawamura H., 243 Ke R., 293 Keem J. E., 339 Keeney R. B., 242 Keenlyside M., 121 Kelly R., 339 Kenny P. G., 121, 124, 198, 199, 243, 244, 257, 258 Kim K. B., 339 Kimoto S., 124 King P. L., 121, 244 Kinniburgh C. G., 198 Kirk M., 124, 242, 349 Kirk S. J., 338 Kirschner J., 162 Knapp G., 121 Koch T. L., 124 Koenig M. F., 243 Kohlhase A., 338 Kohonen T., 242 Kornelsen E. V., 13, 121 Kosarev E. L., 243 Kosmachev O. S., 123 Koursunski M. I., 123 Kovar L., 199 Krefting E. R., 162 Krivanek O. L., 242 Krivanek O., 120 Krizek J., 123 Kruger J., 293 Kruit P., 124 Krzanowski W. J., 244 Kudoh J., 243
AUTHOR INDEX Kudoh M., 13, 257 Kuo M. T., 293 Kuyatt C. E., 123 Lafferty J. M., 121 Lamb B., 122, 123, 163, 199, 338 Lander J. J., 13 Larson L. A., 199, 242 LaVanier L. A., 13, 257 Lea C., 293 Lee B. J., 198 Lee K. Y., 123 Legg K. O., 198 Lejcek P., 293 Leunissen L. H. A., 339 Levoy M., 243 Leygraf C., 292 Li T. Z., 162 Lifshin E., 121, 162 Lim C. S., 257 Lin S. Y., 339 Lindau I., 121 Lindberg B., 13, 123 Lindfors P. A., 197 Lindgren I., 13, 123 Lipinsky D., 339 Liu Z., 124 Lotz M., 163 Love G., 162 Lowe B., 124 Lucovsky G., 339 Luo F. C. H., 124, 349 MacDonald N. C., 13, 121, 162, 163, 198, 257, 338 Madey T. E., 121, 197 Maex K., 339 Malinowski E. R., 242 Mankos M., 123 Mantini M. J., 339 Marcus P., 293 Marinenko R. B., 121, 243 Marletts G., 339 Marmy P., 213 Martin N. A., 122 Massignon D., 14 Mathieu H. J., 41 Mathuni J., 339 Matthew J. A. D., 42, 43, 44, 120, 199, 243 May G., 338 May P. W., 293 Mayol R., 43
AUTHOR INDEX McDonnell L., 199 McIntyre N. S., 199 McLean D., 293 Meitner L., 13 Melhorn W., 123 Meloun M., 242 Mersereau R. M., 242 Militky J., 242 Moccio S., 339 Moeck P., 120 Mogami A., 13, 162, 257 Moik J. G., 121, 242 Mondel A. Le., 43, 199 Moore G. E., 338 Moorhead D., 14, 123 Moretti G., 42 Moskovic R., 198, 293 Moulder J. F., 13, 257 Mountain D. L., 121, 243 Moutou S., 43 Muller D. A., 339 Muller E., 122 Muller J. W., 198 Mullerova I., 123 Mulvey T., 124 Murata K., 162 Murphy S. M., 213 Murray L., 123 Myklebust R. L., 121 Nagasawa Y., 13, 243, 257 Nakayama K., 162 Nassiopoulos A. G., 43 Nemoto Y., 243 Nettleship D. J., 293 Netzer F. P., 198 Neumann M., 198, 339 Newbury D. E., 121, 242, 243 Nguyen T. T., 338 Niblack W., 121 Noguchi S., 243 Nordberg R., 123 Nordheim L., 122 Nordling C., 13, 123 Norman D., 199 Nott J. F., 293 Nuygen T., 338 Obata S., 243 Obu-Cann K., 244 Oda Z., 162 Okajima Y., 162 Olefjord I., 293
355 Ono M., 162 Oostrom A. G. Van., 122 Orloff J., 122 Pai P. G., 339 Pai P., 336 Palmberg P. W., 43, 123, 162, 198, 257 Pamler W., 338 Pantano C. G., 197 Pantos E., 243 Paparazzo E., 120 Paque J. M., 244 Parkes A. S., 13, 257 Pattinson E. B., 292 Paul D. F., 13, 257, 339 Peacock D. C., 124, 199, 257, 294, 349 Pearson P. J., 197 Pearson P., 243 Pease R. F. W., 162 Peeters S., 339 Penn D. R., 43, 44, 243, 257 Perks J. M., 213 Pianetta P. A., 198 Pianetta P., 121, 244 Pierret R. F., 339 Pinkvos H., 121 Plummer E. W., 13 Poppa H., 14, 121, 122, 123, 199, 242, 243 Porter T., 243 Portillo J., 339 Powell B. D., 14 Powell C. J., 42, 43, 44, 123, 162, 163, 197, 243, 257, 349 Pratt W. K., 242 Press W. H., 244 Prutton M. L., 13, 14, 42, 121, 123, 124, 162, 163, 197, 198, 199, 242, 243, 244, 257, 258, 294, 338, 349 Purcell C. E., 123 Purcoll S. T., 123 Pylant E. D., 339 Pylant E., 338 Raaijmakers I., 339 Raether H., 42 Ramaker D. E., 42 Ramskor B., 44 Rang O., 123 Rao-Sahib T. S., 162
356 Ready P. J., 243 Recar S., 339 Redhead P. A., 13, 121 Reed S. J. B., 163 Reichl B. M., 244 Reimer L., 120, 162, 198, 243 Rezanowich A., 121 Riach G. E., 162, 198, 257 Richard O., 339 Richards J. M., 43 Richards P. D., 339 Richter R., 43 Rim K., 339 Rivie`re J. C., 257 Riviere J. C., 13, 43, 123 Roberts R. H., 42, 121, 124, 197, 198, 199, 243, 244 Roberts R., 121, 124 Robinson V. N. E., 244 Robinson V., 198, 244 Rogers B. R., 339 Rohrer N. J., 338 Rose A., 243 Ross I., 338 Rosseland S., 13 Sakai Y., 13, 243, 257 Sakitani Y., 162 Salvat F., 43 Sanz J. M., 339 Sar-El H. Z., 123 Sase M., 122 Sato M., 161 Sato T., 243 Savitsky A., 243 Sawatsky G. A., 42 Saxton W. O., 124 Schauer P., 258 Schleberger M., 44 Schwarz S. A., 339 Schwind P., 122 Scott V. D., 120, 162 Seah M. P., 42, 43, 44, 120, 123, 124, 197, 199, 243, 257, 258, 293 Sekine T., 13, 243, 257 Shamiryan D., 339 Shaver B., 338 Shimizu H., 161 Shimizu M., 162 Shimizu R., 43, 122, 162, 163, 257, 258, 338 Shiratori N., 243 Sickafus E. N., 123
AUTHOR INDEX Siegbahn K., 13, 123 Sigmund P., 43 Sime R. L., 13 Simpson J. A., 123 Skinner D. K., 197, 243 Smialek J. L., 214 Smialek J., 294 Smith G. C., 41, 124, 244, 339 Smith G. E., 213 Smith K. C. A., 122 Smith N. V., 199 Smithells C. J., 197 Soden J., 339 Somorjai G. A., 121, 292 Song S., 293 Sopizet R., 14 Sorsch T., 339 Spallas J., 123 Spicer W. E., 197, 339 Spindt C. A., 122 Stebler C., 123 Steel E. B., 121 Stickle W. F., 13, 257 Stocks G. M., 42 Stori H., 43 Strausser Y., 339 Stribley K., 197, 243 Struyf H., 339 Stucchi M., 339 Suleman M., 292 Sun L., 244, 294 Sutcliffe V., 339 Suthar S., 339 Swann D., 122 Swanson L. W., 122 Swanson L., 122 Sze S., 339 Takagi Y., 339 Tanaka T., 122 Tanuma S., 43, 44, 243, 257 Tarng M. L., 197 Tartari A., 43 Taylor N. J., 197 Tear S. P., 198, 244, 349 Teller E., 293 Tempest P. A., 293 Teukolsky S. A., 244 Then A. M., 197 Thompson A. W., 293 Thompson G. E., 293 Thompson M., 41 Thornley R. F. M., 124
AUTHOR INDEX Tilinin I. S., 44 Timp G., 339 Tittes W., 339 Todd G., 14, 122, 123, 243 Todokoro H., 162 Tokei Z., 339 Tokutaka H., 198, 244 Tomastik C., 43 Tomlin S. C., 163 Tong K. L., 257 Topuria T., 120 Tosa M., 124, 198 Tougaard S., 42, 43, 44, 198 Tracy J. C., 123 Traum M. M., 199 Travaly Y., 339 Tremaine R. T., 294 Tse C. Y., 338 Tucker P. M., 292 Tuppen C. G., 123, 163, 199, 338 Tyler J. E., 339 Tyson J. T., 41 Uchiyama M., 162 Umbach A., 199 Vandervorst W., 339 Vanhoolst W., 244 Vautrot P., 242 Venables J. A., 13, 14, 124, 163, 199, 243, 349 Veneklasen L., 122 Vickerman J. C., 13 Victoria M., 293 Wagner C. D., 42 Walker A. R., 123, 124 Walker C. G. H., 124, 198, 199, 244, 257, 258, 294, 347 Wall J., 122 Walls J. M., 13, 197 Walmsey J. C., 198 Walton J. M., 243 Wang S. Q., 338, 339 Wardell I. R. M., 13, 123 Watson D. G., 13, 257 Watts J. F., 41
357 Watts R., 242 Weber R. E., 162, 198, 257 Weightman P., 42, 124 Weiland R., 339 Weller L. M., 122 Wells O. C., 121 Wenham M., 121 Werner W. S. M., 43, 44 Werner W. S., 42 Westerberg E. R., 122 Wetterling W. T., 244 Wiedmann L., 339 Wiesner J., 122, 161, 195, 196, 290, 291, 292, 347 Wild R. K., 198, 292, 293, 294 Wilkinson D. K., 121, 162, 197, 349 Williams D. B., 120 Wilson K., 349 Winter H., 42 Wintz P. A., 243 Wintz P., 121, 242 Witkowski B., 339 Wittmaack K., 120 Wittry D. B., 162 Wolf H. C., 42 Wolf S., 339 Wolstenholme J., 41 Wong C. K., 339 Wood G. C., 293 Woodruff D. P., 13, 14, 199 Worthington C. R., 163 Wurm K., 121 Yan H., 162, 244, 294, 349 Yanovitskaya Z. S., 339 Yew N., 122 Yoshihara K., 244 Young R. D., 122 Yubero F., 44 Zaggout F., 349 Zaima S., 122 Zangwill A., 13 Zant P. V., 339 Zashkavara V. V., 123 Ze-jun D., 162 Zeitzoff P. M., 338
Subject Index Note: Page numbers in bold refer to tables, those in Italics refer to figures.
algebra 215 alloys 193–5, 194, 197, 202, 202, 250, 250 aluminum 290 inclusions and secondary phases in 288–90, 289 inconel 280, 280 oxides of 270 see also superalloys alumina 56 aluminum 19, 24, 31 calibration peak 21 elastic scattering cross-sections 36 electron trajectories 133 interconnect wiring 298 KLL spectrum of 17 LVV transitions 17 nitride 263 overlayers 152–8, 153, 155–6, 160, 161, 190–2, 191–2 passive oxide layer of 269, 269 scattering parameters 38, 38 analogue to digital conversion (ADC) 118–19 analysers 10, 80–103 multi-channel 182, 183 single channel 95 angle of incidence and backscattering 144 effect on spatial distribution 141–7 and SAM resolution 143
topographic effects of 187, 219 angle lapping 168, 168 reverse 168 angular spread, confining of 67 animation 216 anisotropy effects 193 argon, in cleaning 171 arithmetic 215 image 217–19, 218 arsenic 24 astigmatism 74–6 attenuation lengths 39 Auger channel parameter 27 Auger decay 31 Auger electron emission 134 Auger electron signal, variation in 139 Auger electron spectroscopy (AES) 2, 3, 15, 196–7, 245, 296 advantages of 342–3 defect analysis 322, 324–8, 326 electron–solid interaction mechanisms 125 electronic systems 114–20 energy analysers for 81 FIB tools 329 instrumentation of 45–124 nomenclature of 16 notation for 16 and oxidation states 312 of planar devices 300–7
Scanning Auger Electron Microscopy Edited by M. Prutton and M. El Gomati # 2006 John Wiley & Sons, Ltd. ISBN: 0-470-86677-2
360 Auger electron spectroscopy (AES) (Continued) practical considerations in 331–4 in semiconductor manufacturing 336–8 and SOMs 240 spectra 304–5 transitions 20, 23 Auger electrons 2, 4, 5, 35–6, 126–7 backscattering factor (r) 127, 137, 186–7 kinetic energy of 4 spatial distribution of 134–50, 135 yield 32, 135 Auger energies 19–21 Auger images data collection methods 182, 184 forming of 165–99 quantification of 245–58 Auger intensities 32–3 Auger loss structure 40–1 Auger parameter 19 Auger peaks 177–8 Auger, Pierre 4 Auger process 15–44 backscattering factor 28, 29 chemical effects 259–64 classification of 15–18 electron transport 35–41 electron yields 32 environmental shifts 22–3, 23 inelastic mean free path 28–31, 30 inner shell ionisation crosssection 26, 27 quantitative analysis 25–35 transition probability 26–8 automatic correlation partitioning (ACP) 225, 227 automation 334 average matrix relative sensitivity factors (AMRSFs) 34 back-scattered electron (BSE) detectors 108–14, 223, 230, 231, 232, 237–8, 237 calibration diagram 254 normalised difference signal 255, 256, 256 for searching sample 176–7 use of images for backscattering factor 253–7 background correcting for 217–19, 218
SUBJECT INDEX extrapolation 178–81, 179 slope effect 189, 189–90 subtraction 217–23 backscattering 136–7, 139, 141 and angle of incidence 144 and beam energy 143 corrections for 39 from substrate 186–7 overcompensation for 223 and overlayers of 153, 154, 157 in parallel mode 147–50, 150 use of BSE images for 253–7 bakeout 55, 74 of CEMs 107 temperatures of 55 ball cratering 168, 168, 273 Bayard–Alpert (BA) gauge 56 beam brightness modulation (BBM) 181 chopping 181 damage to samples by 166 diameter of 59, 145 energy 176 and backscattering 143 gallium 169 Gaussian 135, 137, 141, 145 size effects 136 xenon 202 beryllium 112 Bethe stopping power 28 binding energy shifts 23 Boersch effect 60, 66, 345 Born approximation 26 boron 21 boundaries, metal/oxide 284–8, 285–8 carbides 197, 260–2 and diamond growth 274–6, 275 carbon 21 contamination by 175 KVV spectrum 18 KVV transitions 17 overlayers of 228 carrousel, for sample storage 80 cavities 278–9 channel electron multipliers (CEMs) 104–7, 104 detectors 110 pulse height distribution of 106, 106 stability of gain 107 channeling effects 193 chromatic aberration 59, 60, 70
SUBJECT INDEX chromium 202, 202, 228, 229 carbide 281 oxide 261 passive layer of 269–70 S diffusion in 264, 264 Cini–Sawatsky criterion 18 classical metal oxide semiconductors (CMOSs) 301 cleaning 16–75 chemical mechanical polishing (CMP) 319–20, 319 by cleavage and breaking 171, 172–3, 173 contaminants 171 degreasing 170 demagnetisation of tools 169–70 by deposition 171, 173–4, 174 ex-situ 167–71 by heating 172, 174 in-situ 171–2 inert ion 169, 171, 175 post-etch 305 self-discipline during 170 sputtering 169, 171, 172 use of clean box in 170 of wafers 310–12 cloud chamber experiments 4 cobalt 206–8, 207 cold field emission 62 cold field emitters 58 virtual source size 72 computer systems 119–20 speed of 348 concentric hemispherical analyser (CHA) 77, 81, 89–99, 93, 103 depth of field of 96–7 field of view (FOV) of 95–7, 96 internal scattering in 97–9, 98 multi-channel mode 95 power supplies to 116–18, 117 principal properties of 90 topographic effects 187, 187 conservation see resource conservation contamination 171 atmospheric 3 by water 56, 175 copper 19, 206–8, 207 calibration peak 21 diffusion 329, 329 electron energy loss 40, 41 electron trajectories 133 high-conductivity 56 interconnect wiring 298
361 plugs 316 seed deposition 318–19, 318 correlation partitioning 224 automatic (ACP) 225, 227 interactive (ICP) 225, 227 corrosion due to oxidation 270–3 microgalvanic 228, 290 Coulomb interaction 66 Coulomb potential 36 counting electronics 184–5 cutting 167, 168, 273 cylindrical mirror analyser (CMA) 72–3, 75, 77, 81, 82–9, 86 annular apertures of 85–6 coaxial 303 depth of field of 84 depth of focus of 89 electron trajectories in 83, 87 energy resolution of 84–5 field of view (FOV) of 89 internal scattering in 88, 89 off-axis 303 six channel 103 topographic effects 187, 187 Damascene process 317–18, 320 data correction of 184 dimensionality of 205 display during acquisition 184 dead time 185 deposition 317 depth profiling 168, 168, 273, 274, 286–7, 288 sputter-based 313–14, 314 diamond growth, and carbides 274–6, 275 dielectric constant (k value) 313, 320, 322, 329, 335–6 diethyl ether 170 differentiation 215–16 diffusion 264–6 digitisation 214–15 direct energy distribution 11 dopants 315–16 implantation 315 DREAMS (Defect Review via Electron Beam Induced Auger Electron Microanalysis of Semiconductors) 324 drift, of samples 77 dwell time 10
362 Dycronite 78 dynamic random memory (DRAM) half-pitch 299, 300 edge effects 189–92, 191–2, 301 edge enhancement artefact 191 effusion 264–6 eigenvector transform 233 Einzel lens 99 elastic scattering 36–7 electric motors, UHV compatible 78, 79 electron columns 71–6 coaxial 86 commercial 75 electrostatic 71–4, 72 magnetic 71–4, 75 electron detectors 103–14 electron edge profiles 146–7 electron emitters 58–70 electron energy analyser electrostatic 81 field of view (FOV) of 86–9 magnetic 81 multi-channel 182, 183 sum and step energy mode 182, 183 electron energy distribution 24 electron energy loss 40–1, 40 electron energy loss spectroscopy (EELS) 47, 49 electron probe microanalysis (EPMA) 48, 62, 125–6 electron ranges 134 electron sources LaB6 58, 59 parameters for 58 Schottky 58, 59 Electron Spectroscopy for Chemical Analysis (ESCA) 6 electron trajectory 130, 130 electron velocity analyser see electron energy analyser electron–solid interaction 125–6, 130–1 electronic aberration 71 electronic systems 114–20 computer systems 119–20 high voltage supplies 115–16, 116 sensor signal conditioning 118–19 electrons angular scattering of 131 Auger see Auger electrons calculated yields 32, 135
SUBJECT INDEX dispersal by hyperbolic field 101 energetic 2 energy diagram 63 energy loss and change 131–4 primary 23, 35–6 retarded 23–4 secondary 24, 35–6, 105 spectra acquisition 177, 179 transport of 35–41 electrostatic column 72 energy effect on spatial distribution 141–7 and SAM resolution 143 energy analysed image 206 energy analysers 10, 80–103 comparison of types 102–3, 103 power supplies to 116–18 energy dispersive X-ray analysis (EDX) 3, 24, 48–9, 196–7, 205, 236, 245 defect analysis 324–7, 326 detectors 2, 108–14 image analysis 225, 225, 342 and pitting 270 energy dispersive X-ray spectroscopy (EDXS) see energy dispersive X-ray analysis (EDX) energy distribution 60–1, 61 energy-analysed map 204, 238 environment, importance of 3 escape depth 2 etching 167, 168, 273, 305, 317 evacuation 55, 56 excitons 49 expert systems 348 false color 230, 279 coding 205 imaging 190–2, 191–2 maps 275, 279, 287, 289 Faraday cups 68, 103–4, 185, 251 Fermi level 19, 21, 67 fibreglass 56 field electron emission 63 thermally assisted (TF) mode 66–7 field electron emitters 62–70 cathode preservation 69 characteristics and operational requirements of 63–70 fabrication of 64 importance of temperature 70 radius of 68
SUBJECT INDEX field emission 70 figure of merit 102–3 flux 53, 54 focused ion beam (FIB) 168–9, 306, 306, 328, 333 spot milling 328–31, 329–30 Fourier components 212 Fourier transform 213 infrared spectroscopy (FTIR) 310 Fowler–Nordheim plot 64, 65 fracturing 172, 277–8, 278, 280, 282 frame scan time 45, 50–2, 51, 181 reduction of 80 frictional forces 78 full width at half maximum (FWHM) 60, 66–7, 82, 135, 137, 145, 221, 222–3 gallium 24 beams 169 gaskets 55 Gatan Imaging Filter (GIF) 204 gate electrodes 315 Gaussian beam 135, 137, 141, 145 germanium electronic devices on 296 in strained Si 336 glass 56 gold 19 calibration peak 21 electron energy loss 40, 41 electron trajectories 133 overlayers of 152, 186, 189, 189– 90, 193–5, 194, 220–2, 221, 228 grain boundaries 276–83, 279, 335–6 free energy of 281 graphite 261, 262 Gryzinski cross-section 132 hafnium film profiling 313–14, 314 oxide 335 halides, alkali 172 Hartree–Fock potentials 36 Hotelling matrix 235 Hotelling transform 233 hyperbolic field analyser (HFA) 81, 100–2, 101, 204 image acquisition time see frame scan time image arithmetic 217–19 image classification 224
363 image displacement 175–6 image interpretation 201–44 image processing 201–44 image quantitative analysis 252–7 BSE images and backscattering factor 253–7 data set required 253 and spectroscopic procedure 252–3 image simulation, usefulness of 347–8 image visualisation 204–9 image-spectrum 204 images acquisition of 47–50, 175–84 energy analysed 206 imaging artefacts 12, 186–93 direct 48 scanning 48 imaging energy dispersive spectroscopy (EDS) see energy dispersive X-ray analysis (EDX) imaging XPS see photoelectron microscopy (PEEM) impurities 264 inclusions 288–90 inelastic scattering 37–41 information depth 2 instrumentation 45–124 insulation materials for 56 reduction in 320 integrated circuits (ICs) 295–7, 299 defect location and characterisation 324–31 new materials in 335–6 planar 296 intensity histograms 205, 206, 221, 222–3, 229 interactive correlation partitioning (ICP) 225, 227, 231 interfacial segregation 281 interlayer dielectrics (ILDs) 316–17 internal interfaces 276–92 International Technology Roadmap for Semiconductors (ITRS) 299, 300, 310 interpolation 215 ion feedback 106–7 ion pump metering 57 Iris Explorer software 205 iron oxide 261 S diffusion in 264
364 irradiation 281 K-means method 226 kapton 56 Karhunen–Loeve (KL) principal component 233 Khoros software 205 kinetic energy, of Auger electrons kinetic theory 52–4, 53
SUBJECT INDEX
4
lanthanum hexaboride (LaB6) 58, 59, 60–1 LaNi 172 Lead, PbS matrix correction 250 lenses Einzel 99 magnetic 74 transfer 91–5, 92, 94 combined magnetic and electrostatic 99–100 line-of-sight issues 301–2, 305, 307 lithium 111, 112 lithography 73, 297, 299, 313 local oxidation of silicon (LOCOS) 313 logarithmic intensity scale 205 low energy electron microscopy (LEEM) 49 low pass filtering 210 lubricants 56, 77–8 LVQ_PAK software 238 magnesium 24 KLL transition 31 MgO matrix correction 250 oxide 24, 172 magnetic electron column 74, 75 magnetic lenses 74 manganese chloride 271, 272 oxide 261 sulfide 270–1, 271 manipulators 76–80, 79 manufacturing challenges 335–6 maps Auger 229 element 304–5 energy-analysed 204, 238 false color 275, 279, 287, 289 phase 230, 241, 241 self-organising (SOMs) 238–42, 239 materials science, SAM applications in 259–94 matrix correction factor 248, 250 mechanical aberration 71
Meitner, Lise 4, 4 metal matrix composites (MMCs) 262, 290–2, 291 metal oxide semiconductor field effect transistors (MOSFETs) 295–7, 307–9, 308 metals boundaries with oxides 284–8, 285–8 inclusions and secondary phases in 288–90, 289 micro machining 168 microchannel plates (MCPs) 107–8, 108–9 Microscope for Imaging, Diffraction and Analysis of Surfaces (MIDAS) 100 modulation methods 180–1 molecular beam epitaxy (MBE) 173, 174 molecules, rate of arrival of 53 molybdenum 56 disulfide 56, 78 monolayer formation 53 Monte Carlo model choice of 127–34 description of 130–4 Monte Carlo simulations 39, 347–8 and electron–solid interaction 127 sharp chemical edge 134–40 strategies in 129 Moore’s law 297–9, 298, 336 motions, motor driven 78 Mott elastic scattering cross section 129 multi-channel analysis see pulse height analysis multi-gated field effect transistors (MuGFETS) 336 multi-spectral Auger microscope (MULSAM) 7, 12, 93, 94, 96, 99, 347 BSE detector in 110–11, 111, 113, 223, 253, 255–6 EDX detector in 112–13 MCPs in 108, 109 SEM detector in 113 transfer lens in 113 multivariate statistics 232–8 nanosystems 25 National Aeronautics and Space Administration (NASA) 50
SUBJECT INDEX neon, in cleaning 171 nickel 228, 229 carbide 262 S diffusion in 264 nitrides 262–4 noise 81, 85–6, 89, 97, 115, 219 of power supplies 116, 118 order-mean (OM) graph technique 225–6 overlayers 35, 152–4, 153 high atomic number 158–61 low atomic number 154–8 see also under individual elements oxidation 266–74 early stages of 266–8 passive layers in 269–70 and pitting corrosion 270–3 thick layers in 273–4 oxides 197, 260 adherence of 24 boundaries with metals 284–8, 285–8 depth profile of 268, 268 gate 313, 315, 335–6 metal 172 mixed 332 passive layers in 269–70 thick layers of 273–4 transistor gate 295, 298 oxygen and chemical shifts 260 NiO as standard for 251 and stainless steel 260, 261 palladium 18 parallel electron energy loss spectroscopy (PEELS) 49, 204, 346 peak to background ratios 188–9, 188, 193–5, 194 peaks, size estimation of 177–8, 179 penetration depth 2 Penn algorithm 31 phase diagram 231 phase maps and mapping 223, 228–32, 230, 241, 241 phases 224, 228 secondary 288–90 phonons 49 excitation of 47 phosphor, light emission from 255
365 phosphorus 31 segregation of 282–3, 283 photoelectron microscopy (PEEM) 49 photoelectrons 3 photon ionisation cross-sections 28 Pirani gauge 56 pitting initiation of 270 in MMCs 290 planar devices, auger electron analysis of 300–7 plasmons 23–4, 49 excitation of 47 platinum elastic scattering cross-sections 36 scattering parameters 38, 38 polishing 167, 168, 273 polyhedra, etched 203 polyphenyl ether 55 preparation 167, 168, 273 principal components analysis (PCA) 226, 232–8 images from 236–7, 236 methodology of 234 probes, high current 58–70 pulse height analysis (PHA) 112, 119 pumps, diffusion 55 pure element relative sensitivity factors (PERSFs) 34 pyrocatchenol 203 quadrupoles 76 quantitative imaging, in SAM
193–7
raised structures 150–61, 153 Effect I 154, 155, 157–8, 161 Effect II 154, 157–8, 161 Effect III 154, 157–8, 161 Effect IV 154, 157–8, 160, 161 Ramsauer effect, generalised 37 raster, digital 8 reflection electron energy loss spectroscopy (REELS) 40 relaxation energy (R) 22 reporting 216–17 resource conservation 310 retardation 95 retarding field analyser (RFA) 81 ripple 115 Rocol 78 Rutherford interactions 130 Rutherford scattering 37, 131
366 safety 57 salicide 316–17 sample absorption current (SAC) image 176–7 samples beveled 202, 202, 206–8, 207 cleaning of 166–75 see also cleaning Cu/Co multilayer 206–8, 207 electron beam damage to 166 etched 202, 203, 220, 303 manipulation of 76–80, 79 positioning of 76–80, 175–6 preparation of 166–75 rough surfaced 216, 220 stability of 76–80, 166 tilted 303–4, 303 scanning parallel mode 141, 142, 147–50, 151, 156, 157–8 perpendicular mode 141–2, 142, 146, 156, 158 scanning Auger electron microscopy (SAEM) see scanning Auger microscopy (SAM) scanning Auger microscopy (SAM) 8, 15, 46, 49, 296 advantages of 342–3 applications in materials science 259–94 in semiconductor manufacturing 295–339 channeling effects 193 chemical shifts in 197 combination with other methods 347 correction methods 193, 194 corrosion studies 290–1 defect analysis 322, 324–8, 326–7 disadvantages of 343–8 electron columns in 71–6 electron emitters 58–70 electronic systems 114–20 electrostatic columns in 72 element maps 304–5 energy resolution requirements 346–7 FIB tools 329 forming an image 165–99 frame scan time 45, 50–2, 51, 181 reduction of 80 instrumentation 45–124 interlocking in 57
SUBJECT INDEX internal interface studies 276–92 on-line calculations 184–6 peak to background ratios 188–9, 188 and pitting 270 of planar devices 300–7 practical considerations in 331–4 principal components of 9 quantitative imaging 193–7 sample categories 128, 128 in semiconductor manufacturing 336–8 spatial resolution in 125–6, 138, 145, 148 spatial resolution requirements 345–6 speed of analysis 343–5 thermionic sources in 61 vacuum measurement 56–7 scanning electron microscopy (SEM) 47, 50, 68, 299, 306, 307, 337 combined with SAM 347 detectors 108–14, 304 field electron emitters in 62 preliminary investigation by 169 principal components of 9 for searching sample 176–7 spatial resolution in 126 speed of 344 scanning low energy electron loss microscopy (SLEELM) 49 scanning probe microscopies (SPM) 272–3, 347 scanning transmission electron microscopy (STEM) 47–8, 100 scatter diagrams 190–2, 191–2, 223–8, 225, 230, 291–2, 292 scattering angular 131 in concentric hemispherical analyser (CHA) 97–9, 98 in cylindrical mirror analyser (CMA) 88, 89 elastic 36–7 energy dependence of 38 inelastic 37–41 Schottky effect 60 Schottky emission 70 Schottky field emitter (SFE) 58, 59, 63, 67–9, 73 high voltage supplies to 115 virtual source size 72
SUBJECT INDEX screening 55, 81 secondary ion mass spectroscopy (SIMS) 3, 47, 168, 172, 310, 337, 346 destructiveness of 343 semiconductor manufacturing, SAM applications in 295–339 semiconductors evolution of solid-state 296–300 InSb 172 manufacturing process of 308–24 sensor signal conditioning 118–19 shadowing 153, 154, 187 shallow trench isolation (STI) 313 Sickafus profile 24 signal to noise ratio 102, 129, 209–10 and acquisition times 86, 119, 177 arithmetic reduction in 219 and bandwidth reduction 181 in CMAs 85–6 in SAM 98, 137 and sample stability 166 silicides 316–17 silicon 19, 21, 24, 31 dioxide 309, 335–6 electronic devices on 296 etched 202, 203, 220, 228 local oxidation of (LOCOS) 313 LVV transitions 17 nitride 313 oxidation state 312, 312 Si(Li) detector 111–12 single crystal 309 strained 336 substrates 152–61, 153, 155–6, 159–61, 186, 189, 189, 190–5, 190–2, 194, 202, 202, 225 wafers/slices 309–12 silicon-on-insulator (SOI) substrates 298 silver 19, 202, 202, 228, 229 Ag/SiO2 interface with 230 images across islands on Si 149 lubricant 56 MNN spectra of 17–19 MVV transition 145, 146 NiFe interface with 230 overlayers of 228 spectrum from 7 slew rate, of power supplies 116, 118 small area spectroscopy 216
367 SMART-Tool (Semiconductor Micro Analysis Review Tool) 324, 329, 331 smoothing 210–14, 211, 213, 214 software tools 214–17 solid surfaces, importance of 1 solutes, grain boundary enrichment of 281, 282 solvents, RBS25 170 SOM_PAK software 238, 240–1 spatial distribution and angle of incidence 141–7 and energy 141–7 spatial resolution 125–64 and frame scan time 52 in parallel mode 147–50 SAM/SEM discrepancies in 147, 149 and substrate atomic number 140–1, 140 spectra collecting entire 345 direct 11 examples of 6 overlaps in 332, 333 spectral background 23–5 spectroscopic quantitative analysis 246–52 elemental standards 250–1 instrument calibration 251–2 matrix effects 248–50 use of ratios 247 spectrum image 204 spherical aberration 59, 72 spinel 267–8 sputter ion plating 284–6, 286 sputtering 169, 171, 172 stability, of power supplies 116, 118 stacking 216 stainless steel 56 depth profile of oxide 268, 268 diamond growth on 275–6, 275 effusion from 265–6, 265 oxidation of 266–7, 267 pitting in 270–2, 271–2 steel ferritic 281–3 stainless see stainless steel sticking probability 54 stigmator lens 76 substrates effect on surface signal 195–7 see also under individual elements sulfur, segregation of 284
368 superalloys 262 inclusions and secondary phases 288–90, 289 see also alloys surface analysis techniques 3, 3 chemometrics 235 composition of 3 flow diagram for estimation of 247–8 definition of 2 particle size 196 roughness 220, 252 science 1 segregation 264–6 topography 217–23 correcting for 219–23 tantalum 56 barrier layers 317 nitride 335 technology nodes 299 thermal effects 77 thermionic cathodes 60–1 thermionic emission 70 thermionic sources 59 Thomas–Fermi–Dirac potentials 36 tin, segregation in 284 titanium barrier layers 317 carbide 262 nitride 263, 335 TiN/Ti/SiO2 beveled interface 227 topographic effects 187, 301, 302 topographical edge 152 total reflection X-ray fluorescence (TXRF) 310, 315, 336 transfer lenses 91–5, 92, 94 combined magnetic and electrostatic 99–100 transistors 297 multi-layer interconnect 323 transitions 17, 19, 23, 24 Coster–Kronig 28, 31, 34 transmission electron microscope (TEM) 62, 68, 299, 337, 346 tungsten 64, 67 carbide 262 disulfide 78 field emission 63 hairpin 58, 60 overlayers of 152, 158, 159, 160, 225
SUBJECT INDEX plugs 316, 317 W(111) emitter 66 vacuum environment 52–7 measurement 56–7 ultra-high (UHV) 3 detectors 108–14 hardware 54–6 interlocking 57 kinetic theory of 52–4 van der Waals forces 54 vapor phase desorption inductively coupled plasma mass spectrometry (VPD ICP-MS) 336–7 vias 305–7, 306–7, 316–17 failed 329–31, 329–30 virtual source size (do) 72 voltage-contrast (VC) imaging 328 wafers/slices 309–12 defects 310–11, 311 patterned 315 water, contamination by 56, 175 wavelength dispersive spectroscopy (WDS) see wavelength dispersive X-ray analysis (WDX) wavelength dispersive X-ray analysis (WDX) 48 X-ray photoelectron spectroscopy (XPS) 2–3, 3, 5, 6, 168, 225 acceptance angles in 99 notation for 16 and SOMs 240 X-rays 2 absorption 126 xenon, in cleaning 171 yttrium aluminum garnet (YAG), light emission from 255 zirconium emitter Zr/O/W(100) 66 emission patterns from 68 emitter Zr/W(100) 63 oxide 335 reservoir 66, 67–8 zooming 216 Index compiled by L. N. Derrick