This content was uploaded by our users and we assume good faith they have the permission to share this book. If you own the copyright to this book and it is wrongfully on our website, we offer a simple DMCA procedure to remove your content from our site. Start by pressing the button below!
0). To minimize or avoid trap generation and high-field-assisted detrapping, techniques like UV photo-injection, FN tunneling (Constant Current Stress: CCS) or avalanche injection can also be used.
Silica, Silicon Nitride and Oxynitride Thin Films
79
Carrier trapping can be detected through the shift of the high-frequency C-V curves along the voltage axis (AVQ or AVpe). A positive shift indicates that a negative charge is accumulating, and the measured charge nearly equals (in magnitude) the charge injected into the NO film. • The detrapping properties of NO films Once AVpB has reached saturation and when electron injection is stopped, the C-V curves relax. This relaxation is initially quick and then slows down. Reverse-biasing the device significantly increases the relaxation process. Temperature is shown to have a negligible effect on electron detrapping, which makes a mechanism of thermal emission from shallow traps unlikely. Tunnel emission must be envisaged to explain electron detrapping, and the depth of the dominant deep electron traps has been estimated at 2 eV below the conduction band edge of the oxide [212]. A tunneling hole process may also contribute to the relaxation of the C-V curve. Holes tunnel from the silicon substrate to the oxide valence band and subsequently recombine with the trapped electrons. However no extensive investigation of this phenomenon, in the case of thermally-nitrided oxide, has been carried out. 5.1.6. Dielectric breakdown in NO films The dielectric strength (or destructive breakdown field) of NO films varies from 2 MV/cm to about 30 MV/cm, depending on the nitridation conditions (Fig. 1.61). It is however shown to be on average greater than that of regular (non-nitrided) oxide films. The electrical conduction and charge trapping characteristics of NO films, measured before breakdown, are similar to those of oxide films. The current distribution in NO films is however found to be much more uniform than that found across a regular oxide thus indicating fewer weak spots (these weak spots are thought to cause current instabilities in the high-field regime and to induce breakdown itself). Nitridation removes flaws in the oxide and gives NO films a greater dielectric strength. 12.0 F
?o
1
5 11.5
ll
1 1
5,,.o
1 10.5 1
(a)
1 — 1 —
• 1 2 3 4 Nitridation time 1
L 5 (h)
(b)
1 2 3 4 Nitridation time
5 (h)
Fig. 1.61 a - The maximum field strength of a 10-nm-thick NO film is plotted versus nitridation time (at T=1000''C) Fig. 1.61 b - Breakdown current, defined as the maximum equilibrium current just prior to breakdown, is plotted versus nitridation time (at T = 1000°C) [256].
80
B. Balland and A, Glachant
Another explanation for the improvement in dielectric strength is that the electron traps created by the nitridation process are filled more quickly near weak spots in the oxide (because of enhanced conduction there). The filled traps create a local field which counters the external field and chokes off conduction, allowing a more even current distribution across the film. Consequently the dielectric strength of NO films appears to be a function of the number of electron traps created by the nitridation process [72]. Below a critical number, the traps increase the dielectric strength by reducing the field, and consequently the current at weak spots. Above this critical number, the filled traps appear to cause the intemal field to increase which could result in breaking the Si-0 bonds and trigger breakdown. SJ.l. Enhanced saturation transconductance of p-channel MOSFETs Dual gate C-MOSFETs have been fabricated with thin 8- and 15-nm-thick silica gate films nitrided using LP-PE nitridation. An improvement of both n and p-channel transistors drive characteristics (+ 12% and + 5% respectively) has been obtained [233]. After nitridation, the saturation current of transistors with 15-nm gate oxides improves by 8% and 3%, for n and p-channel devices respectively, when measured at Vc-Vth = Vj = 5 V. The influence of nitridation in the saturation regime is even more effective when the oxides are thinner. In this case, the n and p-channel transistors with 8-nm dielectrics show respectively 12% and 5% higher current values after nitridation, as shown in Figs. 1.62 a andb. The difference observed between the two types of transistors (tox = 8 nm and 15 nm) can be explained by the higher nitrogen contents (N / N + O) incorporated in thinner films. Indeed, Auger analyses have shown that treatment in an anmionia plasma essentially nitridates the outer oxide surface so that the resulting nitrogen fraction is higher when the film is thinner. Moreover, capacitance measurements show that the permittivity increases after nitridation by only 3% for 15-nm oxides and by more than 5% for 8-nm oxides. 1.2
y
VG-Vth PMOS
E 1.0hL.
'—t" -^^
Jo.8 0.6 Jr^r^
0.4
>.--••
.J^fs^^
''
A—
i\i
— ( f - »3V
p-
0.2 0 (b)
'•••^•^5
^A^ 0
. ^V
1
4
5 Vd ( V )
Fig. 1.62 - Saturation Id-Vd characteristics ofn-channel (a) and p-channel (b) MOSFETs featuring 8-nm-thick non-nitrided gate oxides (lower curve) and 8-nm-thick NO films (upper curves) (the oxides have been nitrided in a NHs plasma). (After [233]).
Silica, Silicon Nitride and Oxynitride Thin Films
81
In the case of the p-channel transistors, the higher current drive characteristics quantitatively corresponds to the percentage of capacitance (or permittivity) increase. But, for the n-channel transistor, the capacitance increase is not sufficient to account for the observed 12% enhancement of the saturation drain current. Since the normalized saturation transconductance (Gmsat/Co) with Co = Ci*W*L is known to be the best criterion for speed evaluations, we have plotted in Fig. 1.63 a and b this switching speed parameter as a function of Vc-Vth for n- and p-channel transistors respectively. The magnitude of Gmsat/Cc for the n-channel transistor with the NO gate insulator is comparable at low gate drive to that of the pure oxide, but a striking improvement is obtained at higher-field. The highest switching speed of 2.10^ s"! is obtained at 3.5 V and is 9% higher than that of the control device. The p-channel transistor drive characteristics increases after nitridation. This is due both to a gate capacitance increase and to an absence of degradation of the high-field hole mobility. The preservation of carrier mobilities, especially that of holes, in both the linear and saturation regimes can be related to the very low level of Nit, Nf and traps introduced by the LP plasma nitridation, in contrast with other (thermal) nitridation processes in ammonia.
PMOS K/1
C/5 OS
o
o o
Nitrided Oxide
I
I
o 1 V
o o
r
o
o 0 (a)
3 4 5 VG-Vth(V)
1
- j -
(b)
Oxide
1 ll 3 4 5 VG-Vth(V) L.
Fig. 1.63 - Saturation transconductance normalized by capacitance is plotted versus gate drive (V^ = ± 3.5V) (a) for an n-channel MOS transistor; (b)for a p-channel MOS transistor (After [233]).
This weak degradation of the interface can be explained by the low nitrogen content in the bulk and in the interface region. Furthermore, it has been shown that plasma nitridation leads to a reduction of donor interface states near the valence edge [12]. Because of this reduction of Njt, the contribution of interface states to hole scattering is thought to be reduced and this compensates the contribution of the few fixed charges introduced by nitridation. 5.8. CONCLUSION AND APPLICATION TO VLSI CIRCUITS Many techniques have been proposed to nitridate thin oxides in ammonia.
82
B, Balland and A. Glachant
The HP process results in the incorporation of nitrogen below the surface, in the bulk and at the Si02-Si interface. The amount of nitrogen incorporated increases with nitridation temperature and duration. HP films offer two consecutive barriers against oxidation and impurity diffusion. However, the HP nitridation of thermal oxides is responsible for an increase in the positive fixed charge, a significant increase in the density of electron traps and a reduction of channel mobility. The LP process leads also to the incorporation of nitrogen, but mainly below the surface of the film. The LP films thus offer only one effective barrier against oxidation and impurity diffusion. The drawbacks of conventional thermal nitridations (HP and LP) processes are higher interface states densities, higher fixed positive charge densities, and the incorporation of a large amount of hydrogen atoms in Si02,which leads to an increase in electron traps. Plasma nitridation increases the high-field transconductance of p-channel devices in contrast with other thermal nitridation processes. The specificity of the LP plasma-enhanced nitridation method, is that the reactions take place essentially at the outer oxide surface while the nitrogen content can be maintained very low in the oxide bulk and at the interface. This is thought to explain the excellent transport properties found in n-and p-channel devices featuring an LP-PE NO gate insulator. Let us mention also that NO films display a better stability under high field stress (FN current injection) and a greater dielectric strength, than regular oxide films. Because of their unique properties, NO films have been used to fabricate various MIS devices, such as: • MIS transistors with Leff = 1.5 |Lim (using a 10-nm-thick plasma NO film [120]); • a 16-kb EPROM structure (using a 6.5-nm-thick re-oxidized NO film) having better performances than those built with a conventional oxide [180]; • MIS transistors with Leff = 4.0 |im (using a 10-nm-thick thermal NO film) displaying a high resistance against irradiation [181]. 6. THERMALLY REOXIDIZED NITRIDED OXIDE FILMS We have seen in Section 5 that during the nitridation of silica in NH3 the incorporation of hydrogen atoms, which act as precursors of electron traps, is unavoidable. The reoxidation of nitrided-oxide films has proven effective in reducing the density of incorporated H atoms. It has therefore been investigated [243-259, 281] as a technique to minimize bulk electron trapping and to reduce interface states, without affecting other properties. The obtained films are called ReOxidized Nitrided Oxide (abbr. RONO) films. They still behave as excellent diffusion barriers and display a better endurance under hot-carrier stress. They are also shown to improve the transconductance of n-channel transistors.
Silica, Silicon Nitride and Oxynitride Thin Films
83
6.1. PROCESSES USED
Mostly two methods are being used to obtain RONO films: furnace annealing in dry oxygen and rapid thermal annealing in dry oxygen. • Furnace annealing in dry oxygen Studies on the fumace nitridation of thermal silica layers, at high temperatures, followed by a reoxidation step have shown very promising results. Conventional annealing in dry oxygen in a quartz-tube fumace can be used (as illustrated in Fig. 1.44). Its major drawback is a considerable redistribution of impurities [243249]. Typical reoxidation cycles are 15 min in O2 ambient at 950°C, resulting in a film thickness increase of less than 0.5 nm. Longer reoxidation times are used mostly to understand reaction kinetics, and the evolution with time of the film composition. • Rapid Thermal annealing in dry oxygen An annealing in dry oxygen using the so-called Rapid Thermal Process (RTP) has many advantages because it can be well controlled. This process shall be described in Section 7. 6.2. FILM COMPOSITION
As discussed in § 5.3, NO films resist oxidation. Reaction kinetics and film composition can be studied by using long reoxidation times (e.g. 60 min at T = 950''C) [249] as illustrated in Fig. 1.64 a. Figure 1.64 b shows the etching behavior (in a 100-to-l buffered HF solution) for NO films subjected to various length of reoxidation times. 6.2.1. Zones of interest As illustrated in Fig. 1.65, two zones are of interest: the top (external) surface and the filmsubstrate interface. • At the surface The study, by AES, of the influence of a low-pressure reoxidizing anneal on NO structures shows that only the nitrogen concentration below the surface is substantially reduced (30% to 50%). The top oxynitride layer of the NO film is rapidly converted back into silica. It follows that the surface etch rate of a RONO film approaches that of silica (Fig. 1.64 b). • At the film-substrate interface The oxidizing species diffuse to the film-substrate interface and react with silicon to form a thin silica film undemeath the oxynitride layer whose nitrogen concentration decreases with oxidation time and temperature. However the amount of nitrogen at the interface remains almost unchanged [246]. The RONO structure possesses oxynitride layers near both interfaces with, in addition, nitrogen distributed inside the oxide bulk. The Nitrogen [N] and Hydrogen [H] contents of RONO films have been monitored [246] using AES and SIMS, respectively.
B, Balland and A, Glachant
84 E 30 c
(D
L P-Oxide
®
(2) NO ® NO
20
E 0 c CO CO
c ^ - (D *-
10
0 o > o E C15
-D1 • ^
CO CO
U^-'
c
S
1 ,,. .
1
i
20 40 60 80 Oxidation time (min)
^NO^
~
L ® L P-Oxide ""^-^^ @
(S)
lu^
NO RONO RONO
^
® 1
N
1
L_
100 200 Etch time (s)
Fig, 1.64 - (left) Increase in film thickness during oxidation in O2 at 950^C (A t^ox) versus oxidation time: 0for a low-pressure oxide film; ®) for a nitrided-oxide film; (3) for a nitrided-oxide with surface removed, (right) Etching behavior of various types of films (in 100:1 = NH4: HF solution): (Dfor a low-pressure oxide, ® for a nitrided oxide, ®for an NO film reoxidized at 950^Cfor 15 min; ®for an NO film reoxidized at 950°Cfor 1 h. (After [249-250] slightly modified).
0 0 0 0 0 0 0 0 0
1 SiOy
1®
0 0 0 0 0 0 0 0 0
1
Si O2
00 0 0 0 0 0 0 0 1
te"
w
-w-
w
w
N2
SiwNx Si Oo
Fig. 1.65 - Model for the reoxidation of nitrided-oxide films: (I) at the external surface: 2SiOyN^ + (2-y)02 -^ 2Si02 + zJ^2(2) at the interface: Si + 02^ Si02.
Silicon Substrate 1
6.2.2. Nitrogen content AES analyses reveal that as reoxidation proceeds, [N] at the outer surface decreases quickly, while at the interface, [N] decreases very slowly (see Fig. 1.66). Moreover, the oxynitride layer at the interface moves further into the substrate as reoxidation proceeds. The distance travelled by the oxynitride layer is greater if its nitrogen content is originally smaller or if the reoxidation temperature is higher. This inward motion of the oxynitride layer at the interface is attributed to the diffusion-limited interfacial oxidation (let us note that in contrast, the annealing of NO films in pure N2 leads also to a reduction in the interfacial [N] but causes no motion of the oxynitride layer) [246].
Silica, Silicon Nitride and Oxynitride Thin Films
85
Fig. 1.66 - Nitrogen in-depth profiles measured by AES in 7. J-nm-thick RONO films. (After [245] modified) (a) The oxide samples have been nitrided in pure NH3 at T = 950''Q during t = 15 s and then reoxidized in a dry O2 (T = 950°C )for various times (tfox)trox =0 s (-), trox = ^^ s (---) and
(a)
trox = 60s(
j,
(b)The oxide samples have been nitrided in pure NH3 at T = 1150°C, during t = 60 s and then reoxidized in dry O2 (T = 1150°) for various times (tfox)-' trox =0(-),trox
=15s(---),
trox = 60s( ; a n d 2 0 0 s ( - . - . -). Crosses indicate the positions of the interface between the Si and the N-rich layer near the Si02/Si interface.
10 (b)
Depth
15 (nm)
6,2.3. Hydrogen content Hydrogen introduced during annealing in NH3 is reduced during post-annealing in O2 via the following reactions: 2 (=Si-H) + O-^ = Si-0-Si = + H2
(1.10)
= Si'H + HO-Si = + O ^ = Si-0-Si = + H2O
(1.11)
SIMS analyses reveal that, as the reoxidation of NO film proceeds, [H] decreases and the film thickness increases. Concentration [H] is found to decrease more rapidly if [N] is originally lower or if reoxidation temperature is higher. For example, [H] = 1.8 lO^O/cm^ in a thermal oxide film, [H] = 1.6 lO^Vcm^ in nitrided oxide film and [H] = 1.6 lO^O/cm^ in RONO film [242-246].
86
B, Balland and A, Glachant
6.2A. Boron diffusion Boron diffusion through RONO films has been studied by fabricating 100 |Lim x 100 |Lim boron-doped polysilicon capacitors. The results of quasi-static C-V measurements performed on these capacitors are shown in Fig. 1.67. The threshold voltage of RONO capacitors is 0.14 Volt higher than that of NO capacitors indicating that about 3 x lO^^ boron atoms have diffused per cm^ through the RONO films [18].
1.0
0)
o
0.8 -
o
(0 Q.
©"
CO
O XJ
0.4
75 E 0.0 -2.5
1
1
Fig. 1.67' Quasi-static C-V curves measured on MIS capacitors (with a borondoped polysilicon electrode) fabricated on a: 0) silica film, ® nitrided oxide film, (3) reoxidized nitrided oxide film. (After [18] slightly modified).
2.5
0.0 Gate voltage (V)
6.3. THE ELECTRICAL PROPERTIES OF RONO FILMS
The reoxidation step slightly modifies the electrical properties of the nitrided oxide films (as well as those of the underlying channel if the NO film is used as a gate oxide in a MOSFET) [232-233]. 6.3.1. Electrical conduction Electrical conduction in RONO films has been measured by using a stepped ramp I-V technique [251] on capacitors with a boron-doped polysilicon electrode. The resulting I-V characteristics are shown in Fig. 1.68, for RONO structures of three different thickness, with an increasing negative gate voltage (the silicon surface is in accumulation) applied until the capacitors break down. The low-level leakage current is found to increase with decreasing RONO thickness. The leakage currents measured in RONO films are generally more than one order of magnitude greater than those measured in thermal oxides. Large initial leakage in RONO is attributed to an energy barrier lowering due such causes as: a pile-up of nitrogen in the interfacial region [254], Frenkel-Poole conduction, field ionization from trap-assisted tunneling or a combination of these processes. No clear mechanism for low-field leakage current in thin RONO has been reported so far. The FN tunneling of electrons is still the dominant conduction mechanism encountered in RONO films (Fig. 1.68).
Silica, Silicon Nitride and Oxynitride Thin Films
87
Fig. L68-I-V characteristics of three RONO structures compared to that measured for a 10-nm thermal oxide (after [251] modified]).
8
10
12
14
Gate voltage (V)
63.2. Interfacial charges The mobility of channel electrons has been measured on large geometry (100 |im x 100 |Lim) n-channel MOSFETs fabricated with: regular oxide, NO and RONO films and with a channel doping of about 10^^ cm"^. The mobility of channel electrons is found to be lower for NO films, whereas with RONO films the mobility approaches that of MOSFETs using Si02 films [233]. This observation is consistent with the presence in RONO films of a thin Si02 layer at the dielectric-substrate interface. The fixed oxide charge density of RONO films should thus be similar to that of LP Si02 films («10^ cm-2). 6.4. INSTABILITIES IN (RONO) FILMS UNDER STRESS
6.4.1. Capacitance-voltage curves after stress The C-V curves shown in Fig. 1.69 have been measured before and after FN constantcurrent stress. NMOS capacitors have been stressed by electrons injected by the gate electrode (VQ < 0) or by the silicon substrate (VQ > 0). It is clear from the distortion of the quasi-static curves and the stretch-out of the high-frequency curves, that for equivalent stresses, the RONO dielectric exhibits much less degradation. 6.4.2. Interface state generation under stress C-V curves have been measured before and after FN - CC stress (Fig. 1.69), to determine ADit, the amount of generated interface states (using the high-low frequency method). The resulting distribution of interface states in the bandgap, after stress, is shown in Fig. 1.70. The difference in the shapes of the RONO and Si02 spectra could be attributed to the fact that the damage levels are different. Although the two dielectrics (NO and RONO) have a similar interfacial nitrogen content, they display a significant difference in their ability to suppress the generation of interface states.
88
B. Balland and A, Glachant
^30
0 1 2 Gate voltage (V)
(a)
(b)
0 1 2 Gate voltage (V)
Fig. 1.69 - HF and LF C-V curves of NMOS capacitors measured before (-) and after (—) a 10'"^ Acm'^ constant current stress applied during 5000 s. (a)fora Il-nmSi02 sample, (b) for all-nm NO sample (nitrided at 950°C), (c)for a U-nm RONO sample (reoxidized at 950°C). (After [248] slightly modified). -1 (c)
0 1 2 Gate voltage (V)
• Generation when electrons tunnel from the silicon substrate to the gate contact Figure 1.71 shows the plot of log (ADit) vs log (F), where the fluence (F) is the number of carriers injected per unit area or tunneling through the gate dielectric (F is the current density multiplied by the duration of the stress). By examining the slope of the resulting curve, it is possible to learn about the rate-limiting step of the interface state generation process. Following a positive gate bias stress, the increase in Da measured at midgap on a PMOS capacitor, is found to be linearly dependent on fluence, for low injected fluences: ADit = KA.FlO
(1.12)
where KA is the generation efficiency. As the fluence increases, the rate of interface-state generation decreases and ADit approaches a maximum values Dit,niax» although it never completely saturates. This suggests that ultimately is the number of (interface state) precursors that determines the magnitude of ADit. Fo^* high fluences, the damage is not linear with fluence, but described by: ADit = ^it^m^x
[1 - exp(
-KA.F]
(1.13)
Silica, Silicon Nitride and Oxynitride Thin Films
89
10
\W>
s
^
4
1
(DV^^^^^^
>
It
1
—
e
f-H i-H
o
^
=: 2 o
r-H
HI 1
<S ' L-
-0.4
a)
1
-0.2
1
0.0
_l
1 V il 0.2 0.4
E - E M G (eV)
-0.4
b)
^
-0.2
1
1
0.0
0.2
\ *
Vi 1 0.4
E-EMG(eV)
Fig. 1.70 (a) - Distribution Dn vs energy measured for several NMOS capacitors after a 10-^ A/cvffi CCS applied for 5000 s: (D oxide film, ® NO film (oxide nitrided in NHs at T = 950''C, P = 0.1 atm, for Ih), ® RONO film (NO reoxidized in 02atT= 950°C, P = 0.1 atm, for 3h). (b) Dif vs energy measured: Qfor an oxide film after a 10-"^ A/cm^ CCS applied for 200 s, ©for a RONO film after a 10-^ A/cm^ CCS applied for 20 000 s. (After [248] slightly modified).
Equation (1.13) simplifies into Eq. (1.12) at low fluence. When the change in the number of remaining precursor sites is small, which is the case at low fluence, the generation rate is a constant. KA is the low-fluence interface state generation efficiency, and is dependent on thickness, electric field, temperature and processing history. • Generation when electrons tunnel from the gate contact to the silicon substrate As illustrated in Fig. 1.71, after an NMOS capacitor is submitted to an electron injection from the gate, the increase in interface state density is not linear with fluence, but varies as: ADit^KB.F0 5
(1.14)
Under negative-bias stress, interface states are generated via a different mechanism. This weaker dependence on fluence is explained by considering that these interface states are due to the breaking of strained chemical bonds. When a strained bond is broken, the surrounding lattice relaxes reducing the strain in adjacent bonds. Thus, when one interface state is created, the probability that another defect be created nearby decreases [248]. • Transient response of RONO films For RONO films, ADit is not stable inmiediately following an FN injection. For positive-bias stress, ADit is found to increase once the stress has ceased, while ADit decreases after stress if a negative-bias stress has been applied. Thus, a delay of several hours is necessary after the stress to measure the post-stress C-V curves which allow one to calculate Dit.
B. Balland and A. Glachant
90 1000 1
^
»
•
'
» » 1
1
1
: o ---•
o —•
Q—.a
©--••
^^
1
1
1
•
•
I 1*
-fr^
j
\ T
Q
100
: ® —•
9*
Ao-'
0...O
o
%/y
A
,x
,Q
.
/
/
1 10 7 1
/
1 ' * * ' - ' '
0.001
i^
'
'
/ • / '
. . . . . I
0.01
/^
4
0.1
1
1 1
m / *'
1
10
Fluence (C. cm^)
100
Fig. 1.71 - Interface state generation vs fluence for various MOS structures and various injection densities 0+10'"^ Acw? (2) ©-10-"^ Acm? for U-nm Si02 films, S)+70-^ Acm^ ® -lO-"^ Acm?for 11nm NO (950°C, 10 min) films, ®) +10-"^ Acm^ ® '10-"^ Acrn^ for 11-nm RONO (950''Q 10 min) films, ®+10-^ Acrn^ ®-10'^ Acm^ for 11nm RONO (950''C, 10 min) films. Positive-bias stress data is fitted to Eq. (1.13) (solid line) and negative bias stress data ( ) is fitted to ^^ (L14).(Afier [260] modified).
• Generation mechanisms The formation of interface states can be prevented by blocking one of the steps leading to bond-breaking at the interface, or by simply not having precursor sites at the interface. The reoxidation of NO films improves the dielectric reliability by removing the Pb- centers which are precursor sites initially present at the interface. During the nitridation step, strained Si-Si bonds are broken, and some sites are passivated with nitrogen or hydrogen. Nitrogen may also displace an hydrogen atom on existing Si-H bonds. However, short nitridations result in a large density of Pb- center precursor sites. During the reoxidation step, oxygen permeates the dielectric, replacing the remaining Si-H bonds with a stronger Si-0 configuration, and oxidizing any remaining precursor site. Thus, the RONO process first damages the Si02-Si interface (during nitridation), and then repairs it to create a more stable atomic network (during reoxidation). This explanation of how interface-states are generated in RONO films accounts for the fact that not all oxynitrides inhibit the formation of interface states under electrical stress. While most oxynitride gate dielectrics, including heavily and lightly nitrided oxides, as well as reoxidized nitrided oxides, are resistant to radiation-induced interface state generation, only a subset of these dielectrics can be made "electrically hard". The nitrogen-rich layer at the interface acts as a diffusion barrier for hydrogen and inhibits hydrogenic radiation-induced interface state generation. 6A.3. Electron trapping A quantitative relationship between the electron trap density and the [H] concentration has been established for NO films [242] reoxidized in dry oxygen at temperatures ranging from 1050 to 1150X for 15-200 s (according to process 4a, see Table 1.07 of Sect. 8). In this experiment, the trapping properties have been evaluated by injecting a charge of 0.1 C/cm^ from the substrate (using a constant injection current of 10 mA/cnfi) and by measuring the ensuing flatband voltage shift AVpB induced by the stress. The residual [H] content has been measured by SIMS (see Fig. 1.72).
Silica, Silicon Nitride and Oxynitride Thin Films
91
Figure 1.73 shows the C-V curves recorded, before and after electron injection on various but related MOS samples. One is an oxide nitrided in NH3 at 1150°C for 60 s, and reoxidized either at 1050°C or at 1150°C for 60s. A large positive shift of the C-V curve (AVpB = +1-5 V) is observed for the first sample which indicates a high electron trap density [242]. The reoxidation steps indeed reduces the amount of electron trapping. 1.0 CO
'B
0)
O
o o
o
cd
0.5
o
I
N
§
o
a o U
i 0.01
t
0.0 15
20
Depth (nm) Fig. 1.72 - Hydrogen in-depth profiles measured by SIMS in NO and RONO films. (After [242] slightly modified).
-3
-
1
0
1
2
Gate voltage (V) Fig. 1.73 - C-V curves measured before (D and after @ electron injection (Qi^j = 0.1 C/crr?) on NO and RONO capacitors. (After [242] slightly modified).
Figure 1.74 shows the correlation found between AVpB values by induced by electron injection and concentration [H] for different RONO samples. Regardless of the fabrication conditions one finds: AVFB =
K,[H]
(1.15)
where K is a constant («9.3 lO-^^V/cm^ when a charge of 0.1 C/cm^ is injected into an 8-nm-thick RONO film). The elimination of hydrogenated species by reoxidation reduces indeed electron trapping. The reoxidation step appears also as promising in reducing electrical instabilities due to electron trapping, RONO films appears "superior", (i.e. display lower charge trapping) compared to other insulators, at high fields and for injected fluences > O.lC/cm^. They appear, however, "inferior" (i.e. display higher charge trapping) at low fields. Generally speaking, background electron trapping dominates all observations at lower fields (experimentally, < 7 MV/cm) for any nitrided structure.
B. Balland and A. Glachant
92 1.5
4^A
• RONO(1) A RONO (2)
A
Fig. 1.74 - Correlation observed between the flatband voltage shifts AVpB induced by an electron
• Oxide CO
injection of 0.1 C.cm'^ and the hydrogen concentration [HI measured in various RONO samples.
1.0
u.
.?-;
> 0.5
RONO (1) (oxide nitrided at 950''Cfor 60 s and reoxidized at lOSO^'C, and RONO (2) (oxide nitrided at 1150°C for 60 s and reoxidized at USO^'C). (After [246] slighly modified).
A%
0.5
1.5
1.0 21.
Concentration [H] (1 0 at.cm ^) 6.5. CONCLUSION
The reoxidation of NO films brings other advantages not mentioned above. It reduces the fixed oxide charge and increases the charge to breakdown. However the property most often seeked in RONO films is their ability to improve the stability of insulating films under stress and for many RONO gate dielectrics, their ability to suppress interface-state generation (ADit) under a variety of electrical and radiation stresses. 7. THIN DIELECTRIC FILMS FABRICATED BY RAPID THERMAL PROCESSES The nitridation and reoxidation processes, when carried out in a fumace, suffer from a dual handicap: they require a prolonged treatment at high temperature, and the risk of incorporating unwanted impurities and interfacial stress is high. An alternative technique based on rapid thermal processes has shown great potential, which we explain next. 1.1. PRINCIPLE
As illustrated in Fig. 1.75, the abbreviation RTF refers to the use of a broad range of energy sources to heat rapidly (1-100 s) and isothermally materials used in semiconductor and IC processing. Rapid Thermal Frocessing (RTF), originally introduced to anneal ion implantation defects, has since proved useful for a variety of additional applications [243]. Indeed, as device dimensions decrease (from micrometer to submicrometer devices), low "thermal budgets" are necessary to limit dopant diffusion. There exist then two solutions: • either to use low-temperature processes, enhanced by: photons, plasmas, etc. (see Sect. 9), • or to use RTF processes in which high temperatures are applied for short durations (typically a few seconds). Figure 1.76 shows schematically the time-temperature regime available using RTF.
Silica, Silicon Nitride and Oxynitride Thin Films
Names used Annealing Heating Processing
Time scale
T profile
Fast Rapid Short time Transient
Isothermal
93
Heating devices Flash lamp Heat pulse Incoherent light Infrared lamp Optical furnace Thermal furnace
Fig. 1.75 - An overview of terms used to describe Rapid Thermal Processes.
The RTF processes described next have been used to manufacture thin silica films (RTO), thin nitride films (RTN), thin nitrided oxide films (RTNO) and thin reoxidized nitrided oxide films (RTONO). They can also be used to fabricate composition-tailored insulators [243]. The cleaning (RTC) and the annealing (RTA) of the wafer can usually also be performed in the same apparatus.
^
Fig. 1.76 - Schematic representation of the time-temperature ranges used for Furnace Processing (FP), Rapid Thermal Processing (RTP) and Very Rapid Thermal Processing (VRTP) (after [244]).
1500 VRTP RIP
I-
FURNACE 500
H X -1
1
X
2
3
Log (time) (s)
4
Nota - Annealing for less than 1 second is indicated as a separate regime. Very Rapid Thermal Annealing (VRTP) is not currently accessible in most RT processing modules.
7.2. APPARATUS
Figure 1.77 shows a schematic cross-section of a single-wafer, rapid thermal processing module. In this module, heating is provided by a bank of tungsten-halogen lamps and temperature is monitored using an optical pyrometer for temperature measurement and a feedback system for controlling the power supplied to the lamp bank. The wafer is held by quartz pins and the reactive gas flows from the gas inlet (right) to the exhaust (left). This apparatus allows one to perform several processes sequentially in the same chamber. The small size of the chamber makes possible quick changes in reacting gases, while the substrate temperature, rather than the gas flux, switches on and off the chemical reactions. A computer controls the temperature between 700°C and 1200°C for reaction times between 0 and 300 s, and also selects which gas or combination of gases flows into the quartz chamber, which provides another degree of process control. The wafer is kept at high temperature only during the active chemical reaction and is kept at lower temperature during the gas purges.
94
B, Balland and A. Glachant
.«—
Lamp bank-
Process gases
wafer insertion removal
quartz tray quartz tube
pyrometer
Fig, 1.77- Schematic cross-section of the reaction chamber of an RTF module. (After [245] slightly modified).
Figure 1.78 illustrates typical temperature-time profiles used while, in Table 1.05, the gases used for each step are indicated. Gases most frequently used are ultra pure nitrogen, oxygen, hydrogen chloride, forming gas and ammonia. For example, RTC/RTA or RTO/RTN processes consist of two temperature steps. The first step is used to stabilize the system, typically at 775°C. The chemical process takes place during the second step, at a higher temperature, and lasts between 0 and 300 s. 1200
1
1
ei 1000 llOO^Ci o
1
•
3
1
1
1
1150*Q 1150*0/—I /; I
r
""
loso^Ci
i i 770*c\
I
1
CO
V'
L^
V.
0) Q.
r
RTA time
^
time
E 02-
0)
NH3 or N2.
-^r^
-H
(0
J
24
Wafer loading
Air
48
r
I
72
I
I
96
I
I
120
I
L_i
L
144 168 Time (s)
RT Oxidation
Rapid Thermal Cleaning
Fig. 1.78 - Wafer temperatures and gases used as a function of time for a typical RTC/RTO/RTA process in Ar or N2 (or RTN in NH3) (After [246] modified).
RT Annealing
RT (Oxy)Nitridation
Ar/10% H2
O2
N2
NH3
Ar/10% H2/O.5 -1.0% HC^
O2/I - 4.0% HC^
Ar
(NO)
N2/2% HCm.5% O2
(N2O)
Table 1.05 - Typical gases used for the RTF growth of oxides, nitrides and oxynitrides [246].
Silicay Silicon Nitride and Oxynitride Thin Films
95
13. RAPID THERMAL CLEANING
Native oxides encountered at the surface of silicon usually contain metallic impurities which may contaminate the silicon substrate during further steps. Moreover, the presence of a native oxide renders the manufacturing of very thin oxides difficult to control. Rapid Thermal Cleaning (RTC) allows for the reduction of native oxides and enables some silicon etching prior to growing Si02 film. It is typically performed at a temperature between 1000°C and 1150°C for 2 to 10 s. The gases used for RTC are Usted in Table 1.05. A chemical oxide {- 1-nm-thick) grows during the standard (liquid) cleaning process for which such solutions as ammonium hydroxide/hydrogen peroxide, hydrochloric acid/hydrogen peroxide, and sulfuric acid/hydrogen peroxide are used. By using the RTC process, it is possible to reduce this chemical oxide and thus to obtain, in-situ, an ultra clean silicon surface prior to film growth. It follows that wafers can be transported from the standard cleaning station to the RTP equipment without the need to perform a buffered oxide etch prior to oxidation. During a thermal treatment in an 02-free ambient (less than 100 ppb of oxygen), the thin chemical oxide is reduced by reaction of the Si02 film with the Si of the substrate and the formation of volatile SiO species following: Si + Si02-^2SiOT
(1.15)
SiO is volatile at temperatures above 750''C. When the Si02 film is removed, the silicon wafer starts to etch, in a low O2 partial pressure: Si + 02-^2SiOT
(1.16)
In an Ar/10% H2 ambient, the reduction of chemical oxides proceeds at rates shown in Table 1.06. These data show that RTC can be accomplished in a matter of 2 to 5 s at a steady state temperature (RTC etch rate), while 10 to 40% of etching takes place during the temperature ramp (ramp-up etch). Argon serves as an inert carrier gas, while it is believed that H2 reduces Si to gaseous SiHx and also allows for the formation of O and SiO. This cleaning eliminates also metallic impurities which adhere to the silicon surface, by forming the corresponding metal halides.
1
Temperature (°C)
Etch rate during T ramp (nm/s)
1150
0.4
0.1
1100
0.3
0.04
1050
0.2
0.015
1000
0.05
0.005
950
Etch rate at constant T (nm/s)
0.002
Table 1.06 - Etch rates of chemical oxides during Rapid Thermal Cleaning in Ar/10% H2, as a function of temperature
96
B, Balland and A, Glachant
The kinetics of silicon etch in an H2/HC^ ambient can be represented by a series of adsorption-desorption reactions and the subsequent chemical reaction of the absorbed species. The potential reactions are represented by : nHC/^ + Si —> SiC/n * + nif *
(1.17)
l/2H2(g)-\- *"->if*
(1.18)
(2x-4)m + (4'X)HC/'' + Si (s) —> SiH^Cf'4.^ (g)
(1.19)
SiH^C/^4-x (g) - > SiH^ C/4.X (g)
(1.20)
where * designates a free site on the surface. These kinetics have been studied for conventional FG cleanings and should be the same for RTC because in both cases they are thermally driven. The gas mixture containing HC^ has been found to produce some pitting of the silicon. Thus an optimum cleaning process has been developed whereby any chemical or native oxide is first reduced in Ar/10% H2, followed by a treatment, for 2 to 5 seconds, in Ar/10% H2/0.5% HC^. 7.4. RAPID THERMAL OXIDATION OF SILICON
Using conventional oxidation techniques (i.e. thermal FG-oxidation) silica can be obtained in very thin layers either by lowering the growth temperature or by diluting the oxidizing gas and/or by reducing pressure (see § 2.3.1). However, the oxydation of silicon at temperatures below 900°C generates large compressive stresses and a large fixed oxide charge. Moreover, a considerable amount of moisture is incorporated into the silica film during the boat-loading and unloading steps. Unless water is fully removed from the film, hydrogen forms centers which act as electron traps and degrade the film quality (see Chap. 2 of Vol. 2 of this book series and Chap. 11 of this volume). Traditional FG-oxidation is thus becoming more and more difficult to use, to grow very thin gate insulators (VTGIs). Rapid Thermal Oxidation (RTO) has proved a very attractive and efficient technique to grow device-quality thin Si02. We present next its principle and we compare some physical and electrical properties of oxides obtained by RTO to those of conventional (FG) oxides. 7.4.1. Basic process Nulman et al. succeeded for the first time in 1985 to grow thin Si02 film by RTO [250251]. Since then many publications have dealt with RTO [137, 244-282]. • Apparatus Thin RTO films are grown in a well-controlled oxygen ambient. The gas flow is monitored by computer-controlled mass flow controllers. The RTF chamber (Fig. 1.77) is equipped with tungsten-halogen lamp heaters. The reaction chamber is a quartz tube. The temperature is controlled with an in-situ thermocouple and a microprocessorcontrolled feedback system and/or with a calibrated optical pyrometer. A reflector can be used to provide a uniform heating of the wafer.
Silica, Silicon Nitride and Oxynitride Thin Films
97
• Procedure Silicon wafers are chemically cleaned and then "spun dry" in an N2 ambient. Wafers are introduced in an N2-filled quartz chamber and the oxygen gas flow is switched on. Oxidation is carried out in a pure oxygen ambient or in oxygen with 4% HC^. A first heating step is carried out at 800°C for 30 s in order to stabilize the process conditions (Fig. 1.78). The oxide grows during a second step, set at 1150°C for a duration of 5-30 s. This temperature has been chosen in order to achieve optimum chlorine gettering. This two-step approach lets the wafer reach the oxidation conditions in the shortest possible time (the heating and cooling rates are typically + 100°C/s and -60°C/s respectively). Once the oxidation step is completed, the oxygen flow is discontinued and nitrogen is introduced. After a short purge period, a similar two-step process, but in N2, follows. This last high-temperature post-oxidation annealing step, typically performed at 1050°C, stabilizes the Si02- Si interface and reduces the interface state density. 1A.2. Thickness uniformity The thickness of RTO films, measured by means of either a Nanospec apparatus or an ellipsometer, has been found to be as uniform across the wafer as in the case of conventional FG oxides. This uniformity depends on how the temperature is distributed across the wafer diameter. A fairly uniform thickness can be obtained from center to about 10 mm from the edge regardless of the ramp rate. In the outer ring, the thickness drops significantly (by more than 15%) due to radiative heat loss mechanisms. A good thermal repeatability of the two-step RTO process is ensured by the feedback control system. The "slip results" indicate that slip dislocations are generated more easily at higher temperatures and for faster temperature ramps. RTO can be a slip-free process in a wide range of process temperatures and times, if the transient and steady-state temperature gradients, particularly near the wafer edge, are eliminated. 7.4.3. Growth kinetics Figures 1.79 a and b show how the thickness of an RTO film varies as a function of oxidation time. Figure 1.79 b also shows the growth enhancement resulting from an addition of 4% HC^ to the oxidizing ambient. In the RTO process, oxide growth starts during the temperature ramp-up portion of the RTF cycle resulting in a thickness off-set (- 2.8 nm) at zero RTO time. This initial oxide protects the silicon surface from being etched by chlorine (which would result in pitting of the silicon surface) [246]. •Growth rate Figure 1.80 shows how the oxide thickness typically varies as a function of oxidation time for temperatures between 800''C and 1150°C in a pure dry O2 ambient, obtained from a one-step RTO cycle. Growth data, such as those of Fig. 1.80, shows that the growth rate, initially very fast, decreases with oxidation time (i.e. with oxide thickness). The linear regime is followed by a fast parabolic regime before growth become linear again. The second linear regime corresponds, at high temperatures, to the linear oxidation kinetics described by Deal and Grove. The oxidation models, conceived for oxides grown over long periods (see e.g. Chap. 1 of Vol. 1 [10]) cannot accurately predict the growth kinetics of RTO. This is because transient physical processes, other than simple one-species
98
B. Balland and A. Glachant ^i ,''
E c
,'3''' .--'''I
S 10 S 8 o
'£
^^
6 •
4 0
10
(a)
20 Time
30 (s)
.,
^
•
1
r •
r
•
10
15
1
20 25 30 RTO Time (s)
1150^0
Dry Oxygen One step E S15
1100^C
1050**C
Fig. 1.79 - Typical growth rates of RTO films (a) The thickness of an RTO film is plotted as a function of oxidation time (on a linear scale) during the initial growth regime (T = IISO^'C). (b) The thickness of an RTO film is plotted as a function of RTO time at a temperature of 1150°C in dry O2 (^ and in O2 with 4% HC/ (D). (After [246] slightly modified).
Fig. 1.80 - Typical growth rates of RTO films at temperatures between 800°C and 1150°C, in dry 02.(After [246] slightly modified).
80
100
120
140
RTO Time (s)
diffusion and interface reaction, may play an important role. The results shown in Fig. 1.80 disregard the ramp-up growth and the native oxide.
Silica, Silicon Nitride and Oxynitride Thin Films
99
10 • EA = 1.27 eV
8
• EA = 1.44 eV o EA = 1.71 eV
'co 6 E c 0)
Fig. 1.81 - Arrhenius plot ofRTO growth rates (nm/s) versus 1/kT (eV)'^ for the linear regime (10-sec window), for various RTO apparatus. (After [249] modified).
7.5
8.0 1/kT (eV ')
• Activation energy For RTO temperatures between 900°C and 1150°C and times less than 20 s, the oxidation rate in the linear regime has an activation energy of « 0.9 to 2 eV [246247] as shown by the Arrhenius plot of Fig. 1.81. 7.5. COMPARED ELECTRICAL PROPERTIES OF R T AND F G OXIDE FILMS
7.5.1. Conduction properties To compare the conduction properties of RT and FG oxides, MOS capacitors have been fabricated with both types of thin (8 -10 nm) films. The electrical characteristics of the films have been assessed by I-V and C-V measurements. Typical I(V) and C(V) curves are given in Figs. 1.82 and 1.83. • I(V) curves Three consecutive voltage ramps have been applied to the virgin RTO structure, up to 10 volts. As visible in Fig. 1.82, these ramps cause no visible degradation of the film characteristics. A fourth voltage ramp applied up to 12 volts leads to destructive breakdown. The same four ramps have been also applied to an FG oxide. The I(V) curves shown in Fig. 1.82 are similar but significant consequences of voltage stress are seen both in the base leakage current and in the Fowler-Nordheim region for the FG film. The lack of shift of the I(V) curves for RT oxides is a consequence of the very low trap generation as compared to FG oxides. The parts of the I(V) curves which correspond to FN conduction display indeed two distinct slopes. The last voltages in the curves of Fig. 1.82 correspond to destructive breakdown [245 - 246]. • C(V) curves High-frequency (1 MHz) C(V) measurements yield in both cases (RTO and FGO) a flat-band voltage value of « - 0.9 volt (this VpB value depends on the substrate resistivity) and a total flat-band charge of 2.5 10^ cm-2. An example is shown in Fig. 1.83 (curve 3).
100
B. Balland and A, Glachant
A shift of -0.15 volt in VpB is observed when the sample is stressed under 15 V at 300''C for 15 min. A AVpB of +0.10 volt is observed on a control sample which has been postannealed in N2 in a furnace.
Fig. 1.82 ' I-V curve measured on MOS capacitors: • with 10-nm thick FG oxide (for four consecutive I'V ramps) •with 10-nm thick RT oxide (for four consecutive I-V ramps) (After [243]). The M(RT)OS capacitor is in a virgin condition before the first ramp. The current values for the first four ramps overlap exactly until catastrophic breakdown is allowed to occur (during the fourth ramp only).
9 1 2 Gate voltage (V)
The effects of RTC on the electrical properties of Si02 films have been studied by comparing C-V data for films grown by RTC/RTA with and without RTC. Figure 1.83 shows such curves for a 16-nm Si02 film grown at 1150°C in dry O2 and subsequently annealed at 1050°C in N2 for 31 s. A reduction of interface states is clearly indicated in the C-V curves of Fig. 1.83 (curve 1) by the sharper transition from charge accumulation to inversion for the wafer with RTC compared to that without [243]. From I(V) and C(V) measurements we can conclude that the dielectric strength and the quality of the Si02-Si interface in RT oxides are equal to or better than those of comparable FG oxides.
Fig. 1.83 - C-V curves of MOS structures. (Dforaie.O-nmoxide (RTC-RTORTA). ® for a 16.0-nm oxide (RTORTA). ®for a 10.9-nm RT oxide (grown at 1000°C in a dry O2 ambient for 80 s). Both HF and LF curves are shown. (After [243] slightly modified).
-1
0 1 Gate voltage (V)
Silica, Silicon Nitride and Oxynitride Thin Films
101
7.5.2. Interface trap density The interface trap distributions of FGO and RTO films are compared in Fig. 1.84. Diluted and wet FGO samples have nearly U-shaped Dit distributions. RTO samples have similarly shaped distribution with smaller Dit concentrations than FGO samples. The Dit distributions of FGO and RTO samples become nearly equal as the RTO temperature is decreased and no difference is observed when oxidation takes place at lOOO^'C. RTO films formed at 1200°C show the largest decrease in Dit, in the upper side of the Si02 bandgap (Fig. 1.84). This decrease is however not due to the type of oxidation process but to the oxidation temperature itself.
Fig. 1,84' Distributions of interface traps in FGO and RTO
films: (D Wet FGO (850''C) grown in a 1:1 H2/O2 ambient, ® Dry FGO (800°C) grown in a 2:1 N2/O2 ambient, 0) FGO (950°C) in dry O2, ®RTO (lOOO^'C) in dry O2, ®RTO (llOO'^C) in dry O2. (After [243] slightly modified).
0.4
0.6
0.8
1.0
1.2
Surface potential (V) The Dit values found for p+-polysilicon gate MOS structures are more than one order of magnitude above those of similar n+-polysilicon gate structures. This significant degradation is apparently caused by the penetration of boron atoms into the ultra thin gate oxide. This penetration not only degrades the interfacial properties but also may enhance carrier trapping in the gate insulator and reduce the device lifetime. 7.5.3. Dielectric strength Distributions of breakdown field values measured on FGO films are centered around 11 MV/cm while similar distributions for RTO films are shifted by about + 1 MV/cm. Moreover, some RTO capacitors display breakdown fields above 12 MV/cm and an average charge to breakdown (QBD) value of 50 C/cirfi (at a stress current density of 1 A/cm^).
102
B, Balland and A. Glachant
In time-Zero Dielectric Breakdown (TZDB) tests, a negative voltage ramp is applied to the gate electrode and the breakdown voltage is defined as that voltage reached across the oxide when a leakage current of 1 |iA is measured [243]. In Fig. 1.85 the TZDB characteristics of an FGO film grown at 950°C in dry O2 and an RTO film grown at lOOO^C in dry O2, for two thicknesses (7.5 and 9.8 nm) are compared. The TZDB of RTO films is better than that of FGO films on two counts: (1) low-field (< 1 MV/cm) and medium-voltage breakdown rarely occur in RTO films; (2) the maximum breakdown frequency occurs at higher fields in RTO films [243]. 80 o c
0) 3
RTO - — FGO
60
cr o 40
c o 20 •o
1 1 1 1
1 1
—
1 "-n
CO
o (a)
0 5 Breakdown
10 15 field (MV/cm)
CD
(b)
"%
T _ "
!
1
0 0
5
Breakdown
10 field
LJ
1
15
(MV/cm)
Fig. 1.85 ' Time-zero dielectric breakdown histograms measured on MOS capacitors fabricated with RTO and FGO films. The film thicknesses are (a) 7.5 nm and (b) 9.8 nm, respectively [243].
In Fig. 1.86, the time to breakdown (tBo) data, measured on 9.8-nm-thick oxide films fabricated by different techniques, have been plotted versus Jinj, the density of the injected current. Time tsD is found to vary as l/Jinj^'"^. We note that the values of tsD for RTO films are consistently larger than those of FGO films and that they increase when oxidation temperature increases. These larger tsD values have been explained by a reduction in the amount of defects, especially strained Si-O-Si bonds and Si dangling bonds at the Si02-Si interface, due to the higher oxidation and anneal temperatures used in the RTO process [243]. 7.5.4. Role of annealings As shown in Fig. 1.87, the rapid post-oxidation thermal annealing, in Ar + N2 or Ar + O2 at lOSO'^C, of RT oxides, results in a rapid decrease in VpB whose value approaches the ideal work function value. This decrease is correlated to a decrease in Dit (below 10^^ eV-^cm-^ after 40 s for RTO compared to 5.10^^ eV-^cm-^ for FGO). Similarly, the average breakdown field of annealed RT oxides has been observed to increase to a value of 15 MV/cm, as compared to 13.5 MV/cm for FGO.
Silica, Silicon Nitride and Oxynitride Thin Films
103
Fig. 1.86 - Mean-time-to-breakdown (tQp) values versus injected current density (Jinj)' Oxidation conditions are shown as a parameter: 0FGO (diluted, 800°C), a>FGO(wet,850''C), (3>FGO(dry,1000°C), ®RTO(dry,1000''C) ®RTO(dry, 1200''C). The film thickness is 9.8 nm. (After [243] slightly modified).
10" 10 Injected current density
10
(AcmO
A post-oxidation RTA, as short as 10 s, has been shown to reduce both electron and hole trapping. A low-level nitridation takes place during RTA in nitrogen. RTA in NH3 (as discussed in the following section) results in films with better properties than those annealed in N2. The QBD value measured on 10-nn oxides increases from 20 C/cnfi for FGO films to 80 C/cm^ for RTO/RTA films [246]. Since QBD is directly correlated to electron trapping in Si02, it is concluded that electron trapping on water-related centers occurs at a much smaller rate in RTO films annealed in Ar + N2 and Ar + O2 than in FGO films. By comparison, hole trapping is optimally reduced by RTA in Ar + O2 for (longer) times of 100 s at a (higher) temperature of lOOOX [250].
25.0 E o H 20.0 > Q
15.0 10.0
-0.25 0.0
20.0
40.0 RTA time (s)
Fig. 1.87 - Illustration of the influence of the post-oxidation RTA time on the flat band voltage (upper curve) and on the breakdown field (lower curve) of MOS capacitors annealed in Ar + O2. (After [246] slightly modified).
104
B, Balland and A, Glachant
7.6. RAPID THERMAL NITRIDATION OF THIN OXIDES IN AMMONIA
The incorporation of nitrogen in the Si02 network of an oxide film by Rapid Thermal Nitridation (RTN) yields a nitrogen-rich surface layer, a bulk oxide with a small concentration of nitrogen, and an interface region consisting of an interfacial nitride layer and an oxygenrich layer. RTN gives oxides several advantages such as an increased barrier to dopant diffusion [228, 251], an increased resistance to interface trap generation under electrical stress [106], a reduced sensitivity to radiation, an increased endurance to hot-electron injection [121, 177], and a dielectric constant larger than that of pure oxides. In this sub-section, the rapid thermal nitridation (RTN) of thermal oxides films is described and the main physical, chemical and electrical properties of these films are sunmiarized. In this case, the RTA part of the cycle shown in Fig. 1.78 is replaced by RTN. 7.6.1. Basic process The Rapid Thermal Nitridation of RTO films is performed in a pure anmionia ambient (containing less than 1 ppm H2O, at atmospheric pressure, for typically less than 60 s [243]. NH3 is introduced after a 10 s purge in nitrogen at 770''C. This is followed by two hightemperature pulses [243]. The first temperature pulse is set at about 800''C, i.e. below the threshold temperature for nitridation, in order to quickly reach the actual nitridation temperature and thus reduce the early incorporation of nitrogen to an insignificant amount. The second temperature pulse is chosen between 900 and 12(X)°C with nitridation times between 3 s and 300 s. Finally, ammonia is switched off after this second step and nitrogen is introduced inside the reactor. 7.6.2. Composition of RTNO films Nitrided Oxide films, fabricated by means of the RT process (abbr. RTNO), have been studied using various techniques such as AES [216, 245, 246, 254], XPS [249], RBS, etch rate and TEM [21b] or SIMS [249-254]. Figure 1.88 shows the [H], [O] and/or [N] in-depth profiles, obtained by AES, of RTNO films nitrided at 1150°C for 3 and 300 s. These experimental results show that [N] below the extemal surface tends to increase with RTN time and temperature; [N] saturates at 1.7 x lO^^ cm-^ [20f]. Generally speaking, the largest variations in the amount of nitrogen incorporated into the starting oxide film are observed for the thinnest oxide films. Nitridation is not observed for temperatures below 900°C, whereas above 1050''C, surface nitridation is accomplished in a very short time (3 s at 1150°C). Surface nitridation is essentially a reaction-rate-limited process and the ensuing [N] depends on the RTN temperature and not on the oxide thickness. For prolonged RTN, the nitrogen concentration in the Si02/Si interfacial region increases. In fact, interfacial [N] appears at the early stages of the RT process and even for the least severe nitridation conditions (950''C, 30 s). It increases monotonically with time and slightly with temperature but does not saturate. This observation suggests that the concentration of the nitriding species at the interface is not rate limiting in the process. This interfacial N-rich layer is believed to be between 0.3-0.6 nm thick [247]. Hence the RTNO film appears as a nitride/oxide/nitride (NON) structure.
Silica, Silicon Nitride and Oxynitride Thin Films
105
During nitridation, the thinnest oxides [249] behave with time and temperature just like thicker oxide films, but depending on the values of the RTN parameters, nitridation of the bulk oxide also occurs. During the early stages of RTN, nitrogen piles up at the oxide/silicon interface, and in the final stages it distributes uniformly throughout the film (Fig. 1.88b), until complete saturation (formation of an oxynitride). No increase in oxide thickness due to nitridation is observed. Finally, the hydrogen concentration is found to increase monotonously as nitridation proceeds. The physical, optical, and electrical properties of nitrided oxides are related to the presence and the behavior of the reaction by-products in the oxide. ^ 100
RTN time = 3s
80 h Oxygen
o
100
RTN time = 300s
80
SiliconOxygen
CO
60 0)
o c o o o E o 2.5 (a)
5.0 7.5 10.0 Sputter time (min)
3 (b)
6
9
Sputter
time
12 (min)
Fig. 1.88 ' Auger in-depth profiles of a nitrided oxide, (a) RTN time of 3 s at 1I50°C, (b) RTN time of 300 s at 1150°C. The thickness of the RTOfilm is tox = lUnm. (After [245] slightly modified).
7.6.3. Optical refractive index EUipsometry is used to characterize the RTNO films as a function of RTN time and temperature. Figures 1.89 a and b show how the optical refractive index of an 8-nm-thick RTNO film varies with nitridation time and temperature. Index values are in the 1.45 -1.68 range. These indices depend on the nitrogen content. A longer RTN time results in index values above 1.7 (NON structure). 7.7. ELECTRICAL PROPERTIES OF RTNO 7.7.1. C-V characteristics Rapid thermal nitridation affects the high- and low-frequency C-V characteristics of MOS capacitors in several ways. For nitridation temperatures below 1000°C, a large negative shift is observed. For higher temperatures, the initial negative shift is smaller and tends to disappear as nitridation time increases (Fig. 1.90a). These shifts in VpB values are due to complex variations in Nf and Dit at midgap, with time and temperature, and probably to some variation of the work function difference between the gate electrode material and the Si substrate.
106
B. Balland and A. Glachant
^-''^''"•l ^
X
a> 1.7 c _
•
1.6 k
••'
> ^ • *^ o •^ 5 1.5 - .•^ «0) *« • ^
1.5 tox = 8nm
1.4
Oxide-* NO#-NON-ff-Oxy litride
1.71-
[..•-•-
. 1.
60
1
120
,.,
1
180
tox = 8nm RTN time = 120s
1
I-
RTN time (s)
(a)
1
0
1.4 L
240
' • "
-^"
RTN temp. = 1150^C ,
• •
1
800 / jj ^
I
1
1
J
1000 1200 RTN temperature ("C)
Fig. 1.89 - Optical refractive index ofnitrided oxide films versus RTN time (a) and RTN temperature (b).
4.8 RTN temp. == n50°c -n4--
•£ 4.6
^ ^Jc"^ - ^ - - ^ "
o
.-^ir
M C
'^^'
o
0 4.4
u
i
^ 4.2 a tox = lOnm
-3
-2 -1 0 Gate voltage (V)
-^^-^ ^^' .^"# ..-^r^'
1 1
.^-^
.0--
^^•^ " 960°C 900°C
---•--"••-•'- - — • - - •
r
RTN temp. = n s e c
-4
V
1050°C
vJAiuo^o.y;
3.8
tox = 8nm 1
100
200 300 RTN time (s)
Fig. 1.90 ' (Left) High-frequency C-V curves of MIS capacitors with oxides nitrided by RTN at IISO'^C for several nitridation times. (After [254] slightly modified). Fig. 1.91 - (Right) Dielectric constant Ejsfo versus nitridation time for several nitridation temperatures. (After [245] slightly modified).
7.7.2. Dielectric constant The Cacc value (dynamic capacitance in the accumulation mode) of RTNO capacitors is found to increase with RTN time and temperature. Increases as large as 20% have been observed (as shown in Fig. 1.90 for a 10-nm-thick RTNO film). Sputter Auger profiles show that the thickness of the nitrided oxide does not change. Consequently, the observed increase in Cacc is only due to an increase in dielectric constant.
Silica, Silicon Nitride and Oxynitride Thin Films
107
Figure 1.91 illustrates that £NO increases monotonously with nitridation time and temperature. Compared with the original oxide, nitridation for 5 to 300 s results in increases of 8NO of about 12 and 20 percent respectively. These increases are found to follow increases in [N] at the interface. For similar conditions, £NO increase faster if the oxide film is thinner. 7.7.3. Fixed charge and interface states In thin nitrided oxides, interface traps and fixed charges are found to vary strongly with RTN time and temperature. Variations of Nf and Dit, calculated by combining high-frequency and quasi-static C-V measurements, are plotted versus nitridation time in Fig. 1.92. Two temperature ranges must be distinguished: below and above 950°C.
L
E o ^' 5
Nitridation temp. = 950X
p Cv-•»•"•
7
900'C
T-
^ ^ '**
1050'C ^--t.
1150X A
•A
-1 L
L.
100 (a)
J.J 200 300 Nitridation time (s) i
Nitridation temp. = 950X
0 •
^
•'• 9 ^ ^
5
^ ^
-
-
-
-
-
^
^-^
^'^
^^-*A.
t,'V-^
'
-
(a) Variation in the fixed oxide charge density with RT-nitridation time for several nitridation temperatures. (b) Variation in the midgap density of interface states with RTnitridation time for several nitridation temperatures. (After [249] slightly modified).
1050*C
•"•--—-.-
»^a-^
nl
-
900'C
f 7 X X^^si^;: W
(b)
.
Fig. 1.92 - Illustration of how the electrical properties of the Si02-Si interface are modified by Rapid Thermal Nitridation. (The oxide film is 8-nm-thick).
115o»c
1
1
100
200 Nitridation time
LJ
300 (s)
• Below 950°C, Nf and Dit increase regularly during the early stages and then tend to saturate for longer nitridation times.
108
B, Balland and A, Glachant
• Above 950°C, both Nf and Dit first increase sharply at a rate which depends on the RTN temperature, reach a maximum, and then decrease monotonically at a rate which again depends on RTN temperature [249, 255]. For long nitridation times, Nf and Dit reach values comparable to those of FG oxides. The similarity in the behavior of Nf and Djt suggests that the physical and chemical mechanisms underlying these changes are the same in both cases. Models explaining the role of RTN, on ANf and ADit, based on mechanisms involving nitrogen, hydrogen, and Si-0 bonds, have been proposed by Hori et al [255]. In a first step, defects form due to the incorporation of nitrogen while in a second step these defects are reduced through a process similar to high-temporature annuealing [255]. This reduction could also be due to the fact that at higher temperatures, surface nitridation is enhanced and blocks the subsequent in-diffusion of N-rich species. This blocking action slows down further interfacial nitridation. An alternative explanation is to consider the thermal and chemical changes taking place at the interface and their influence on the partial charge transfer in interface bonds [256]. It is well known that a thermally-grown silica film on silicon is under compressive stress above the Si02-Si interface (due to a mismatch of the two lattices) while a nitride film on Si is under (macroscopic) tensile stress (see Sect. 2). Nitrogen-related defects are formed under the influence of interfacial stress. A sufficiently large incorporation of nitrogen relaxes the initial stress and leads to the healing out of the existing defects and thus to a decrease in Nf and D^. Once interfacial nitridation has reached a certain level, the interface stress disappears and the strain energy is reduced because the Si lattice and the SiOxNy lattices more or less match. Above this level, the further incorporation of nitrogen is hampered by the generation of a counter stress. Whatever the mechanism may be, Nf is shown to increase with increasing [N] and then to decrease, as illustrated in Fig. 1.93. Nitridation temp. T 9 0 0 ' C
6
..;;;;p;;. .•i3:L^^§jij:..
a pso'C Ol050°C
I
e
4 1 , %
o
A.
Fig. 1.93 - Variation in fixed oxide charge density with nitrogen concentration at the interface. (After [242] slightly modified).
•,•• J6
•I:'"^'
>^^.
2 ,••-••,•
n
••• • •
•«,••,••.
1
1
1
LIIILA
8 12 16 [N] at interface (at %)
1
Silica, Silicon Nitride and Oxynitride Thin Films
109
7.7.4. Behavior of RTNO films under electric stress In a study reported in [242], very thin (< 5 nm) RTNO MIS structures have been submitted to electron injection (electrons being injected from the gate electrode into the RTNO film by FN tunneling). The injected current was kept constant (= 10 mA/cm^). The effects of this stress on the leakage current and on Time-Dependant Dielectric Breakdown (TDDB) is reported below. i) Effect on oxide leakage current For all samples, the I-V curves measured before stress are nearly identical as visible in Fig. 1.94 a. After an injection stress (Qinj = 0.1 C/cm^), a sizeable leakage current appears for all samples, below 8 MV/cm, as seen in Fig. 1.94 b. It is observed that the current passing through the oxide increases for electric fields in the 6-8 MV/cm range, with the current increase becoming larger in samples nitrided at higher temperatures. At fields larger than 8 MV/cm, the current through the oxide decreases. This behavior is attributed to the role of nitrogen affecting the tunneling barrier for electrons and holes, the changing interfacial fixed charge density, and the lower conductivity of RTN oxides and their weaker field dependence. This leakage current increases with nitridation time. Although this leakage current is also encountered in thicker (> 10 nm) oxide samples, it plays a more important role when oxide are thinner. The mechanism of this low-field conduction is not fully known yet but it seems to fit an FN type of conduction with a reduced barrier height.
6 (a)
8 10 E (MV/cm)
6 (b)
8 10 E (MV/cm)
Fig. 1,94 ' Leakage current-versus electric field curves measured on MIS samples before (a) and after (b) FN injection. The oxide samples are S-nm-thick and have been nitrided for various lengths of time in NH^ via an RTF process: (a) I-E curves before stress (Dpure Si02, ©after 10 s of nitridation, ® after 20 s of nitridation, ® after 30 s of nitridation, (b) I-E curves obtained after injecting Qinj = 0.1 C/crr?. (After [242] slightly modified).
It is believed that FN electron injection increases the number of electron traps and that this creation is made easier as nitridation time is increased. The leakage current, which results from an increase in the number of electron traps, acts as a trigger for oxide breakdown.
B. Balland and A, Glachant
no
ii) Effect on dielectric strength Figure 1.95 shows the increase in gate voltage (AVQ) necessary to maintain a constant injection of 10 mA/cm^ in the oxide samples. • For pure Si02 film (case ©) AVQ is positive which means that hole generation and trapping dominate during the early stages of injection. • For RTNO films, AVG is negative which means that electron trapping dominates during injection. AVG is found to increase with nitridation time. The ratio AVc/AQinj (i.e. the slope of the AVc-Qinj curve) is proportional to the product of the capture cross-section of the traps and of the trap density (see Chap. 10 of Vol. 2 ) . Figure 1.95 shows that this ratio increases with nitridation time. Figure 1.95 also shows that the charge-to-breakdown value (QBD) decreases with increasing nitridation time. We can thus conclude that in RTNO sample nitrided in NH3, the electron trapping process dominates and that the amount of created electron traps increases with nitridation time. -0.5
0.6 Q
0.8 1.0 • (C cm"2)
Fig. 1.95 - The increase in gate voltage necessary to maintain a constant injection current is plotted versus the injected charge for various silica samples nitrided via an RTN process: (Dpure silica film, ® nitridation for 10 s, (3> nitridation for 20 s, ® nitridation for 30 s, ® nitridation for 60 s. Arrows indicate that the film was destroyed by dielectric breakdown. (After [242] slightly modified).
Dielectric breakdown in very thin Si02 could result from an increase in low-field leakage due to the enlargement of defect-related "weak spots". Another possibility is that tunneling occurs in the regions where conduction relies on the field-assisted hopping of electrons from one trap to another i.e. where Poole-Frenkel conduction dominates. In RTNO samples, a strong correlation is found between QBD» the ratio AVc/AQinj and the nitridation time. Charge QBD is proportional to (AVc/AQinj)"^ with k = 0.56, [242-243]. The charge trapping characteristics of silica film have been explained by means of the broken bond model (see Chap. 2 of Vol. 1) and by the role hydrogen-containing species, such as -H and -OH or -NH bonds play in electron trapping. -H and -OH bonds are created during RTN as a result of the dissociation of NH3 and are responsible for the large number of electron traps in nitrided oxides. Electron trapping in high-field stress is associated with chemical reactions such as: 03=Si'H +e-—> 03=S> -vRo (1.21) or 03=Si^NH + ^ - —> 03=Si- +NHO (1.22)
Silica, Silicon Nitride and Oxynitride Thin Films
111
Fourier Transform InfraRed measurements show that during RT nitridation in NH3 a large number of N-H bonds (« 2.9 10^1 at/cm^) and Si-H bonds (« 3.3 10^0 at/cm^) form in the film. These sites act as electron traps. Thus if the weak s Si-H, = Si-NH and /or = Si-O-Si = bonds encountered in pure silica, are minimized by the formation of more stable bonds, such as SiN bonds (4.6 eV), the electron trapping density can be reduced. This can lead to a larger QBD value. Thus, in ultra thin RTNO films, the density of electron traps must be minimized to reduce the leakage current and increase QBD- The rapid thermal reoxidation of nitrided oxides eliminates these hydrogen-containing species via the reactions: 2 (=Si ^H) + 0 ^ = Si-0-Si
= + H2
(1.23)
and = Si- H +=Si -OH +0-> = Si-0-Si=
+H2O
(1.24)
which results in the reduction of electron traps in nitrided oxides while niaintaining all other advantages of RTN [259 - 261]. 7.8. CONCLUSION
Thermal nitridation was formerly considered as a step difficult to apply to a ULSI process. This difficulty stemmed from the high temperatures and long durations attached to the fumace process. Rapid Thermal Processes (RTO and RTN), using a lamp-heated apparatus have made it possible to minimize the duration of the oxidation and nitridation steps and thus have allowed a reduction of impurity redistribution and stress at the interface. Thin oxides grown at 1150°C show superior electrical characteristics (breakdown fields of 15 MV/cm and interface state densities below 10^^ eV'l cm-^) compared to oxides grown in diffusion furnaces at low temperatures. When an additional RTN step is added, oxides display characteristics similar to those of annealed oxides, while gaining both a superficial nitride layer and a nitrogen-rich interfacial layer. Rapid Thermal Processing is mostly exploratory and developmental in nature but it is slowly being introduced as a manufacturing technique of Si devices. It is an important new direction. The greater use of RTP in routine production will depend on either demonstrated productivity/cost advantages or on some intrinsic advantage of RTP (single wafer processing, possibility of selectively enhancing a desired process over another undesired reaction, etc.). Temperature uniformity and repeatability will also need to be better garanteed. 8. THIN OXYNITRIDE FILMS GROWN IN N2O AND NO AMBIENTS The nitridation of silica in gaseous ammonia introduces a substantial amount of hydrogen in the film which in tum enhances the density of electron traps, results in a fixed-charge buildup, and causes the leakage current to increase. However, the primary purpose of nitridation is usually to introduce a small concentration of nitrogen at the Si02-Si interface to improve the interfacial quality and the charge-to-breakdown.
112
B, Balland and A. Glachant
Nitridations conducted in oxidizing ambients have been found to suppress or reduce some of the disadvantages of nitridation while preserving its advantages. 8.1. THE MAJOR PROCESSES
Alternative nitridation processes, using gaseous nitrous oxide (N2O) or nitric oxide (NO), have been developed [237, 262-294]. The successful oxynitridation of silicon in N2O has been demonstrated by using both Rapid Thermal OxyNitridation (abbr. RTON) and conventional Furnace OxyNitridation (abbr. FON). Several processes have been tested to get the best electrical characteristics for a given application. The four major processes which use N2O are given in Table 1.07. • Process 1
a) Conventionalfiamaceoxynitridation of silicon using [237-280] a pure N2O ambient (typ. T = 900°C, t = 60 min) b) Rapid thermal oxynitridation of silicon using a pure [237, 262-279] N2O ambient (typ. T = 1050°C, t = 100 s)
• Process 2
Rapid sequential thermal processing of silicon at various ambients: N2O followed by O2 and then N2O (typ. T = 1050°C)
• Process 3 (RTON)
Two-step process: (1) treatment in O2 (typ. for FON, T = 900°C, t = 60 [237, 280] min and for RTON, T = 1100°C, t = 20 s), followed by (2) treatment inN20 (typ. for FON, T = 900°C, [263, 271-275] t = 60 min and for RTON, T = 1100°C, t = 30 s)
\» Process 4 • Process 4 a • Process 4 b (RTONO)
[263, 271-275]
In-situ RT-oxidation followed by RT-nitridation: (1) ^ O2 (T = 1100°C, t = 60 s) ^ then (2) -^ NH3 (T = 900°C, t = 60 s) -^ then
a) [242, 253-261]
(a) -> dry O2 (T = 1000°C, t = 30 s)
b)[237, 277-279]
or (b) -» N2O (T = 1100°C, t = 30 s)
Table 1.07 - Characteristics of four oxynitridation processes using N2O.
Although nitridation in N2O can be performed directly on silicon (process 1), it usually follows an oxidation step, because the thickness of films grown directly in N2O can saturate at relatively low values. Two-step oxynitridation allows one to produce any desired thickness and yields superior electrical characteristics. The thin oxynitride films thus produced exhibit interesting electrical properties (QBD > 30 C/cm^ and a smaller change in Dit upon nitridation) which stem from the presence of nitrogen at the interface and from a low concentration of hydrogen-related species in the resulting film. The first three processes are hydrogen-free. The fourth process has been proposed to grow thin films for flash EEPROMs (a most promising device for the next generation of non-volatile memories) [277-279].
1
Silicay Silicon Nitride and Oxynitride Thin Films
113
8.2. OXYNITRIDATION IN N2O 8.2.1. Growth kinetics The growth of an "oxynitride" film in N2O is slower than a regular oxidation in O2 and is easier to control. Growth may be self-limited as nitridation time increases, depending on the amount of incorporated nitrogen [237, 269-270]. The growth rate strongly depends on temperature (T ~ 1000-1200'^C), as illustrated in Fig. 1.96a, on pressure, and on the dimensions of the RTP reactor (which in turn determine the amount of nitriding species). Typical growth curves are shown in Figs. 1.96. As illustrated in Fig. 1.96b, growth in N2O is twice slower than growth in O2. Figure 1.100 c shows the influence of temperature and crystal orientation on the growth rate in N2O. These data show that tox increases as approximately (time)l/^. From the Deal & Grove model [10a], this time dependence implies that the oxidation rate is limited primarily by the diffusion of the oxidizing species through the oxide, rather than by the chemical reaction at the Si-Si02 interface (which would produce a linear time dependence). This conclusion is supported by the data of Fig. 1.96c which shows a relatively small orientation dependance, especially at 1000°C, (on the contrary, reaction rates depend relatively strongly on crystal orientation). Soleimani et al. have reported a linear time-dependent oxidation rate [281]. Others [282285] have reported self-limited growth in N2O [274]. Low N2O flow rates produce a lower nitrogen concentration at the interface, which is a less effective diffusion barrier [285]. Ting [266] and Soleimani [281] have presented a model for growth kinetics of oxynitrides on Si in N2O using a FON process or a RTON process. 8.2.2. Growth mechanisms Studies on the (gas phase) dissociation of N2O near the Si wafer temperature, the dissociation products are NO (gas), O2 and O:
show that at high
• the primary decomposition is: N2O <=> N2+ O
(1.25)
• but the following reactions are expected to take place: N20 + 0<^ N2+O2
(1.26)
N20 + 0^2NO
(1.27)
The incorporation of nitrogen in the film is due to the diffusion of NO [274]. The NO radicals diffuse through the growing film via an interstitial mechanism (as explained in Chap. 7 of this volume). They have a weak probability of reacting with the network atoms but dissociate at the Si02/Si interface to form SiO^Ny. This explains why nitrogen is only encountered at the Si02-Si interface in N20-grown films.
114
B. Balland and A. Glachant
1"
a 900^C
c 30.0 ^-'
o 950^C
o
•g 20.0
.^-''' ..•''"' ,^'-'"' ^«»" ^*"
.^'•'" V - - " • '
o
--:1c-.---?--
2 10.0
o
^-t'" 1
• lOOO^C • 1050^0
W—A
I . I
A
1
1.
1
30
1
'••"' 1
1—1
60
E c m
I.
1
1
I
120
F
^ 02
L
°
[
T-
•-^ f
•
r
t
/
/ ^
y . -a
1
(min)
.4-^ '
^'
1
Oxidation time
/ 1
900*»C
1
I
180
N2O
1 0 h1
I
150
L^
in
c o
1
90
(a) 100
,---'^"
/ X
.'0
b Y
L
T A <111>
" ^ <100>
1
'''°' ^
10"
Oxidation time
1 1 1 1 m 1
L
t
10^ 1 (min)
(c)
X
''
_^
-'
-' A'-'
>i . * . ' 'f '^' ' ^ ' . ' A . r 'f^
.''-•'' .^d \
^ ^ 1
.'
•
X
-y^A
\ ^ •
P
>'6
/
1000»C
r
^
A 1 rf n i i 1
10^ (b)
X
•
''
^
•
'JLX
^'
¥ ' ' .'".^'a' 3 ^ .'^^'
900*'C
-p- a '-^
'V'/d'
L
1
iV
^ ^
1 ^1,1.1
\ 111 i
10
1
1 1 « 1 1k A j j
10^
Oxidation time
10 (min)
Fig. 1.96 - Typical growth data for the furnace oxynitridation of Si: (a) Growth kinetics in N2O at various temperatures, (b) Growth kinetics in O2 and N2O at 900°C; (c) Growth kinetics in N2O for two wafer orientations at 900''C and lOOO^'C (After [280] and [283] modified).
Both molecular and atomic oxygen contribute to the growth of the Si02 film. Molecular oxygen diffuses through the growing film to react with Si at the Si02-Si interface. Atomic oxygen reacts at the surface of the film and/or gets into an exchange mechanism with O atoms of the film. 8.2.3. Film composition The composition of oxynitride films grown in N2O differs slightly depending on whether the film is grown in a furnace or in an RTP apparatus. Extensive chemical analysis data of films grown in N2O have been obtained by AES, XPS and SIMS analysis [262-266]. The maximum [N] concentrations found vary between 1% and 6% as sunmiarized in Fig. 1.97. • Furnace-grown films The distribution of nitrogen in an FON film differs from that of an RTON film. More N is found in the bulk of FG films. SIMS results for O2/N2O processes are shown in Fig. 1.98 a. For the two-step O2/N2O sample, the N profile peaks at the Si-Si02 interface at a concentration of « 0.55 at.%, with a width of «(5 ± 1) nm a t « 0.3 at.%. [N] near the top of the oxide, far from the Si-Si02 interface, is only « 0.03 at.%.
Silica, Silicon Nitride and Oxynitride Thin Films
115
The reoxidation of an O2/N2O oxynitride in O2 causes an unusual behavior. A new Si02 layer grows at the Si02-Si interface under the nitrogen peak, and the existing N-rich layer remains essentially intact (Fig. 1.98 b). This behavior suggests that nitrogen atoms are chemically bonded in the oxide near the interface, rather than bonded exclusively, at the interface, with silicon atoms. 6 ^
f
5
1 1 1 1 1
1
'
'
'
1 ~ ""
• « •
1 1 1
=
1 1 1
"~ 3 IT ~
c a> o c o O
I } \ y-r 1 ( 1 1 j T t 1 1 ,
•i® '
^@ i
~
r
f 1 n
'
r
"-' 4 h c o
1 1 1 1 ^ 1 1 1 1
1 1 1
: ®^i
! ®
2 h
1 I I __!_ I 1 1 I
~
<
1 h
j
1 1
, , , _4 , , ,
J J J J "n J J
I
1
__ '
j
i
•_ 1 1
,
n
4 -
-J I
- J
,
J
^® i
1
Fig. 1.97 -Maximum [N] values found in ETON films (oxynitrides grown in N2O): (D - N2O furnace oxynitridation ® - N2O furnace oxynitridation (D - N2O furnace oxynitridation ® ' N2O furnace oxynitridation ® - N2O furnace oxynitridation ® - N2O furnace oxynitridation ® - N2O RTF oxynitridation ® - N2O RTF oxynitridation ® - N2O RTF oxynitridation © - N2O RTF oxynitridation (li)-N20 RTF oxynitridation Q-N2O RTF oxynitridation (After [292] modified)
T-T-I
i
I I
1 !
id
b j - i ~ i . .4 1 1 1 . 1.-L-1 1 -1—LJ-I-X..I I 1 1 \ 1 1 1 1 1 1 i-,.i 1 t..i... 1J
900°C
lOOO^C
1100°C
1200X
Temperature
(^ C) 1.00
1.00
i
•]
0.75
T 0.75
0—^i^x1
-ll
«
/ -j
0.50 Sl_
r y^M*^
M
0,25
[-1 0.00
1
0 (a)
5
10
15
Depth (nm)
20
1
0 (b)
I
5
I
1
10
1
1
15
1
S
0.25
g
\v 1
..v^V. 1
0.50
iL
1
\
A
0.00 1
20
1
25
Depth (nm)
Fig. 1.98 - SIMS profiles of N, O, and Si (a) for a two-step O2/N2O oxide and (b) for a reoxidized O2/N2O oxide [281].
• RTP'grown films To highlight the difference in the amount of N and H introduced by the various RTON processes, oxide films nitrided in NH3 and N2O have been compared [275]. Figure 1.99 shows the N and H in-depth concentration profiles: of a control oxide obtained by RT oxidation (a), of the same oxide nitrided in NH3 (RTN) (b) and of an RT-oxide treated in N2O (c). The film thickness has been estimated at 5 nm using ellipsometry [275].
116
J5. Balland and A, Glachant
• A^ in-depth concentration We note the presence of nitrogen in the RTO film. This nitrogen comes from the gas ambient which was only 99.9% pure. We note also that, compared with nitridation in N2O, more nitrogen is found in the bulk of the RTN sample and that [N] at the Si02-Si interface is also larger. It is clear from these results that, in both cases, during the nitridation of Si02, the nitriding species diffuses and N piles up at the Si02-Si interface. • H in-depth concentration Identical [H] values are found in the RTO and RTON samples. This has been attributed to the fact that the water concentration [H2O] was about the same in the O2 and N2O gases used [275]. In contrast, [H] is much larger in the RTN film, as expected. InfraRed analyses show the existence of N-H and Si-H bonds with concentrations above 10^^ at/cm^ in the RTN-film, while these concentrations remain below detection limits for the RTON samples [275]. Complementary XPS experiments (as shown in Fig. 1.100) confirm that the only nitride species formed in the RTON films are Si-N species, whereas N-H, Si-H and Si-N species are found in the RTN sample [275]. Typical 0(ls) and N(ls) core level lines recorded at the interface reveal the presence of an N-Si bond peak at 397.8 eV. No peaks originating from N-H bonds and N-O bonds, which are expected to appear in the range of 398.5-400 eV and 400-404 eV, respectively, have been seen in the XPS spectrum [281]. The 0(ls) spectrum, whose main component appears at 532.2 eV, indicates that the SiOxNy structure is essentially the same as that of the tetrahedral Si04 network itself. 1 ^sro2 : Si
^
N\
. H
RTN . . . . 1 5 10
1 * * * ' *J
0 (a)
5 Depth
10 (nm)
0 (b)
Depth
(nm)
0 (c)
5 Depth
1 0 (nm)
Fig. 1,99 - Nitrogen and hydrogen in-depth profiles measured by SIMS in three different 5-nm-thick samples : a) Control oxide grown by RT oxidation (RTO), b) Oxide nitrided in NHj by RT nitridation (RTN), c) Oxynitride first grown in O2 at lOSO^'Cfor 5 s and then in N2O at llOO'^Cfor 30 s (RTON). (After [273, 275] modified).
Silica, Silicon Nitride and Oxynitride Thin Films
536
534
532
530
402 400
117
398 396 394
Binding energy (eV) Fig. 1. 100 - 0(1 s) and N(ls) core level XPS spectra measured at the interface for ETON [250]),
samples. (After
Any reduction in the concentration of hydrogenated species (-H and -OH, Si-H, Si-NH, Si-OH, etc.) in the oxynitride film should minimize the number of electron traps [273, 275] as well as the density of neutral defects by the formation of strong Si-N bonds (see § 4.7). RTON films are thus expected to show lower electron trap density, as confirmed below by electrical measurements. High Resolution Transmission Electron Microscopy has revealed [263, 273] the structure of the Si02-Si interface of RTON samples. Cross-sectional HRTEM images of RTON films, produced using the hydrogen free processes described above, show that process 3 of Table 1.07 gives the best results. In this case, the interface structure seems to be quite uniform, at least ordered within one or two atomic layers. Moreover, no anomalous oxidation behavior, like Si clusters or Si02 epitaxial layers, have been observed [273]. However, a transition layer, one or two atoms thick, has been found between the Si crystal and the amorphous oxide layer. This last result has been confirmed by means of a Transmission Electron Diffraction analysis performed at points A, B, C and D (Fig. 1.101).
Fig. 1.101 - Cross-sectional HRTEM image of the insulator/silicon interface of an RTON film formed (process 3) in O2 (1050°Q 5 s) and then in N2O (llOO^'Q 30 s). (After [273] modified).
• • • . f • • • • » • »j
wnnwiMmwww
mm
118
5. Balland and A, Glachant 8.2.4. Trapping properties
The trapping properties of MOS samples using either an oxide or an oxynitride film have been studied by submitting these samples to an electron FN injection from the gate electrode (at a constant current of: 10 mA/cm^) [275]. • Flat band voltage shift The variations in AVpB as a function of injected charge (Qinj) are represented in Fig. 1.102. Obviously, the oxynitride samples grown in N2O display a smaller AVpB than either the pure oxide film or the reoxidized RTN film. This means that (after pair production) hole trapping is smaller for N2O samples. The tendency of AVpB to saturate in the region of higher injected charge indicates a hole trap filling process and the saturation value is proportional to the trap density. During injection experiments, the gate voltage (VQ) must be readjusted to maintain the injected current constant. This shift in gate voltage has been plotted versus stress time for the above three samples, in Fig. 1.103. We note that AVQIS positive for the oxide samples, while it is negative for the reoxydized RTN oxide and nearly neutral for the oxide nitrided in N2O. The positive V^ shift is attributed to hole generation and trapping (confirmed by theVpB shift). On the contrary, negative VG shifts are attributed to the creation and the filling of new electron traps during the high-field stressing. We note that the creation of new traps occurs mostly in the samples nitrided in NH3. The differences observed between the three kinds of oxide films can be explained in terms of the broken bond model [10b]. 1.5
m 11.
1.0 U A
Fig. 1.102 - The AVfB observed after injection is plotted as a function of Qinj for: pure Si02(A), reoxidized NHs-nitrided Si02 (0) and N2Onitrided Si02 (m) (After [275] modified).
A' /
•0.5 r
:iS
RTO RTO/RTN/RTO RTO/RTON
I
0.0
u
-1
l_l
0.5
U
1
1.0
1
1
1
1
1
1.5
2.0
Injected charge (Ccrrr^)
• Interface trap density The change in interface trap density Dit after an FN injection of ±0.01 C.cm-2 is shown in Fig. 1.105 (right). First, all oxides last exposed to N2O during growth show a smaller D^ increase for positive gate bias during e-injection than those submitted to negative bias, whereas samples last exposed to O2 show the opposite behavior. Second, oxides grown in O2/N2O and (especially) in N2O show less Dit generation than the control oxide. Similar trends are found after irradiation experiments.
Silica, Silicon Nitride and Oxynitride Thin Films
Fig. 1.103 ' The gate voltage adjustment AVQ^ necessary to maintain a constant injection current (linj =0.1 A/cm^) is plotted versus stress time for the same samples as those of Fig. 1.102. (After [275] modified).
0
RTO/RTN/RTO
t'
119
RTO/RTON
RTO
+0.4 h I
10
I
I
20
I
30
-L 40
50
Stress time (s)
8,2.5. Dielectric brealidown • LoW'field leakage Breakdown in ultra thin silica films results from an increasing low-field leakage due to the enlargement of defect-related weak spots. As visible in Fig. 1.104, after stress, this anomalous leakage current is visible at low-field (< 10 MV/cm), whether the structure has been positively or negatively biased. Low-field leakage is thought to be due to the generation of defects or weak spots, located on positive charges and/or trap sites, near the injecting interface. All currents measured after a high-field stress seem to be the sum of two components: a leakage current Idef flowing through the defective area and If, a current flowing through the remaining area [275]. 1 0"
c ^ 10
10
-11
1 0
12
6 8 10 Field (MV.cm^)
6
8
10
Field (MV.cm^)
Fig. 1.104 - Leakage currents measured on the same samples as those of Fig. 1.103 after a negative bias (left) and a positive bias (right). The insulator thickness is 6 nm. (After [275] modified).
120
B, Balland and A. Glachant
• Charge-tO'breakdown In Fig. 1.105, average QBD values obtained for a small constant injection current (Jjnj = ±4.10"^ A.cm-^) are given. First, QBD is higher in the O2/N2O and O2/N2O/O2 samples than in the control oxide. Second, QBD for the N2O oxide is smaller than for the other samples, especially for V Q > 0. Such an asynmietric behavior with bias suggests that the Si02-Si interface (injecting for V Q > 0), is much rougher than the Si02-gate interface. Joshi [290] proposed that the lower QBD values observed for N2O oxides is caused by an increase in roughness at the Si02-Si interface, especially for oxidation temperatures of 1000°C or above. This roughness is thought to enhance locally electron injection, leading locally to higher current density and lower QBD- This hypothesis has been validated by taking TEM pictures of the Si02-Si interface to evaluate the roughness. The interface appears reasonably abrupt, with a roughness of « ± 2 monolayers (± 0.27 nm) encountered over a lateral distance of « 0.1 |im. About the same roughness is obtained for a 10-nm control oxide grown in O2 at 900°C. As illustrated in Fig. 1.105, these data suggest that the two-step O2/N2O oxide is preferable (process c) to oxidation in N2O alone (processes a or b). The corresponding leakage current is smaller, which will result in a better resistance to dielectric wear-out. 100 i
E
o O
10 1
O
0.1
0.01
10 ^H
i
1 • !1 • ' H1 I II I -
J 1ll
+ 0.01 C. cm^ -0.01 C. cm^
J
J 1 1 L
LIJlII • \ a)
b) c) d) Process
a)
b)
c)
I d)
Process
Fig, 1.105 - (Left) Charge-to breakdown (QBD) ^^d (right) change in interface trap density (Dif) measured after FN injection, for gate insulators grown in various conditions: (a) furnace oxidation in O2 at 900°C, (b) two-step oxidation first in O2 and then in N2O (90 0°C, 60 min), (c) like (b) and then reoxidation in O2 (900°C, 60 min), (d) furnace oxidation in N2 O at 1000°C (60 min). For FN injection + (resp -) indicates that a positive (resp. negative) charge ofO.OlC.cm^ has been injected (After [280] modified).
8.2.6. Reliability MOSFETs using RTON films as a gate dielectric display excellent performance and good inraiunity to hot-carriers [282]. Figure 1.106 shows that the degradation in threshold voltage, due to channel hot carrier effects, is indeed less when N20-grown gate dielectrics are used [283]. Moreover, the effective carrier mobility, both in n-MOSFETs and p-MOSFETs is virtually unchanged after hot carrier injection when N2O gate dielectrics are used.
Silica, Silicon Nitride and Oxynitride Thin Films
121
Fig. 1.106 -The degradation in threshold voltage due to channel hot carrier injection is plotted versus gate voltage for p-MOSFETs possessing either an oxide or an N20-grown oxynitride as gate dielectric. (After [292] modified).
- 4
- 6
Gate voltage (V) Oxynitride films grown in N2O display a better resistance to process-induced damage (such as that caused by plasma during the polysilicon etch and resist etch steps) and to dielectric wear-out. They, moreover, display a better immunity to radiation (suppression of both radiation-induced neutral traps and positive charge build-up) [292, 293], It has also been shown that N20-oxides grown on RIE-treated surfaces show higher breakdown fields, lower leakage currents and significantly improved TDDB characteristics. They thus have been used as tunnel dielectrics to manufacture reliable EEPROM devices with slow "window closing" and high endurance. The leakage current induced in oxynitride films by such stresses as: successive voltage ramp stress, constant voltage stress, alternative stresses (unipolar or bipolar) is suppressed when the films are grown in N2O. 8.3, THIN OXYNITRIDE FILMS GROWN IN NO
Unlike growth in N2O, only limited data on growth in NO is available. 8.3.I. Principle and growth kinetics Preliminary results indicate that growth is more "self-limited" in gaseous NO than in N2O. For example, while the exposure of bare silicon to N2O ambient at 1050°C for 100 seconds produces a 5.2-nm-thick layer, exposure to NO, under the same conditions, produces only a 2.5-nm-thick layer [292]. This is probably due to the larger amount of N incorporated in the latter case, the N-rich silicon layer acts then as a barrier blocking the diffusion of the oxidizing species towards the interface. Growth kinetics in NO when the starting materials is Si02 is illustrated in Fig. 1.107. We note that growth is extremely slow. The increase in [N] is probably due to the complete dissociation of the NO molecules and to the subsequent direct reaction of N and O atoms with silicon, whereas in the case of growth in N2O, this direct reaction is less probable [292].
122
B. Balland and A. Glachant
10.0
Fig. 1.107 - Growth curves ofoxynitride films obtained by nitriding Si02 films of various thicknesses in NO at 1000°C. (After [252] modified).
40
60 NO anneal time
80 (s)
8.3.2, X-ray assisted growth at room temperature The formation of an oxynitride film on silicon in NO is possible at room temperature when one operates in Ultra High Vacuum under soft X-ray irradiation. For example, a (111)oriented single Si crystal can be cleaved in an UHV chamber (residual pressure < 5 10" 11 mbar) [301-303]. After exposure to 10"^ mbar of pure NO gas, the NO-covered surface is irradiated at grazing incidence. This leads to the formation of Si-N and Si-O bonds due to the dissociation of NO-molecules by the Si dangling bonds from the Si(lll)-2 x 1 reconstructed surface (an ultrathin, passivating, oxynitride layer forms). The remaining NO molecules, adsorbed at the surface, give rise to further oxynitride growth upon irradiation under X-rays. Thin oxynitride films (0.6 -1.0 nm) can thus be formed. 8.3.3. Electron beam-assisted growth at moderate temperature The exposure of a Si(100)-2xl surface to a low pressure NO gas (< 10-5 mbar) at moderate temperature (from room temperature up to 600°C) leads to the formation of a «0.5- nm-thick amorphous passivating oxynitride layer [294]. The irradiation of the surface with a low energy (100 eV) electron beam (maximum fluence= 1.6 lO^^/cm^), during exposure to the NO gas, induces the growth of an amorphous, thin (> 3 nm), oxynitride film whose composition depends on temperature. At room temperature, oxygen-rich films are formed, whereas at 600°C, nitrogen-rich layer are formed. It has been suggested [294] that the observed electron beam-induced growth at room temperature is the result of both: • the reaction of activated N and O species, produced by the dissociation of NO molecules, with free Si atoms from the substrate, • the electromigration of NO", O^" and/or O" ions through the oxyde layer formed, up to the interface, where they react with the Si atoms of the substrate. An increase in temperature, from room temperature to 600''C, leads to an enhancement of the thermal diffusion, through the oxide film, of the NO species adsorbed on the surface. These diffuse to the insulator-silicon interface, where they react.
Silica, Silicon Nitride and Oxynitride Thin Films
123
8.3.4. Film composition Comparing the N(ls) XPS spectra of oxynitride films grown by annealing silica samples in N2O and NO for identical conditions, shows that the N(ls) signal is much larger for samples grown in NO, thereby indicating a higher [N] concentration. The [N] profiles obtained by SIMS for both types of oxynitride show that N mostly piles up near the Si02-Si interface. The required thermal budget is found to be much lower for the NO-process than for the N20-process. The Composition Parameter (CP), i.e. ratio [0]/([0] + [N]), and the thickness of the obtained oxynitride film, measured by AES can vary between 0.50 (0.5 nm) and 0.83 (3.0 nm) [294]. Moreover, the energy gap, determined using REELS is found to vary between 6.0 eV (CP = 0.59) and 7.7 eV (CP = 0.83). These values are in good agreement with the values expected for such compositions using optical absorption [295]. 8.3.5. Electrical properties Basically, the oxynitride films grown in NO display excellent electrical and reliability properties, even better than those of films grown in N2O. Both n- and p-MOSFETs using NOgrown oxynitrides exhibit excellent performance and a good resistance to hot-carrier effects [286-287]. Less interface states are generated during an electrical stress when an NO oxynitride is used. This improvement is due to the presence of N atoms at the Si02/Si interface which reduce the interfacial strain through the replacement of strained Si-O bonds by Si-N bonds. It is also due to the replacement of weak Si-H bonds by strong Si-N bonds, which renders the generation of interface states by bond breaking under electrical stress less likely.
40 - a Ofe 20 : 0 • •
>
^ 1
NO N20
0 -20 1 •40 1 1 ...
1 1
20
_i
1
1
1—1—1—1—1—1—1—1—1—1—1—1—I
40
60 80 100 Stress time (s)
Fig, 1.108 - Charge trapping characteristics for various MIS insulators. The shift in gate voltage necessary to maintain a constant injection current (Iinj = 200 mA/cm^) has been plotted versus stress (injection) time for a S.l-nm-thick untreated oxide and for a similar film nitrided in N2O and NO. (After [290] modified).
124
B, Balland and A, Glachant
Likewise, due to the absence of hydrogen in the processing ambient, NO-based oxynitrides display negligible charge trapping, as illustrated in Fig. 1.108. A significant improvement in TDDB characteristics is thus obtained in such films. The excellent breakdown properties of NO-based oxynitrides are due to the much reduced charge trapping and to the electrical stability of the Si/oxynitride interface. NO-based oxynitrides can be used as tunnel dielectrics in EEPROM. Figure 1.109 shows that over a wide range of oxide thicknesses, the Stress Induced Leakage Current (SILC) in NO-processed films is always lower than in thermal Si02 films [290]. 8.4. CONCLUSION
The use of oxynitride films grown in N2O and in NO is extremely promising due to the simplicity of their fabrication process, to a good control of their thickness and to the excellent electrical characteristics obtained. Since the thermal budget required to incorporate the same amount of nitrogen in Si02 is much lower for the NO process than for the N2O process, the NO process could become a process of choice. This technology is key to manufacture submicrometer flash-EEPROMs. 10
-5
F L r
E o <
r
^-''' < ' . -
-O"--
^ ^ x
\
10
6
h-
t
H
V
• -
\ 0 SD2 • N O Annealed SiOz
o
o
\N
N
\
\ \ \
\ \
\N \
tr
\
\
\
b
N
Fig. 1.109 - The Stress Induced Leakage Current (SILC) measured for an applied field of 6.2 MV/cm is plotted as a function of oxide thickness. The SILC has been measured both for a regular thermal oxide film and for oxide an film nitrided in NO. An injection stress of 100 mA/crn^ has been applied for 10 seconds. (After [290]).
N
•
I
t_J- 1 11 1 1 11 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
4.0
5.0
6.0
7.0
Oxide thickness (nm) 9. A BRIEF REVIEW OF LOW-TEMPERATURE ASSISTED PROCESSES Current high-temperature furnace processes, and even RT processes, induce such undesirable effects as the redistribution of doping atoms and the growth of stacking faults. Many attempts are thus under way to grow or deposit dielectric films at room temperature or close to, it through techniques requiring low thermal budgets. Several ways to enhance the reactivity of the active species have been tried. The enhancement techniques make use of photons, electrons, plasmas and reactive RF sputtering. We examine next these techniques as well as the properties of the films thus produced.
Silica, Silicon Nitride and Oxynitride Thin Films
125
9.1. PHOTON-ENHANCED PROCESSES
Both silicon nitride and silicon oxynitride can be obtained at low temperature using photon-enhanced processes. 9.1.1. Basic equipment Thin silicon nitride films may be obtained either through the direct reaction of an activated NHx (x < 3) species with the silicon substrate [296] or by deposition [86, 297-298]. In each case, the Si(lOO) wafer is introduced into a quartz reactor in front of an excitation source. This source may be an ex-situ UV-light source (e.g. a low-pressure mercury lamp with resonance lines at 184.9 and 253.7 nm) [297-298] or an ArF excimer laser [86]). This source may also be an in-situ UV-light source, the gases involved in the chemical reaction being then used as the gases of a pseudo-lamp operating in the normal glow discharge domain of a DC discharge. The wafer is heated from the rear by means of a halogen lamp. The wafer temperature reached varies between 100 and 800''C i.e. well below that of usual pyrolytic processes (for which T < 900°C). 9.1.2. Photon-enhanced nitride growth The reactive NHx species are produced after absorption of an ex-situ UV-light by the NH3 molecules [296]. NH3 is known to absorb wavelengths between 160 nm and 220 nm and to dissociate according to the following three equations: NH3 + /iv -^ NH2 + H
(1.28)
NH3 ^-hv-^NH + 2H
(1.29)
NH3 + hv "-> NH-\-H2
(1.30)
Energy considerations show that if X. < 213 nm, the NH2 radical is in an excited state. At "moderate" temperature (400°C < T < 800°C) a nitride film grows on silicon. Growth is quick during the initial stage but saturates with increasing time, just like in the case of HT thermal nitridation. Thicknesses of 2.7 nm (400°C, 1 h) and 6.2 nm (800°C, 1 h) have been obtained [86, 296], to be compared with 4.6 nm for the standard thermal process (llOO^C, 40 min). 9.1.3. Photon-enhanced nitride deposition Thin silicon nitride films can be deposited at low pressure (< 5 mbar) and low temperature (100-400°C) by the photon-enhanced decomposition of hydrazine (N2H4) and silane (Si H4) or ammonia and silane [297, 298], with Hg vapor being introduced in the reactor. An irradiation with a wavelength of A. = 253.7 nm produces, in the pseudo-lamp, an excitation of the Hg atoms to the 3?^ state. When colliding with silane or hydrazine molecules, the Hg atoms release the absorbed energy, and the silane or hydrazine molecules decompose.
126
B. Balland and A. Glachant
The decomposition products thus form a deposit on the substrate. Growth rates of up to 10 nm/min can be obtained at 200°C, depending on the total pressure and on the distance between the sample and the light source. 9.1.4. Composition and properties of LT- PE nitride films The Auger spectra of the LT-PEnitride films grown on silicon (direct reaction with Si) reveal a small carbon contamination at the nitride surface, and a decreasing oxygen contamination, when T increases from 400 to SOO^'C. Auger spectroscopy yields values of [N] of 50at.% Ih, Hg lamp) [296], of 48at.% (400°C, after 2000 pulses of 15nJ.cm-2, Arf excimer laser [86], and 69% (800°C, Ih, Hg lamp) [296]. Films grown at 400°C are thus nitrogen-rich oxynitride films rather than nitride films. However, the films grown at the highest temperature (T = 800°C) show a composition parameter which is very close to that of LPCVD nitrides. The IR absorption spectra of LT-PE deposited nitride films reveal the presence of Si-N or Si-H bonds [297]. Compared with films deposited using SiH4+NH3 at 900X, LT-deposited films display: a lower dielectric strength (10 MV/cm), a lower dielectric constant (5.5) and a higher etch rate in NH4F-HF (0.1 |im/mn). 9.1.5. Photon-enhanced oxynitridation processes Thin oxynitride films have been deposited [299] or grown [300-304] directly on silicon substrates at temperatures below 500°C, using the same apparatus as that described in § 9.1.1. and a gas mixture containing argon, SiH4 and NH3. Oxygen (O2) (from 0 to 2%) has also been added to the reaction gases. Activation was achieved by a DC electrical discharge in the pseudo-lamp. The deposition of oxynitride films can also be achieved using a pulsed ArF laser beam (K = 193 nm) and two different sets of precursor gases: SiH4 and SiC^2H2 combined with NH3 and N2O. The Si substrate is heated up to 500°C [299]. The residual pressure inside the preparation chamber, prior to processing, is kept below 10-^ mbar. The influence of various parameters such as: the laser pulse energy, the repetition rate, the volumetric ratio of reactive gas and the substrate temperature on the deposition rate, has been investigated [299]. The deposition rate (whose maximum value is « 0.17 nm/J.pulse) is found to increase with the average energy density, the repetition rate and temperature. The SiH4 process exhibits a stronger temperature dependence than that using SiC^2H2. The films deposited with SiH4 are of higher quality than with SiC^2H2. A maximum film thickness of 400 nm has been obtained by optimizing the process parameters [299]. 9.1.6. Composition and properties of LT-PE oxynitride films As-deposited LT-PE oxynitride films have been analyzed by ellipsometry and FTIR spectroscopy. Their optical refractive index n varies between 1.41 and 1.81 (to be compared with 1.46 and 2.05 for stoichiometric Si02 and Si3N4 respectively). The pulse frequency of the laser is found to influence the value of n: an increase in frequency leads to a decrease in n (0.001 per Hz), when all other parameters are kept constant [299].
Silica, Silicon Nitride and Oxynitride Thin Films
127
FTIR spectra reveal the existence of small concentrations of O-H, Si-OH, N-H and Si-H groups in the deposited films, i.e. that the quality of these films is, in this respect, comparable to PECVD films. 9.2. ELECTRON-ENHANCED PROCESSES
9.2.1. Electron-enhanced nitride growth Atomic and ionized nitrogen are effective in nitriding silicon at low-temperature. Uniform ultra thin nitride films can be grown at low temperature (T < 50°C) on Si(100)-2xl surface, in a UHV environment, by exposing the substrate to low anmionia pressures (P(NH3) < 10"6 mbar) and by simultaneously bombarding it with a flux of high-energy electrons (0.3 keV < E < 2.5 keV, flux < l.SxlO^O cm-2 s-l) [88, 141]. The rate of Electron-Enhanced (EE) nitride growth at room temperature for: a partial pressure P(NH3) of 3x10"^ mbar, an electron energy of 1.3 keV, and a flux of 1.3 X 1020 cm-2 s-1, is found to be half the growth rate at 950X (i.e. 0.8 nm/h). The growth rate doubles when the ammonia pressure doubles. This electron-enhanced growth has been attributed to the dissociation of NH3 molecules adsorbed at room temperature on the Si(lOO) surface of the wafer. This dissociation yields nitrogen atoms [136-138] and ions, in an excited state, which readily react with the underlying silicon atoms. Consequently, the local growth of silicon nitride can be obtained by using direct electron beam writing [305, 306]. The Si dangling bonds from the reconstructed silicon surface have been shown to be effective in the dissociation of NH3, forming Si-N and Si-H bonds [308, 309]. The breaking of Si-H bonds by electron beam thus restores the Si dangling bonds and the surface reactivity. 9.2.2. Electron-enhanced nitridation of silica films For temperatures below 800°C, the direct thermal nitridation of silica by NH3 cannot be achieved. The nitridation of silica can however be performed at room temperature using an electron beam [141, 215-227]. The electron energy can be as low as 3 eV (using an inverse photoemission electron gun). The usual process parameters are: 3 eV < E < 300 eV, with an electron flux < 10^6 cm-^ s'l. The use of anmionia is essential in this EE-nitridation process since N2 alone or mixtures of N2 and H2 are shown to be ineffective. The extent of nitridation is found to depend on electron energy and fluence and on P(NH3). The amount of incorporated nitrogen increases as LogP(NH3). The irradiation of Si02 with high-energy electrons induces the breaking of Si-0 bonds [241, 288]. A substantial amount of Si-O bond breaking is obtained for a total electron flux above - 2 x lO^^ cm"^ s-1 [141, 216]. However, lower electron fluxes have been shown to be sufficient to produce a substantial amount of oxide nitridation.
128
B, Balland and A, Glachant
This clearly shows that the dissociation of NH3 and/or the ionization of nitrogen (depending on the energy of the primary electrons) play an important role in inducing the reaction. In fact, the reacting species are NHx amine groups (with 0 < x < 3), which are created by the impact of primary and secondary electrons [141, 219]. 9.2.3. Composition and properties of LT-EE oxynitride films Auger in-depth [N] profiles, measured in LT-EE nitrided silica films show that [N] increases with electron energy. For example, 4-eV electrons induce a superficial reaction at room temperature (limited to a depth of « 1 nm from the oxide surface) [225], while 1.3-keV electrons lead to the formation of an inhomogeneous oxynitride whose N content decreases down to the Si02-Si interface [141, 216]. Compared with the Raman spectra of thermal NO films, those of LT-EE oxynitride films exhibit additional lines attributed to a-Si3N4 and hydrogenated species (Si-H, N-H, 0-H). These results are confirmed by IR analyses showing: a strong absorption due to nitrogenated species (N-H, N-0 and Si-N), the formation of a nitride environment with Si-N local groups and the presence of many N-H and Si-H species [141]. This electron-enhanced nitridation process is shown to produce nitrided oxide films which offer a better resistance to energetic electron bombardment than thermal NO-films [141]. 9.3. ION-ENHANCED DEPOSITION PROCESSES
We limit next our review of Ion-Enhanced (IE) nitridation techniques to reactive RFsputtering [125, 126, 307, 310-314]. Ion-implantation [100-104, 127, 300] cannot be considered as an LT-nitridation process since it generally requires an annealing above 850°C to form the insulating thin film. 9.3.1. Nitride deposition by RF-sputtering Amorphous silicon nitride films can be deposited on Si wafers at a high rate, at a temperature slightly above room temperature, by the DC reactive sputtering of a pure Si target in an (Ar + N2) atmosphere, using a sputtering apparatus of the planar magnetron type [125]. Films as thick as 600 nm can be deposited. The total gas pressure is set a t « 8.10-^ mbar, when the N2 partial pressure is varied from 3 to 8.10-^ mbar [125]. The deposition of nitride films at room temperature is also possible using the interaction of a monoenergetic nitrogen ion beam (generated in a separate duoplasmatron ion source), with a Si target located in the deposition chamber (the chamber pressure is maintained at the required low level i.e. < 8 xlO'^ mbar) [126, 307]. Regardless of the partial pressure of N2 (8 x 10"^ to 10-"^ mbar), the stoichiometry of the films depends on the sputtering yield of Si in the 0.4-20 keV range. Nitride layers can be obtained at low DC-voltage, but only with a low deposition rate («1 nm/min).
Silica, Silicon Nitride and Oxynitride Thin Films
129
The incorporation of nitrogen into the growing layer could be due to any of the following three processes: • Nitrogen ions, first implanted into the target, are then sputtered, bonded or not to Si atoms, by the subsequent ion bombardment. The [N]/[Si] ratio of the deposited layer varies conversely with the sputtering yield of silicon, • N2 molecules could be adsorbed on the target and then sputtered as atomic or bonded N-Si species towards the growing film, • N2 molecules could be adsorbed on the growing film itself during its formation. The additional irradiation of the growing nitride film by an electron beam increases the probability that N2 molecules adhere to the surface and increases the deposition rate. 9.3.2. Composition and properties of deposited nitride films The properties of nitride films deposited by RF-sputtering have been investigated [125]. They are shown to depend on the partial pressure of N2. Films deposited with P(N2)>5x 10"^ mbar exhibit excellent properties: (2.06 < n < 2 . 1 0 , etch rate<7 nm/min, resistivity - 10^^ il.cm, residual film stress ~ 12.3 x 10^ dyn/cm^) even if they have been deposited at an elevated deposition rate (e.g. > 100 nm/min). The [N]/[Si] ratio of these nitride films has been measured using RBS and AES [126, 307]. The sputtering yield of silicon must be reduced to 0.5 atom/ion to get [N]/[Si] = 1.25, i.e. to reach stoichiometry. 9.3.3. Oxynitride deposition by RF-sputtering Amorphous oxynitride thin films can also be prepared by the reactive RF sputtering of a silicon target in a (O2 + N2) gas mixture [310-314]. The [0]/[N] ratio of the deposited film can be adjusted by varying the [02]/[N2] gas ratio in the ambient. The residual pressure in the sputter chamber must be reduced to lO-'^ mbar prior to deposition. The other process parameters have the following typical values: total gas pressure = 8 x 10"^ mbar, RF power = 400 W, DC voltage = 3.6 kV, substrate temperature = 127°C, and deposition rate = 6 nm/min. Film thicknesses can be assessed by interferometry (± 5%) or by stylus profilometry. The refractive index at X, = 589 nm is shown to vary between 1.96 (100% N2) and 1.57 (76% N2; 23% O2). Various composition parameters (0.43 < [0]/([0]+[N] < 0.94) have been obtained and measured (through AES and RBS) [310-314]. 9.4. PLASMA-ENHANCED (PE) PROCESSES
Plasma-assisted processes have been used in order to fabricate, at relatively low temperatures, layers whose thickness is compatible with microelectronics.
B, Balland and A. Glachant
130
9A.\. Principle The experimental plasma-enhanced deposition apparatus operates in the 250-1200°C temperature range, using excitation frequencies between 30 kHz and 2.45 GHz. The basic idea is to introduce energy into a gaseous medium through an RF discharge so that the molecules get "heated". These molecules can then deposit on (or react with) a substrate at low ambient temperatures [105]. Excitation can be generated in the inductive or capacitive mode. In the latter case, ring-shaped electrodes [95], and parallel plates are used. The formation of the plasma between two neighboring Si wafers, as in the case of a multiple electrode holder (illustrated in Fig. 1.110.), can reduce considerably the damage done to the internal wall of the reactor. There also exists plasma systems [79] in which the plasma chamber is separated from the reaction chamber. The defects introduced in the sample by exposure to charged particles are thus eliminated and the reaction conditions are well controlled. In this case, the reactive species are transferred from the plasma to the reaction chamber by means of a differential pumping system [79]. The possible deposition on the samples, of silica sputtered off the plasma chamber walls, can also be minimized by placing the wafers sufficiently far from the RF electrode [79-84]. This results in a redeposition of sputtered silica on that wall, before the sputtered material can reach the wafers. RF power.
Ti rods in ^ quartz tube
Gas inlet (N2, NH3)
Wafers
Fig. 1.110' Schematic view of a plasma-enhanced nitridation reactor (after [229] modified)
Furnace
Quartz tube
Vacuum outlet
The use of a DC bias makes possible either the reactive etching of the Si wafer (cathode biasing eliminates the natural oxide layer [84]) or an enhancement of the nitride growth rate (anodic nitridation [84, 115]). Nitride films can be fabricated by plasma-enhanced CVD on substrates kept at a "moderate" temperature (i.e. between 150 and 500''C). The plasma parameters can vary widely: RF frequency (30 kHz-2.45 GHz), RF power (10-1200 W), gas mixture, total pressure (0.25-7 mbar) [116, 121, 123, 310]. The maximum achievable growth rate can be as large as 600nm/min (for plasma-enhanced CVD deposition) or 0.13nm/min (for direct plasmaenhanced nitridation [162]).
Silica, Silicon Nitride and Oxynitride Thin Films
131
9A.2. Plasma-enhanced nitridation of silicon The maximum thickness of films produced by the direct nitridation of Si in a nitrogen plasma is about 10 nm [85]. The obtained films are indeed silicon nitride but exhibit some oxygen and carbon contamination. A typical dielectric strength of ~ 10 MV/cm has been measured. These nitride films can be considered as potential candidates to fabricate ultra thin gate, tunnel, and DRAM memory insulators for VLSI devices. 9A.3. Plasma-enhanced nitridation of silica The nitridation of thin silica films has been performed in N2 or NH3 plasmas at temperatures ranging from 300 to 700°C. The plasma is ignited by a 90-450 kHz RF generator. The frequency range is chosen well below the ion transit frequency (- 4 MHz), to maximize the ion bombardment of the substrate, (the substrate is a silica film 10 to 20 nm thick, thermally grown on p-type Si(lOO)). The other main process parameters are: pressure (= 0.1-1.0 mbar), RF-power (= up to 50 mW/cm^) and t > 2 h, [229]. The [N], [O] in-depth profiles measured by AES, reveal: an enhanced nitridation at the surface of the oxide, little nitrogen incorporation in the oxide bulk, and the existence of a small nitrogen pile-up at the Si02-Si interface, when NH3 is used, or the formation of a near homogeneous oxygen-rich oxynitride, when N2 is used [229]. These profiles have been confirmed by etch rate measurements. 9.4.4. Plasma-enhanced nitride deposition Several gas mixtures can be used: NH3+SiH4, SiH4+N2+H2, SiH4+N2(+Ar), NH3+SiH4+N2. Let us consider the case of a NH3 + SiH4 gas mixture and a plasma system with two separate regions (one for reaction and one for deposition). A plasma is generated in the N2 (or NH3) inlet tube. The activated nitrogen (N2*) reacts with SiH4 (diluted in a suitable inert gas like Ar) and forms Si3N4 (or more generally SixNy) according to the following reaction [110]. The reaction product deposits then as a thin film on the substrate surface. 2 N2''+ 3 SiH4-^ Si3N4 +6H2
(1.31)
Chemi-luminescence emission measurements performed during PECVD show that in fact Si-H, N-H and Si-N radicals, as well as excited N2* molecules, are present in the reaction zone [110]. It has been inferred that two kinds of reaction take place: homogeneous (in the reaction volume) and heterogeneous (at the substrate surface). 9.4.5. Composition and properties of PECVD nitrides Depending on the preparation conditions, the deposited films display an excess of Si [76] and a substantial [H] content (from 4 to 39 at.% [109]). The etch rate of the films thus produced, measured at 25°C using a 13:2 NH4F/HF solution, is found to decrease with the hydrogen content [109]. Moreover, if the [Si-H]/[N-H] ratio increases, the refractive index increases also [114].
132
B. Balland and A. Glachant
The ammonia-free process (using a N2 + SiH4 mixture) leads to the formation of a nearly stoichiometric nitride film with little N-H bonds and less hydrogen [112]. The resistivity of the films thus produced exceeds lO^^ Q.cm and their dielectric strength is about 6xl06V/cm[lll]. 9A.6. Plasma-enhanced oxynitride deposition Oxynitride films can be deposited by plasma-enhanced CVD for substrate temperatures ranging from room temperature to 430'^C, for a wide range of plasma parameters (RF frequency: 30 kHz-2.45 GHz, RF power: 5-300 W, gas mixtures: NH3 + SiH4 + N2O, SiH4 + N20, SiH4 + N2 + 02, SiH4 + N2 + N20, N2O + NH3 + SiH4 + H2, total pressure: 0.7 X 10-3 mbar-1.2 mbar) [310-315, 317, 318]. Film as thick as 4 |iim can be achieved using the PECVD method [315]. Oxynitride films can also be grown by the direct nitridation of a silicon substrate using the same parameters [237] as above but in a gas mixture of: NH3 + H2. In this case, the film does not exceed 6 nm [110]. After the chemical etching of the sample to eliminate the native oxide layer, a residual oxide film, only 0.3 nm thick remains. An 0/N ratio of 1.5 and a significantly smaller amount of excess Si, compared to ultra thin thermal oxide films, is found using AES. Oxynitride films, only 1.3 nm thick, tum out to be good oxidation barriers up to 980°C and reveal excellent resistance against electron irradiation. Various SiOxNyHz film compositions have been achieved by PECVD, depending on the deposition parameters [315]. An SiO2.06N0.09H0.i8 sample has revealed a refractive index of 1.46 (at X = 632.8 nm), while an SiO0.70N0.24H0.24 sample has exhibited a 1.94 value. The optical forbidden gap EQ has been deduced from Tauc's law [295]. E Q is found to vary between 5.6 ± 0.1 eV (for [0]/([0+N]) = 0.046) and 8.9 ± 0.1 eV (for [0]/([0+N]) = 0.984). 9.4.7. Applications A bilayer made up of SiOxNy and SiNx deposited by PECVD has been used as the gate insulator of an amorphous silicon thin film transistor (TFT) [316]. The presence of this bilayer leads to a decrease in the density of trapped charges, if the thickness of the SiNx layer is reduced. Unlike what happens when the SiNx l^y^r is used alone, the bilayer introduces no deterioration in the switching characteristics. The stability of MIS tunnel diodes, which use ultra thin oxynitride films (1.3 nm) is shown to be better after a "life test" at 250°C than regular MIS tunnel diodes which use an oxide film of the same thickness [ 162]. 10. SUMMARY AND CONCLUSION A continuous research effort is under way to improve the characteristics of dielectrics needed for VLSI and ULSI devices. Among the several materials which have been investigated to replace thin thermal silica, mostly, silicon nitrides and oxynitrides have retained attention.
Silica, Silicon Nitride and Oxynitride Thin Films
133
Silicon nitride is attractive because it is an excellent barrier against the diffusion of doping or metal atoms, because of its radiation hardness and because of its good resistance to oxidation. It is, however, inadequate due to its high hydrogen content, its poor interface with silicon and its large leakage current. Thus silicon nitride alone can only be applied towards local oxidation technology. Thin Si3N4 - Si02 bilayers, used in MNOS structures, have resulted in unsatisfactory threshold voltage stability (due to the high trapping efficiency of both the nitride bulk and the nitride/oxide interface). It was thus challenging to achieve the direct conversion of Si02 thin films into SiOxNy by directly incorporating nitrogen into the film. This can be done using three main processes: the thermal nitridation of Si02 in ammonia (NO thin films), the thermal reoxidation of nitroxide thin films (RONO thin films) or the thermal oxidation of sihcon: in N2O (or NO) or successively in O2 and N2O (or NO) (RTON thin films). • The thermal nitridation in anmionia of thin thermal Si02 films (« 10 nm) has attracted attention for a number of reasons: better resistance to irradiation, greater resistance to impurity diffusion, lower interface and trapping densities than MNOS structures, higher dielectric constant, higher dielectric strength, greater bias and temperature stability and greater stability when submitted to high current density. The nitroxides thus formed exhibit in fact one or two maxima in the nitrogen concentration profile, below the film surface and/or at the insulator/silicon interface). These oxynitride or nitride layers are interesting because they offer two efficient barriers against impurity diffusion (at the gate/insulator and insulator/silicon interfaces) and resistance to oxidation (at the insulator surface). The interfacial nitrogen pile-up can be minimized using Rapid Thermal Nitridation. However, thin oxide films nitrided in NH3 cannot prevent the incorporation of hydrogen at the dielectric/silicon interface, and exhibit a large electron trapping. • An additional reoxidation of nitrided oxides reduces both electron trapping and interfacestate generation remarkably, without affecting other properties. However, under such conditions, it has been observed that the masking effect of the oxynitride layer vanishes as the nitrogen content from the gate-nitroxide film interfacial region decreases due to reoxidation. Hole trapping at the silicon-insulator interface is also expected to occur if the annealing treatments are carried out without the presence of an intentional (i.e. controlled) low partial pressure of oxygen. The RONO layers still possess improved electrical characteristics, enhanced resistance to dopant diffusion and also improved radiation hardness, compared to ultra thin Si02 films. However, this process is not easy to optimize and multi-step HT cycles are not desirable if one wants to manufacture ULSI circuits. • To solve these problems, in recent years. Rapid Thermal Processing (RTP) has been proposed. RTP makes possible: fast changes in process temperature and gases and short processing times. These advantages also enable one to perform multiple processing in a single chamber. However, the formation of high quality RONO films requires a careful optimisation of the RTP sequential process.
134
B, Balland and A. Glachant
An alternative approach is to grow thin oxynitride films in an RTP reactor using nitrous oxide (N2O) or O2 followed by N2O. The RTP method permits one to avoid long HT treatments and to better control the thickness of the oxynitride film. This hydrogen-free process incorporates a low concentration of nitrogen (~ 5 at.%) at the Si02-Si interface and thus, the ultra thin (< 10 nm) oxynitrides formed still offer an interesting barrier to the diffusion of dopant impurity from the substrate. These ultra thin oxynitride layers display: a high charge to breakdown, reduced interface generation and less charge trapping compared to Si02, NO and RONO thin films. However, only the oxynitride films formed via consecutive oxidation in O2 and N2O ambients have a large charge-to-breakdown value (> 90 C.cm-^) and display a quite uniform interface ordered within at least one or two atomic layers. Moreover, the levels of N incorporated in these dielectrics may not be sufficient to prevent boron penetration. Oxynitrides grown in NO retain the excellent endurance properties of oxynitrides grown in N2O and form good diffusion barriers due to the higher level of incorporated nitrogen. The properties of the thin insulators reviewed in this chapter are sunraiarized in Table 1.08. 1
DIELECTRICS -> PROPERTIES i
Thermal Oxidized Therma Nitrided oxide Nitride nitride RTN RONO 1 Si02 *
He
1 (low) defect density
*
He He
^ ^^
1 (good) resistance to HF etching
He
^ ^^
He He
^
1 (good) barrier against diffusion
sH
^ ^^
He He
r^ ^ ^
1 (low) electrical leakage
He 4 e He He
^ ^^^
^
^ ^ r^
1 (high) breakdown field
^ ^^
^ ^^
^
1^ ^
(good) resistance to wear out: tBD
^ ^^
^ ^^
^ ^^
(low) density of bulk traps: Not
^ ^^
^ ^^
^ ^^
(low) density of fixed oxide charge: Nj
r^ r^ 1^ ^
He He
^ ^^
He He
He He
(low) density of interface traps: Njt
^ ^ :^ ^
^ ^^^
:^ ^ ^ ^
He He
He He
(high) carrier mobility
^ ^^
He He
^ ^^
(high) dielectric constant ZoZ{
^ ^^
He
^
He He
He
He He
He
^
He
He
^
He He
He
0
^ ^^
He
0
He
He He
He
He
^
1^
dielectric strength *
He
He He
Table 1.08 - The properties of various thin dielectric films for VLSI applications are compared: ( * * * * * ) excellent, f * * *j good, f * *j average, (*)poor, (0) worst, unknown, ( ).
Silica, Silicon Nitride and Oxynitride Thin Films ACKNOWLEDGEMENTS The authors wish to thank the editors, G. Barbottin and A. Vapaille who reviewed this text and contributed many comments and suggestions. They are grateful to G. Barbottin who rewrote the English version of this text.
REFERENCES [I] [2] [3] [4] [5] [6] [7] [8] [9] [10] [10a] [10b] [10c] [lOd] [lOe] [lOf] [lOg] [II] [12a] [12b] [12c] [13] [14] [15a] [15b] [15c] [16] [17] [18] [19a] [19b]
M. M. Attala, E. Tannenbaum and J.E. Scheibner, Bell syst. /., 38 (1957) 749 A.S. Grove, Physics of Semiconductor devices (John Wiley & Sons, New York, 1967) S.K. Ghandhi, The Theory and Pratice of Microelectronics (John Wiley & Sons, New York, 1968) S.K. Ghandhi, VLSI Fabrication Principles (John Wiley & Sons, New York, 1983) E.H. NicoUian and J.R. Brews, MOS (Metal Oxide Semiconductor) Physics and Technology (John Wiley & Sons, New York, 1982) S. M. Sze, Physics of Semiconductor devices (John Wiley & Sons, New York, 1981) S. M. Sze, VLSI Technology (McGraw-Hill, New York, 1983) S. M. Sze, Semiconductor devices - Physics and Technology (John Wiley & Sons, New York, 1985) C.Y. Chang and S. M. Sze, ULSI Technology (McGraw-Hill International Editions, New York, 1996) Instabilities in Silicon Devices, eds. : G. Barbottin and A. Vapaille (Elsevier Science Publishers B.V. (North-Holland) - Vols. 1 and 2 S.Rigo, «Silica Films on silicon: Techniques and mechanisms of growth. The theories of silicon oxidation», in: [10], Vol. 1, Chap. 1, (1986) p. 5-100 B. Balland, «Defects in Silica Films» in: [10], Vol. 1, Chap. 2, (1986) p. 101-153 B. Leroy, «Passivation-induced phenomena in silicon substrates» in: [10], Vol. 1, Chap. 3, (1986) p. 155-210 J. Wolters, J.F. Verwey, «Breakdown and wear-out phenomena in Si02» in: [10], Vol. 1, Chap. 6 (1986) p. 315-362 M. Garrigues and B. Balland, «Hot carrier injection into Si02» in: [10], Vol.1, Chap. 9, (1986) p. 441-501 B. Balland and G. Barbottin «Carrier trapping and detrapping», in: [10], Vol. 2, Chap. 10, (1989) p. 84-166 E. Klausmann, W.R. Fahrner and D. Braunig «Intrinsic states and fixed charges of the Si-Si02 interface» in: [10], Vol. 2, Chap. 11-12, (1989) p. 171-248 E. Arai, ffiDM 83 Technical Digest, Abs. 2.1. (1983) 19 R.H. Dennard, F.H. Gaensslen, H.N. Yu, V.L. Rideout, E. Bassous and A.R. Leblanc, IEEE J. Sol State Circuits, SC-9 (1978) 256 R.H. Dennard, IEEE Trans, on Electron Dev. ED-31 (1984) 1549 R.H. Dennard and M.R. Wordeman, Physica 129B (1985) 3 G. Baccarani, M.R. Wordeman and R.H. Dennard, IEEE Trans, on Electron Dev, ED-31 (1984) 452 G.D. Hutchinson, Sol State Technology, Vol. 40, N°5 (1997) 67 S.K. Lai, Ext. Abstracts of the Electrochemical Society Meeting, Abs. 214 (1981) 541 S.K. Lai, in: Semiconductor Silicon, eds. H.R. Huff, R.J. Kriegler and Y. Takeishi (The Electrochemical Society, 1981) p. 135-210 S.K. Lai, J.Lee and V.K. Dhamer, lEDM Tech. Dig., (1983) 190 P.K. Chatterjee, W.R. Hunter, T.C. HoUoway and Y.T. Lin, IEEE Trans, on Electron Dev. Lett. 1 (1980) 220 P.L. Shah and R.H. Havemann, in : VLSI Electronics Microstructure Science, ed. N.G. Einspruch, (Academic Press, London, 1983), Vol 7, Chap. 2, p. 39 S.S. Wong, Ph.D thesis, Univ. of California, Berkeley, 1983 J.A.A. Nemetz, R.E. Tressler, Sol. State Technol. February 83 (1983) 79 J.A.A. Nemetz, R.E. Tressler, Sol. State Technol. September 83 (1983) 209
135
136
[20a] [20b] [20c] [20d] [20e] [20f| [20g] [20h] [21a] [21b] [21c] [21d]
[22] [23] [24] [25a] [25b] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [36] [37] [38] [39] [40] [41] [42] [43a] [43b] [44] [44a]
B. Balland and A. Glachant
T. Ito, T. Nozaki, H. Arakawa, M. Shinoda, Appl Phys. Lett, 32 (1978) 330 T. Ito, S, Hijiha, T. Nozaki, H. Arakawa, M. Shinoda, Y. Fukukawa, J. of Electrochem. Soc, 125, (1978)448 T. Ito, H. Arakawa, T. Nozaki, H. Ishikawa, /. of Electrochem. Soc, 111 (1980) 2248 T. Ito, T. Nozaki and H. Ishikawa, J, Electrochem. Soc, 127 (1980) 2053 T. Ito, H. Ishikawa,.and Y. Fukukawa, Jap. J. Appl. Phys,. 20 (1981) 33 T Ito, T. Nakamura and H. Ishikawa, IEEE Trans. Electron. Dev., ED-29 (1982) 498 T. Ito, in : Jap. Annual Reviews in Electron. Comput. & Telecom. (Semiconductor Technologies), ed. J. Nishizawa (North Holland, Tokyo, 1982), Chap. 6, p. 69-81 T. Ito, I. Kato, T. Nozaki, T. Nakamura, H. Ishkawa, Appl. Phys. Lett., Vol. 3 (1981) 370 M.M. Moslehi and K.C. Saraswat, 4th Symp. on VLSI technology, Abs. 7.4. (1983) 92 M.M. Moslehi and K.C. Saraswat, Proceedings of the IEEE international Electron Devices Meeting, lEDM 84 (1984) 165 M.M. Moslehi and K.C. Saraswat, IEEE Trans. Electron Dev. ED-32 (1985) 106 M.M. Moslehi, S.C. Shatas and K.C. Saraswat, Proceedings of the Fifth International Symposium on Silicon Materials Science Technology (eds.: H.R. Hube and B. Kolbesen) Electrochemical Soc. (1986) 379 E. Harari, J. Appl. Phys., 49 (1978) 2478 M.S. Liang, Y.T. Yeow, C. Chang, C. Hu and R.W. Brodersen, lEDM 82 - Technical Digest Abs. 3.3. (1982) 50 R.F. de Keersmaecker, Physical Problems in Microelectronics, (World Scientific Publishing Co Pte Ltd, Singapore, 1985), 81 E.H. NicoUian and A. Reisman, J Electron. Mater. 17 (1987) 4 A. Reisman, E.H. Nicollian, C.K. Williams, Merz, J. Electron. Mater. 26 (1987) 45 P. Balk, DJFOS 83, eds.: J.F. Verweij and D.P. Wolters, publis. by Elsevier Sc. (North-Holland-1983) R.D. Rung, H. Momose and Y. Nagakubo, Technical Digest IEEE International Electronic Device Meeting 82 (19S2) 237 R. Szeto, H. Fu, K. Chiu, J. Manoliu, ECS Fall Meeting extended Abstracts, 82-2 (1982) 277 T. Morimoto, H.S. Momose, K. Yamabe and H. Iwai, ESSDERC 90 (Nottingham, September, 1990) M. Ishara, T. Matsumoto, S. Shimizu, K. Mitsuada and K. Shimohigashi, ISSCC Digest of technical Papers (19S2) 74 C.A. Benevit J.M. Cassard, K.L. Dinmiler, A.C. Dumbri, M.G. Mound and F.J. Procyk, ISSCC Digest of technical Papers (1982) 76 G. Verma and M. Mielke, Proc of the 1988 International. Reliability Phys. Symp., (1988) 158 H.C. Kirsch, Digest of the IEEE International Solid State Circuits Conference (1985) 256 W. S. Johnson, G. Perlegos, A. Renninger, G. Kuhn and T. R. Ranganath, ISSCC Digest of Technical Papers (1980) 152 H. Fukuda, M. Yasuda, T. Iwabuchi and S. Ohno, IEEE Electron Device Lett, Vol. 12, N° 11, (1991) 587 T.S. Chao, C.L. Lei, J. Electrochem. Soc, 138 (1991) 1756 I.W. Boyd, J.B. Wilson, Appl. Phys. Lett., 50 (1987) 320 LP. Lisvovskii, V.G. Litovchenko, V.V. Khatko, Microel. Eng., 22 (1993) 39 C.P. Ho, J.D. Plummer, J.D. Meindl, B.E. Deal, J. Electrochem. Soc, 125 (1978) 665 D.W. Hess, B.E. Deal, / Electrochem. Soc, 126 (1979) 1523 G. Camera Roda, F. Santarelli, G.C. Sarti, / Electrochem. Soc, 122 (1985) 1910 F.P. Fehlner, /. Electrochem. Soc, 119 (1972) 1723 B.E. Deal, A.S. Grove, J. Appl. Phys., 36 (1965) 3770 B.E. Deal, J. Electrochem. Soc, 111 (1980) 979 A.C. Adams, T.E. Smith, C.C. Chang, J. Electrochem. Soc, 111 (1980) 1787 P.J. Caplan, E.H. Poindexter, B.E. Deal, R.R. Razouk, J. Appl. phys., 50 (1979) 5847
Silica, Silicon Nitride and Oxynitride Thin Films [44b] [44c] [45a] [45b] [45c] [46] [47] [48] [49] [50a] [50b] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60] [61] [62] [63] [64] [65] [66] [67] [68] [69] [70a] [70b] [71] [72] [73] [74a] [74b] [75a] [75b] [76] [77] [78] [79] [80] [81] [82] [83]
137
E.H. Poindexter, PJ. Caplan and R.R. Razouk, J. Appl Phys., 52 (1981) 879 E.H. Poindexter and P.J. Caplan, Insulating Films on Semiconductors, eds.: M. Schultz and G. Pensel, (Springer, Beriin, 1981) 150 H.Z. IVlassoud, J.D. Plummer, E.A. Irene, J. Electrochem. Soc, 132 (1985) 1745 H.Z. Massoud, J.D. Plummer, E.A. Irene, J. Electrochem. Soc, 132 (1985) 2685 H.Z. Massoud, J.D. Plunmier, E.A. Irene, J. Electrochem. Soc, 132 (1985) 2693 L.N. Lie, R.R. Razouk, B.E. Deal, J. Electrochem. Soc, 129 (1982) 2828 M. Hirayama, H. Miyoshi, N. Tsubouchi, H. Abe, IEEE Trans. Electron Dev., ED-2$ (1982) 503 E.A. Irene, E. Tiemey, J. Angilello, J. Electrochem. Soc, 129 (1982) 2594 D.A. Baglee, Proc. 22nd IEEE Rel Phys. Symp. (1984) 152 C. Hu, J. Appl. Phys., 55 (1984) 368 C. Hu, Proc. Int. Electron Devices Meeting, (1985) 368 N. Cabrera and N.F. Mott, Kept. Prog. Phys., 12 (1948) 163 I.W. Boyd, Appl Phys. Lett., 42 (1983) 728 C. Hashimoto, S. Muramoto, N. Shiomo, O. Nakajima, J. Electrochem. Soc, 127 (1982) 129 J. Krauser, F. Wulf, M.A. Briere, J. Steiger, D. Braunig, Microel. Eng., 22 (1993) 65 J. Derrien, M. Commandre, Surf. Set., 36 (1982) 32 E.A. Irene, /. Appl. Phys., 54 (1983) 5416 A. Fargeix, G. Ghibaudo, G. Kamarinos, J. Appl. Phys., 54 (1983) 2878 A. Fargeix. G. Ghibaudo, /. Appl. Phys., 54 (1983) 2878 F.J. Himpsel, F.R. Mc Feely, A. Taleb-Ibrahimi, J.A. Yarmoff and G. HoUinger, Phys. Rev., B38 (1988) 6084 A. Ishizaka and S. Iwata, Appl. Phys Lett., 36 (1980) 71 R. Szeto, H. Fu, K. Chiu, J. Manoliu, ECS Fall Meeting extended Abstracts, 82-2 (1982) 277 T. Ohmi, Proceedings of the 14th Symposium on «Advanced Science and Technology of Silicon Materials» (Hawaii, 1991) 264 V. Murali, / Electron. Mater., 18 (1989) 731 V.Y. Doo, IEEE Trans. Electron Dev., ED-13 (1966) 560 F.K. Ueumann, D.M. Brown and E. Metz, J. Electrochem. Soc, 115 (1968) 99 J.V. Dalton and J. Drobek, /. Electrochem. Soc, 115 (1968) 865 B. Balland, M. Lemiti, S. Audisio, J.C. Bureau, J.of Electrochem. Soc, 137 (1990) 2845 J.A. Appels, E. Kooi and M. M. Paffen, Philips Research Report, IS (1970) 118 E. Kooi, J.G. van Lierop and J. A. Appels, J. Electrochem. Soc, 123 (1976) 1117 C.Y. Wu, C.W. King, M.K. Lee, C.T. Chen, C.T. Shih, J. of Electrochem. Soc, 130 (1983) 458 C.Y. Wu, Y.T. Huang, Solid State Electronics, 27 (1984) 203 J.H. Neave, B.A. Joyce, P.J. Dobson and N. Norton, Appl. Phys., A3 (1983) 11 J.M. van Hove, C.S. Lent, P.R. Pukite and P.I. Cohen, J. Vac Sci. Technol., B l (1983) 741 R.G. Frieser, J. Electrochem. Soc, 115 (1968) 1092 W.G. Oldham, IEEE International Electron Device Meeting, (San Francisco, 1982) 216 W.G. Oldham, Y. Shacham-Diamand, P.L. Pai, K. Young, P. Sutardja, Physica, 129B (1985) 53 C.E. Morosanu, Thin Sol. Films, 65 (1980) 171 (and references therein) C.E. Morosanu and E. Segal, Thin Sol. Films, 91 (1982) 251 F. Sequeda and R.E. Richardson, J. Vac Sci. Technol, 18 (1981) 362 M. Lemiti, Ph. D. thesis, I.N.S.A. - University of Lyons, Fr. (1983) R. Botton, Ph. D thesis, I.N.S.A. - University of Lyons, Fr. (1991) R.P.H. Chang, J. Vac ScL Technol, 14 (1977) 278 T.Ito, I. Kato, T. Nozaki, T. Nakamura, H. Ishkawa, Appl Phys. Lett., 3 (1981) 370 H. Nakamura, M. Kaneko, S. Matsumoto, Appl Phys. Lett., 43 (1983) 691 M. Hirayama, T. Matsikawa, H. Arima, Y. Ohmo, N. Tsobuchi, H. Nakata, J. of Electrochem. Soc, 131 (1984) 6563 D. Henry, Y. Pauleau, A. Straboni, Ecole d'ete, Aussois 1983 (les Editions de Physique/CNRS, 1984)
138
[84] [85a] [85b] [86a] [86b] [87] [88] [89] [90] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116a] [116b] [117] [118] [119] [120] [121] [122]
B, Balland and A, Glachant
B. Vuillermoz, A. Straboni, R. Pantel Ext. Abstracts of the Electrochem. Society meeting, 85/1, Abs. 48, (1985) 359 M. Hirayama, T. Matsikawa, H. Arima, Y. Ohmo, N. Tsobuchi and H. Nakata, /. Electrochem, Soc, 131 (1984) 6563 M. Hirayama, T. Matsikawa, H. Arima, H. Nakata, Ext. Abstracts of the Electrochem. Society meeting, 85/1, Abs. 59 (1985) 81 T. Sugii, T. Ito, H. Ishikawa, Appl. Phys. Lett., 45 (1984) 966 T. Sugii, T. Ito, H. Ishikawa, Ext. Abstrats of the 16th Intern. Conf on Solid state Devices and Materials, Kobe, (1984) 433 HJ. Stein, P.S. Peercy and RJ. Sokel, Thin Sol Films, 101 (1983) 291 A. Glachant, D. Saidi, /. Vac. Sci. Technol., B3 (1985) 985 A. Ronda, A. Glachant, B. Balland, J. Phys. supp. E-MRS, 13 (1986), 239 A.I. Kingon, L.J. Lutz and R.F. Davis, / Amer. Ceram. Soc, 66 (1983) 551 J.R. Troxell, D.G. Moss, J. of Electrochem. Soc, 131 (1984) 2353 R.V. Giridhar, K. Rose, Appl. Phys. Lett., 45 (1984) 578 R.P.H. Chang, C.C. Chang, S. Darack, Appl. Phys. Lett., 36 (1980) 999 F.P. Mc Cluskey and R.J. Jaccodine, J. Electrochem. Soc, 136 (1989) 2328 M. Morita, T. Ishihara and M. Hirose, J. Appl. Phys., 56 (1984) 342 A. Shintani, T. Kusaka, S. Mizuo, K. Hayashi, H. Okuhira, 4 th Symposium on VLSI technology, Abs. 7.3., (1983) 90 P. Soukiassian, M.H. Bakshi, H.I. Starnberg, Z. Hurich, T.M. Gentle and K.P. Schuette, Phys. Rev. Lett., 59 (1987) 1488 T.Y. Chin, H. Bemt, I. Ruge, J. of Electrochem. Soc, 129 (1982) 408 R. Hezel, N. Lieske, J. of Electrochem. Soc, 129 (1982) 379 G.E. Thomas, L.J. Beckers, F.H.P. Habraken and A.E.T. Kuiper, Appl. Phys. Lett., 41 (1982) 56 T.Y. Chiu, W.G. Oldham and C. Hovland, J. Electrochem. Soc, 131 (1984) 2110 T. Tsujide, M. Nojiri and H. Kitagawa, J. Appl. Phys., 51 (1980) 1605 M.J. Kim, M. Chezzo, J. of Electrochem. Soc, 131 (1984) 1934 J. Petruzzello, T.F. McGee, M.H. Frommer, V. Rumennik, P.A. Walters and C.J. Chou, J. Appl. Phys., 58(1985)4605 H.F. Sterling and R.C. Swann, Sol. State Electron., 8 (1965) 653 H. Watanabe, K. Katoh and M. Yasui, Jap. J. Appl. Phys., 21 (1982) L341 R.S. Rosier, Sol. State Technol., April 1977 (1977) 63 A.S. Korhonen and E.H. Sirvio, Thin Sol. Films, 96 (1982) 103 R. Chow, W. A. Landford, W.Ke-Ming and R.S. Rosier, J. Appl. Phys. 53 (1982) 5630 L. Bardos, J. Musil and P. Taras, Thin Sol. Films 102 (1983) 107 K. Katoh, M. Yasui and H. Watanabe, Jap. J Appl. Phys., 22 (1983) L231 S. Fujita, N. Zhou and A. Sasaki, Jap. J Appl. Phys., 22 (1983) LlOO H. Watanabe, K. Katoh and M. Yasui, Thin. Sol. Films, 106 (1983) 263 W.A.P. Claassen, W.G.J.N. Valkenburg, F.H.P. Habraken and Y. Tamminga, J. Electrochem. Soc, 130(1983)2419 S.S. Wong and W.G. Oldham, 4th symposium on VLSI Technol. Abs. 7.2. (1983) 88 M. Maeda and H. Nakamura, J. Appl. Phys., 55 (1984) 3068 M. Maeda and H. Nakamura, Thin Sol. Films, 111 (1984) 279 S. Fujita, H. Toyoshima, T. Ohishi and Sasaki, Jap. J. Appl. Phys, 23 (1984) L144 S. Fujita, H. Toyoshima, T. Ohishi and Sasaki, Jap. J. Appl. Phys, 23 (1984) L268 S.S. Wong and W.G. Oldham, IEEE Electron Dev. Lett., EDL-5 (1984) 175 C. Blauw, J. Electrochem. Soc, 131 (1984) 1114 M.M. Moslehi, C.Y. Fu, T.W. Sigmon and K.C. Saraswat, J. Appl. Phys., 58 (1985) 2416 M. Hirayama, T. Matsukawa, H. Arima and H. Nakata, Ext. Abstracts of the Electrochemical Society Meeting, 85/1 Abs. 59 (1985) 81
Silica, Silicon Nitride and Oxynitride Thin Films [123] [124] [125] [126] [127] [128] [129] [130] [131] [133] [134] [135] [136a] [136b] [136c] [137] [138] [139] [140] [141a] [141b] [141c] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159]
139
H.H. Madden, J. Electrochem, Soc, 128 (1981) 625 M. Maeda and Y. Arita, /. Appl Phys., 53 (1982) 6852 Y. Hoshi, M. Naoe and S. Yamanaka, Jap. J. Appl Phys,, 19 (1980) 71 D. Bouchier, G. Gautherin, C. Schwebel, A. Bosseboeuf, B. Agius and S. Rigo, /. Electrochem. Soc, 130 (1983)638 K. Ohta, in : Semiconductor Technologies (ed.: J. Nishizawa) Japan Annual Reviews in Electronics, Computers & Telecommunication (North Holland Publishing Company, 1982) p.280 Y. Takaishi, M. Sakao, S. Kamiyama, H. Suzuki and H. Watanabe, lEDM Tech. Dig., (1994) 839 J.L. Autran, R.A.B. Devine, C. Chaneliere, B. Balland, IEEE Electron Dev. Lett., 18 (9) (1997) 447 C. Chaneliere, J.L. Autran, B. Balland, Materials Science and Enginering R., 22 (6), (1998) p 269-322 (and references therein) T. Kaga, M. Ohfkura, F. Murai, N. Yokoyama, E. Takeda, J. Vac. Sci. Technol. B, 13 (1995) 2329 [132] M.D. Wiggins, R.J. Baird and P. Wynblatt, /. Vac. Sci. Technol., 18 (1981) 965 R.B. Fair, in : Silicon Integrated Circuits, Applied Solid State Science (Supp. B), (Academic Press, New York, 1981)79 Y. Hayafuji and K. Kajiwara, J. Electrochem. Soc, 129 (1982) 2102 M. Taguchi, T. Ito, T. Fukano, T. Nakamura, H. Ishikawa, lEDM 81, Technical digest, Abs. 16.8 (1981)400 A.G. Schrott, S.C. Fain, Surface Science, 111 (1981) 39 A.G. Schrott and S.C. Fain, Surface Science, 123 (1982) 204 A.G. Schrott, Q.X. Su, S.C. Fain, Surface Science, 123 (1982) 223 S.P. Murarka, C.C. Chang and A.C. Adams, J. Electrochem. Soc, 126 (1979) 996 T.N. Wittberg, J.R. Hoenigman, W.E. Moddeman, C.R. Cothern and M.R. Gulett, J. Vac Sci. Technol, 15 (1978) 348 J.F. Delord, A.G. Schrott and S.C. Fain, J. Vac Sci. Technol, 17 (1980) 517 C. Maillot, H. Roulet and G.Dufour, J. Vac Sci. Technol, B2 (1984) 316 A. Glachant, D. Saidi, J.F. Delord, in: Insulating Films on Semiconductor 85, eds. J. J. Simonne and J. Buxo (Elsevier Science Publishers B. V., North Holland, 1986) 29 A. Glachant, D. Saidi, J.F. Delord, Surface Science, 168 (1986) 672 A. Glachant, in: Trends in Vacuum Science & Technology, Vol. 1, ed.: J. Menon (council of Research Integration, Trivandrum, 1993), p. 13-56 J.W. Evans and S.K. Chatterji, J. Phys. Chem., 62 (1958) 1064 M.I. Kamtchatka and B.F. Ormont, Rus. J. Phys. Chem., 45 (1971) 1246 C.Y. Wu, C.W. King, M.K. Lee, C.T. Chen, J. of Electrochem. Soc, 129 (1982) 1559 J.R. Monkowski, S.Y. Perng, J.A. Nemetz and R.E. Tressler, Ext. Abstracts of the Electrochem. Soc Meeting, S3/2Abs.310 (1983) 488 M.M. Moslehi and K.C. Saraswat, Ext. Abstracts of the Electrochem. Soc. Meeting, 32 Abs. 126 (1983) 199 J.F. Delord, Surface Science, 162 (1985) 717 S. Rigo, Nucl Instr. and Meth., B64 (1992) 1 C. Maillot, H. Roulet, G. Dufour, F. Rochet and S. Rigo, Appl Surf. Sci., 26 (1986) 326 J.L. Bischoff, L. Kubler and D. Bolmont, Surf. Sci., 209 (1989) 115 J.L. Bischoff, L. Kubler and D. Bolmont, J. Non Cryst. Sol, 97/98 (1987) 1407 J.L. Bischoff, L. Kubler and D. Bolmont, Phys. Rev., B 39 (1989) 3653 J.C. Bureau, K. Chafik, Z. Sassi, B. Balland and A. Glachant, Adv. Mater. Research, 142 (1994) 601 Y. Hayafuji and K. Kajiwara, J. Electrochem. Soc, 129 (1982) 2102 D. Hardy and K.H. Jack, Nature 180 (1957) 332 L. Niihara and T. Hirai, J. Mater. Sci.,12 (1977) 1233 R. Marchand, Y. Laurent and J. Lang, Acta Crystallographica, B 25 (1969) 2157 H.M. Jennings, J.O. Edwards and M.H. Richman, Inorganica Chimica Acta 20 (1976) 167 S. Ren and W.Y Ching, Phys. Rev., B 23 (1981) 5454
140
[160] [161a] [161b] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198]
B. Balland and A. Glachant
P.H. Holloway and HJ. Stein, J Electrochem, Soc, 123 (1976) 723 M.N. Rahaman and AJ. Moulson, J. Mater. ScL Lett, 16 (1981) 2319 M.N. Rahaman and A.J. Moulson, J, Mater, ScL, 19 (1984) 189 R. Hezel, T. Meisel and W. Streb, J. Appl Phys., 56 (1984) 1756 E.A. Taft, J. Electrochem. Soc, 118 (1971) 1341 J. Franz and W. Langheirich, Sol. Stat. Electron., 14 (1971) 499 S.I. Raider, R. Flitsch, J.A. Aboaf and W.A. Pliskin, J. Electrochem. Soc, 123 (1976) 560 J. Hui, T.Y. Chiu, S. Wong and W.G. Oldham, IEEE Electron Dev. Lett., 2 (1981) 14 S.S Chao, J.E. Tyler, D.V. Tsu, G. Lucovsky and M.J. Mantini, /. Vac Sci. Technol, A 5 (1987) 1283 G. Gunkel, D. Schalch and A. Scharmann, Phys. Stat. Sol. (a), 69 (1982) Kl 31 P. Hesto, C. Pellet, C. Schwebel, A. Bosseboeuf, D. Bouchier, E. Dupont-Nivet, J.L. Leray and M. Raffaelli, Annales de Physique 14 (1989) 557 S. Fujita, N. Zhou and A. Sasaki, Jap. Appl. Phys., 22 (1983) LlOO O.C. Arnett and B.H. Yun, Appl Phys. A3 (1975) 11 S. Luby, R.N. Lujagin, N. Doshikova, L.N. Alexandrov and J. Cervenak, Sol. State Electron., 13 (1970) 1097 P. Fahey, R.W. Dutton and M.M. Moslehi, Appl. Phys. Lett., 43 (1983) 683 P. Fahey, G. Barbuscia, M.M. Moslehi and R.W. Dutton, Appl. Phys. Lett., 46 (1985) 784 Y. Hayafuji, K. Kajiwara and S. Usui, J. Appl. Phys., 53 (1982) 863 A. Shintani, T. Kusaka, S. Mizuo, K. Hayashi and H. Okuhira, 4th Symposium on VLSI Technology Abs. 7.3 (1983) 90 F.H.P.M. Habraken, A.E.T. Kuiper, Y. Tamminga and J.B. Theeten, /. Appl. Phys., 53 (1982) 6996 S.I. Raider, R.A. Gdula and J.R. Petrak, Appl. Phys. Lett., 27 (1975) 150 R.J. Aucoin, M.L. Naiman, F.L. Terry and R. Reif, J. Electrochem. Soc: Reviews and News, Abs. 377 (1981)913 T.T.L. Chang, H.S. Jones, C.S. Jeng, W.S. Lee, S.K. Lai and V. Dham, IDEM 82 Technical Digest, Abs. 30.8. (1982) 810 H.R. Grinolds, S.S. Wong, J.W. Ekstedt, C.G. Sodini, S.H. Kwan, K.H. Jackson and L. Martinez, lEDM, Technical Digest, Abs. 3.1. (1982) 42 T. Ito, T. Nakamura and H. Ishikawa, / Electrochem. Soc, 129 (1982) 184 J. Amano and T. Ekstedt, Apll. Phys. Lett., 41 (1982) 816 M. Saitoh, M. Sakamoto, K. Hamano, K. Kobayashi and M. Hagiwara, Ext. Abs. of the Electrochem. Soc Meeting 82-1, Abs. 200 (1982) 320 S.S. Wong, S.K. Kwan and H.R. Grinolds, Proc of the Symposium on silicon nitride thin insulating films of the Electrochemical Society (1983) 346 F.L. Terry, R.J. Aucoin, M.L. Naiman and S.D. Senturia, IEEE Electron Dev. Lett., 4 (1983) 191 R. K. Pancholy and F. M. Edermann, IEEE Trans. Nucl. ScL, NS-SO (1983) 4141 Y. Yoriume, J. Vac ScL Technol, Bl (1983) 67 S. Mizuo, T. Kusaka, A. Shintani, M. Nanba and H. Higuchi, J. Appl Phys., 54 (1983) 3860 F.H.P.M. Habraken, E.J. Evers and A.E.T. Kuiper, Appl Phys. Lett., 44 (1984) 62 S. Tung, N.M. Johnson and S.A. Lyon, Appl Phys. Lett., 44 (1984) 316 R.P. Vasquez, M.H. Hecht, F.J. Grunthaner and M.L. Naiman, Appl Phys. Lett., 4 (1984) 969 M.L. Naiman, C.T. Kirk, R.J. Aucoin, F.L. Terry and P.W. Wyatt, J. Electrochem. Soc, 131 (1984) 637 Y. Yoriume, Thin Sol Films, 115 (1984) 135 C.T. Chen, F.C. Tseng, C.Y. Chang and M.K. Lee, J. Electrochem. Soc, 131 (1984) 875 P. Pan and C. Paquette, Appl Phys. Lett., 47 (1985) 473 M.M Moslehi, C.J. Han, K.C. Saraswat, C.R. Helms and S.C. Shatas, J. Electrochem. Soc, 132 (1985) 2189 C.J. Han, M.M. Moslehi, C.R. Helms and K.C. Saraswat, Appl Phys. Lett., 46 (1985) 641
Silica, Silicon Nitride and Oxynitride Thin Films
[199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] [212] [213] [214] [215] [216] [217] [218] [219] [220] [221] [222] [223] [224] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] [237]
141
A.K. Ray, C.J. Merz and T.N. Nguyen, Proceedings of the 3rd international Symposium on VLSI Science & Technology, (The Electrochem. Soc, (1985), 85-5, p. 339 F.L. Terry, Jr, P.W. Wyatt, M.L. Naiman, B.P. Mathur, C.T. Kirk and S.D. Senturia, J. Appl Phys., 57 (1985) 2036 R.P. Vasquez, A. Madhukar, F.J. Grunthaner and M.L. Naiman, Appl, Phys, Lett,, 44 (1985) 361 V.M. Efimov, D.G. Esaev, E.E. Meerson, L.M. Logvinskii and G.N. Perov, Phys. Stat. Sol. (a), 98 (1986)319 C.F. Chen and C.Y. Wu, Appl Phys. Lett., 48 (1986) 165 A.E.T. Kuiper, M.F.C. Willemsen, A.M.L. Theunissen, W.M. van de Wijgert, F.H.P.M. Habraken, R.H.G. Tijhaar, W.F. van der Weg and T. Chen, J. Appl. Phys., 59 (1986) 2765 D.J. DiMaria and J.R. Abemathey, J, Appl, Phys,, 60 (1986) 1727 P.Pan, /. Appl, Phys,, 61 (1987) 284 F.H.P.M. Habraken, E.L.J. Geerlings, R.H.G. Tijhaar, A. Slomp and W.F. van der Weg, J, Appl, Phys,, 62 (1987) 2573 H.H. Tsai, L.C. Wu, C.Y. Wu, and C. Hu, IEEE Electron Dev. Lett,, 8 (1987) 143 M. Severi and M. Impronta, Appl, Phys, Lett,, 51 (1987) 1702 R. Koba and R.E. Tressler, J, Electrochem, Soc, 135 (1988) 144 G.J. Dunn, Appl, Phys, Lett,, 53 (1988) 1650 K. Ramesh, A.N. Chandorkar and J. Vasi J. Appl, Phys,, 65 (1989) 3958 H. Wong and Y.C. Cheng, J. Appl. Phys., 70 (1991) 1078 LA. Chaiyasena, P.M. Lenahan and G.J. Dunn, Appl. Phys. Lett., 58 (1991) 2141 A. Ronda, A. Glachant, C. Plossu and B. Balland, C.R. EMRS XIIIMeeting - Strasbourg (1986), publ. Les Editions de Physique, p. 239 A. Ronda, A. Glachant, C. Plossu and B. Balland, Appl. Phys. Lett., 50 (1987) 171 B. Balland, J.C. Dupuy, A. Glachant and A. Ronda, Phys. Stat. Sol. (a), 100 (1987) 187 A. Benamar, J.C. Bureau, B. Balland, A. Ronda and A. Glachant, Appl. Surf. ScL, 30 (1987) 216 A. Glachant, B. Balland, A. Ronda, J.C. Bureau and C. Plossu, Surf, Sci„ 205 (1988) 287 A. Glachant, B. Balland, A. Ronda, J.C. Bureau and C. Plossu, Le Journal de Physique, 49 (1988) 413 B. Balland, J.C. Bureau, A. Benamar, J.J. Dubray and A. Glachant, Appl, Surf, ScL, 39 (1989) 210 A. Glachant, J.J. Dubray, B. Balland, A. Benamar and J.C. Bureau, Annales de Phys,, C2 6-14 (1989) 85 B. Balland, A. Glachant, J.C. Bureau and C. Plossu, Thin Sol. Films, 190 (1990) 103 J.C. Bureau, A. Glachant and B. Balland, Phys. Stat. Sol. (a) 120 (1990) 507 V. Garcia, A. Glachant, J.C. Bureau, B. Balland, C. Plossu, J.C. Dupuy and A. Straboni, Microelectronic Engineering, 22 (1993) 73 A. Glachant, V. Garcia, B. Balland, J.C. Bureau, C. Plossu, J.C. Dupuy and A. Straboni, Thin Sol. F//m5, 238(1994)31 V. Garcia, A. Glachant, R. Pantel and A. Straboni, Appl, Surf. Sci., 74 (1994) 165 L Kato, T. Ito, T. Nakamura and H. Ishikawa, J. Electron. Mater., 13 (1984) 913 P. Fazan, M. Dutoit and M. Ilegems, Appl. Surf. ScL, 30 (1987) 224 M. El-Sayed and J. Camargo Da Costa, Appl Surf. ScL, 30 (1987) 229 P. Debenest, K. Barla, A. Straboni and B. Vuillermoz, Appl Surf, ScL, 36 (1989) 196 K. Barla, D. Nicolas, R. Pantel, B. Vuillermoz, A. Straboni and Y. Caratini, J, Appl Phys,, 68 (1990) 3635 A. Straboni, B. Vuillermoz and M. Berenguer, Le Vide - Les Couches Minces, n° 255 (1991) 55 P. Pan, J, Appl Phys., 61 (1984) 284 S.S. Wong, C.G. Sodini, T.W. Ekstedt, H.R. Grinolds, K.H. Jackson and S.H. Ewan, J, Electrochem, 5^^130(1983)1139 F.L. Terry, M.L. Naiman and R.J. Aucoin, IEEE Trans, on Nucl ScL, NS. 28 (1981) 4389 Y. Okada, P.J. Tobin, V. Lakhotia, W.A. Feil, S.A. Ajuria and R.L Hegde, Appl Phys, Lett., 63 (1993) 194
142
[238] [239] [240] [241] [242] [243] [244] [245a] [245b] [246] [247] [248] [249] [250] [251] [252a] [252b] [253] [254a] [254b] [255] [256] [257] [258] [259] [260] [261] [262] [263] [264] [265] [266a] [266b] [267] [268] [269] [270a] [270b] [271] [272] [273] [274]
JB. Balland and A , Glachant
R.K. Brow and C.G. Pantano, J. Amer, Ceram, Soc, 70 (1987) 9 F.H.P.M. Habraken and A.E.T. Kuiper, Thin Sol. Films, 193/194 (1990) 665 A. Ronda, Ph, D. Thesis - CRMC2 - University of Aix-Marseille II - Fr. (1987) B. Carriere and B. Lang, Surf. Sci., 64 (1977) 209 H. Fukuda, M. Yasuda and T. Iwabuchi, Electronics Lett., 28 (1992) 796 H. Fukuda, T. Arakawa and S. Ohno, IEEE Trans. Electron Dev., 39 (1992) 127 T.O. Sedgwick, Mat. Res. Soc. Symp. Proc, 92 (1987) 3 J. Nulman and J.P. Knisius, Appl Phys. Lett., 47 (1985) 148 J. Nulman and J.P. Krusius, P. Pente, Mat. Res. Soc. Symp. Proc, 52 (1985) 341 M.M. Moslehi, K.C. Saraswat and S.C. Shatas, Appl. Phys. Lett., 47 (1985) 1113 C.C.Chang, A. Kamgar and D. Kahng, IEEE Electron. Dev. Lett., 6 (1985) 476 Y. Naito, T. Hori, H. Iwasaki and H. Esaki, J. Vac. Sci. Technol, BS (1987) 633 H. Fukuda, T. Iwabuchi and S. Ohno, Sol. State Electron., 33 (1990) 123 F.H.P.M. Habraken, A.E.T. Kuiper, Y. Tamminga and J.B. Theeten, / Appl. Phys., 53 (1982) 6996 M.L. Naiman, C.T. Kirk, B.L. Emerson, J.B. Taitel and S.D. Senturia, J. Appl. Phys., 58 (1985) 779 J.B. Oude Elferink, U.A. van der Heide, W.M.A. Bik, F.H.P.M. Habraken and W.F. van der Weg, Appl. Surf. Sci., 30 (1987) 197 J.B. Oude Elferink, F.H.P.M. Habraken, W.F. van der Weg and A.E.T. Kuiper, Appl. Surf. Sci., 33/34 (1988) 765 T. Hori and H. Iwasaki, Proc. lEDM-International Electron Devices Meeting IEDM-87 (1987) 570 T. Hori, H. Iwasaki and H. Esaki, IEEE Trans. Electron Dev., 34 (1987) 2238 T. Hori, H. Iwasaki, Y. Yoshioka and M. Sato, Appl. Phys. Lett., 52 (1988) 736 T. Hori, H. Iwasaki, T. Ohmura, A. Samizo, M. Sato and Y. Yoshioka, J. Appl. Phys., 65 (1989) 629 H. Hwang, W. Ting, D.L. Kwong, J. Lee, L. Buhrow and R.A. Bowling, Appl. Phys. Lett, 55 (1989) 755 G.Q. Lo, W.C. Ting, D.K. Shih and D.L. Kwong, Appl. Phys. Lett, 56 (1990) 979 D.J. DiMaria and J.H. Stathis, J. Appl. Phys., 70 (1991) 1500 LA. Chaiyasena, P.M. Lenahan and G.J. Dunn, J. Appl. Phys., 72 (1992) 820 N. Novkovski, I. Aizenberg, E. Coin, E. Fullin and M. Dutoit, Appl. Phys. Lett., 54 (1989) 2408 N. Novkovski, M. Dutoit and J. Solo de Zaldivar, Appl. Phys. Lett., 56 (1990) 2120 A. Uchiyama, H. Fukuda, T. Hayashi, T. Iwabuchi and S. Ohno, lEDM Technical Digest International Electron Devices Meeting, IEDM-90 (1990) 425 H. Fukuda, T. Arakawa and S. Ohno, Jap. Appl. Phys., 29 (1990) L2333 H. Hwang, W. Ting, B. Maiti, D.L. Kwong and J. Lee, Appl. Phys. Lett., 57 (1990) 1010 H. Hwang, W. Ting, B. Maiti, D.L. Kwong and J. Lee, lEDM Technical Digest- international Electron Devices Meeting, lEDM 90 (1190) 421 W. Ting, H. Hwang, J. Lee and D.L. Kwong, Appl. Phys. Lett., SI (1990) 2808 W. Ting, H. Hwang, J. Lee and D.L. Kwong, J. Appl Phys. 70 (1991) 1072 H. Fukuda, M. Yasuda and S. Ohno, Electron. Lett., 27 (1991) 440 H. Hwang, W. Ting, D.L. Kwong and J. Lee, Materials Research Society Symposium Proceedings, 224(1991)379 T.Y. Chu, W. Ting and D.L. Kwong, Materials Research Society Symposium Proceedings, 224 (1991) 415 T.Y. Chu, W. Ting, J.H. Ahn, S. Lin and D.L. Kwong, J. Electrochem. Soc, 138 (1991) L13 T.Y. Chu, W. Ting, J.H. Ahn, S, Lin and D.L. Kwong, Appl. Phys. Lett., 59 (1991) 1412 M. Yasuda, H. Fukuda, T. Iwabuchi and S. Ohno, Jap. /. Appl. Phys., 30 (1991) 3597 H. Hwang, W. Ting, D.L. Kwong and J. Lee, Appl. Phys. Lett, 59 (1991) 1581 H. Fukuda, M. Yasuda, T. Iwabuchi and S. Ohno, Appl. Surf. Sci., 60/61 (1992) 359 A.E.T. Kuiper, H.G. Pomp, P.M. Asveld, W.A. Bik and F.H.P. Habraken, Appl. Phys. Lett, 61 (1992) 1031
Silica, Silicon Nitride and Oxynitride Thin Films [275] [276] [277] [278] [279] [280] [281] [282] [283] [284] [285a] [285b] [286] [287] [288] [289] [290] [291] [292] [293a] [293b] [294] [295] [296] [297] [298] [299] [300] [301] [302] [303] [304] [305] [306] [307] [308] [309] [310] [311] [312] [313] [314] [315]
143
H. Fukuda, lEICE Trans. Electron., E76-C (1993) 511 T. Arakawa, Y. Yamashita, H. Hoga, S. Noda and H. Fukuda, Appl. Phys. Lett, 63 (1993) 3364 H. Fukuda, A. Uchiyama, T. Kuramochi, T. Hayashi, T. Iwabuchi, T. Ono and T. Takayashiki, lEDM Technical Digest - International Electron Devices Meeting, IEDM-92 (1992) 465 T. Hayashi, Y. Kawazu, H. Fukuda and T. Iwabuchi, Electron. Lett., 29 (1993) 2178 H. Fukuda, T. Hayashi, A. Uchiyama and T. Iwabuchi, Electron. Lett., 29 (1993) 947 N.S. Saks, D.I. Maria, D.M. Feetwood and M.E. Twigg, lEDM Technical Digest - international Electron Devices Meeting, IEDM-94 (1994) 23 H.R. Soleimani, A. Philipossian, B. Doyle, IDEM Technical Digest-International Electron Devices Meeting, IEDM-92 (1992) 629 H. Hwarig, W. Ting, D.L. Kwong, and J. Lac, lEDM Technical Digest-International Electron Devices Meeting, IEDM-90 (1990) 629 Z.H. Liu, J.T. Kuck, H.J. Wann, P.K. Ko, C.Hu, and Y.C. Cheng, lEDM Technical DigestInternational Electron Devices Meeting, IEDM-92 (1992) 625 S. Dimitrijev, D. Sweatman, H.B. Harrison, Appl. Phys. Lett., (1993) 1539 P.J. Tobin., Technical Dig. Symp. VLSI Tech., (1993) 51 P.J. Tobin, U. Okada, S.A. Ajuria, V. Lakhotia, W.A. Fait, R.I. Hedge, J. Appl. Phys., 75 (1994) 1811 Y. Okada, P.J. Tobin, V. Lakhotia, S.A. Ajuria, R.I. Hegde, J.C. Lido, P.P. Rushbrook, L.J. Arras, Electrochem. Soc, 140 (1993) L87 Y. Okada, P.J. Tobin, P. Rushbrook, and W.A. Dopant, IEEE Trans. Elect. Dev., 41 (1994) 191 J.T. Young and P.M. Lcnahan, J. Non-Cryst. Solids, 164-166 (1994) 1069 G.W. Yoon, A.B. Joshi, G.Q. Lo and D.L. Kwong, IEEE Electron Dev. Lett., 13 (1992) 606 A.B. Joshi, G. W. Yoon, J Kim, G.Q.- Lo, D.L. Kwong, IEEE Trans. Electron Dev. 40 (1993) 1437 J.H. Han, S. Lin, J. Electrochem. Soc, 138 (1991) L39 L.K. Han, M. Bhat, D. Wristers, H.H. Wang, D.L. Kwong, Microelectronic Engineering, 28 (1995) 89 G.Q. Lo, A.B. Joshi, and D.L. Kwong, IEEE Trans. Electron Dev. Letts., 13 (1992) 111 G.Q. Lo, A.B. Joshi, and D.L. Kwong, IEEE Trans. Electron Dev., 40 (1993) 1565 P.Poveda and A. Glachant, Surf. Sci.., 323 (1995) 258 C. Ance, F. de Chelle, J.P. Ferraton, G. Leveque, P. OrdejOn and F. Yndurain, Appl. Phys. Lett., 60 (1992) 1399 Y. Ishikawa, I. Kobayashi and I. Nakamichi, Jpn. J. Appl. Phys., 31 (1992) L443 M.G. Collet, J. Electrochem. Soc, 116 (1969) 110 C.H.J, van der Brekel and P.J. Severin, J. Electrochem. Soc, 119 (1972) 372 A. Loffler-Peters, J. Miiller and T. Ebert, Appl. Surf. Sci., 54 (1992) 121 W. Streb and R. Hezel, J. Vac Sci. Technol, B 2 (1984) 626 A. Glachant, P. Soukiassian, S.T. Kim, S. Kapoor, A. Papageorgopoulos and Y. Baros, J. Appl. Phys., 70 (1991) 2387 A. Glachant, P. Soukiassian, P.S. Mangat, J.Peng and S.T. Kim, Appl. Surf. Sci., 56-58 (1991) 802 A. Glachant and P. Soukiassian, Appl. Surf. Sci., 65-66 (1993) 654 A. Glachant and P. Soukiassian, S.T. Kim, and Z. Hurych, Appl. Surf. Sci.., 65-66 (1993) 847 B.H. Chin and G. Erlich, Appl. Phys. Lett., 38 (1981) 253 M.L. Knotec and J.E. Houston, J. Vac Sci. Technol, 20 (1982) 544 A. Bosseboeuf and D. Bouchier, Surf. Sci., 162 (1985) 695 F. Bozso and Ph. Avouris, Phys. Rev. Lett., 57 (1986) 1185 F. Bozso and Ph. Avouris, Appl. Phys. Lett., 53 (1988) 1095 K. Hiranaka and T. Yamaguchi, /. Appl. Phys., 67 (1990) 1088 D. Schalch, A. Scharmann and R. Wolfrat, Thin Sol. Films, 124 (1985) 301 D. Schalch, A. Scharmann and R. Wolfrat, Phys. Stat. Sol. (a), 105 (1988) K81 H. Reinhardt, D. Schalch and A. Scharmann, Thin Sol. Films, 167 (1988) LI G.A. Niklasson, T.S. Eriksson and K. Brantervik, Appl. Phys. Lett., 54 (1989) 965 Y. Cros, J.C. Rostaing, J. Peisner, G. Leveque and C. Ance, J. Appl. Phys., 62 (1987) 4538
144 [316] [317] [318]
B, Balland and A, Glachant K. Hiranaka and T. Yamaguchi, Jap. J. Appl Phys., 29 (1990) 229 T. Kamada, T. Kitagawa, K. Setsune, K. Wasa and T. Izurni, Appl. Surface Science 33/34 (1988) 1094 F.H.P.M. Habraken Appl. Surface Science 30 (1987) 186
145
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) © 1999 Elsevier Science B.V. Allrightsreserved.
CHAPTER 2
A REVIEW OF BURIED OXIDE STRUCTURES AND SOI TECHNOLOGIES byJ.-L.LERAYO)
Key items Silicon-On-Insulator (SOI) technologies Buried Oxide (BOX) structures Silicon-On-Sapphire (SOS) Zone Melt Recrystallisation (ZMR) Wafer bonding Bond and Etch-back (BESOI) process Separation by Implantation of Oxygen (SIMOX) process Radiation Hardening Smart devices Buried optics Micromachining Sensors
(I) CEA'DAM, Commissariat a VEnergie Atomique Direction des Recherches en Ile-de-France B.P. 12, 91680, BruyereS'Le-Chatel, France E-mail: [email protected]
146
7.L. Leray
Abstract of Chapter 2: A review of buried oxide structures and SOI technologies Various techniques have been tried to fabricate buried oxide (BOX) structures and Silicon-On-Insulator (SOI) devices. The advantages associated with such structures are many: an increased integration, a reduction in coupling effects, a better radiation hardness and low leakage currents. Most currently used techniques are reviewed in this chapter. To obtain a SOI structure, silicon can be deposited on an amorphous (or polycrystalline) insulator, or on a crystallized insulator. Although many insulator substrates have been tried, the best results have been obtained by epitaxial deposition of silicon on sapphire (A 120^. The obstacles which the CMOS/SOS process had to overcome to become a reliable process are reviewed along with other techniques which permit growing a layer of crystalline silicon on an amorphous substrate (the ZMR and ISE process). A buried oxide can also be manufactured by implanting oxygen ions at a given depth in a silicon wafer. The SIMOXprocess is based on this principle. Other techniques (the FIFOS, SOOZ, GAA and EFIC processes) make it possible to grow an oxide layer underneath the device one wants to isolate. It is also possible to obtain a buried oxide by bonding two wafers, one of which has been oxidized. The BESOI process enables not only to obtain silicon-on-silica structures but also to associate other semiconductor materials with an oxide. Whatever the method used, BOX structures have found applications not only in microelectronics but also in micro-optics, sensors and micro-machines. When BOX structures are irradiated, the presence of an additional oxide layer and of an additional siliconinsulator interface gives birth to new effects (charge build-up, creation of new interface and bulk traps). These effects must be taken into account when a SOI device is designed to operate in a radiation environment Technologies based on buried oxides have a promising future. Resume du chapitre 2 : Les structures a oxyde enterre et les technologies SOI Diverses techniques ont ^te explorees pour fabriquer des structures a oxyde enterre et des dispositifs en silicium-sur-isolant (SOI). Les avantages que procurent de telles structures sont nombreux : une integration plus poussee, une reduction des effets de couplage, une meilleure tenue aux radiations et de faibles courants defuite. Laplupart des techniques actuellement utiUsees sont passees en revue dans ce chapitre. Four obtenir une structure SOI, le silicium peut etre depose sur un isolant amorphe (ou polycristallin) ou sur un isolant cristallise. Bien que de nombreux substrats isolants aient et^ essayes, les meilleurs resultats ont ete obtenuspar croissance epitaxique du silicium sur le saphir (Al20^. Les obstacles que le precede CMOS/SOS a du surmonter pour devenir un precede fiable, sont passes en revue. De meme, sont presentees d'autres techniques qui permettent defaire croitre du silicium monocristallin sur un substrat amorphe (notamment les procedes ZMR et ISE). On peut egalement fabriquer un oxyde enterre en implantant des ions oxygene dans une tranche de silicium. Le precede SIMOX est base sur ce principe. D'autres techniques permettent defaire croitre une couche d'oxyde sous le dispositif que Ton veut isoler (les procedes FIFOS, SOOZ, GAA et EFIC). II est egalement possible d'obtenir une couche d'oxyde enterre en soudant deux tranches dont Tune est oxydee. Le precede BESOI permet ainsi non seulement d'obtenir des structures silicium-sur-silice mais aussi d'associer d'autres materiaux semiconducteurs a des oxydes. Quelle que soit la methode utilisee, les structures a oxyde enterre ont trouve des applications non seulement en micro-electronique mais egalement en micro-optique, dans les micromachines et pour fabriquer des capteurs. Quand des structures SOI sont irradiees, la presence d'une couche d'oxyde et d'un interface silicium-isolant supplementaires donne naissance a de nouveaux effets (accumulation de charge, generation de nouveaux pieges de volume et d'interface). Ces effets doivent etre pris en compte quand un dispositif SOI est appele a fonctionner dans un environnement radiatif Les technologies utilisant les oxydes enterres ont un avenir prometteur.
A Review of Buried Oxide Structures and SOI Technologies
147
Zusammenfassung zu Kapitel 2: Eine Ubersicht ilber vergrabene Oxidstrukturen und SOI-Technologien. Verschiedene Verfahren zur herstellung vergrabener oxide (buried oxide: BOX) und Silizium-auf-Isolator (Silicon-On-Insulator: SOI) sind entwickelt worden. Die mit solchen Strukturen verbundenen Vorteile sind manigfaltig: eine hOhere Integrationsdichte, geringere Ubersprechneigung, erhohte Strahlungshdrte und geringere Leckstrome. Die gegenwdrtig genutzten Varianten werden in diesem Kapitel dargestellt Um eine SOI-Struktur herzustellen, kann Silizium entweder aufeinen amorphen (oder polykristallinen) oder kristallinen Isolator aufgebracht werden. Obwohl eine grosse Anzahl von Isolatorsub straten untersucht wurde, sind die besten Resultate durch epitaktisches Aujwachsen von Silizium aufSaphir (Al20^ erzielt worden. Die Schwierigkeiten, die der CMOS/SOS-Prozess zu uberwinden hatte, um ein zuverldssiger Prozess zu werden, werden ebenso dargestellt wie andere Verfahren, die es gestatten, kristallines Silizium auf einem amorphen Substrat aufzuwachsen (ZMR- und EPIC- Verfahren). Vergrabene Oxide vorgegebener Tiefe konnen auch durch lonenimplantation in einem Silizium-Wafer erzeugt werden. Der SIMOX-Prozess basiert auf diesem Verfahren. Andere Verfahren (die FIPOS-, SOOZ-, GAA- und EPIC-Prozesse) machen es moglich, eine Oxidschicht unterhalb eines zu isolierenden Bauteils zu erzeugen. Es besteht ebenfalls die Moglichkeit, durch Verbindung zweier Wafer, von denen einer oxidiert ist, eine vergrabene Oxidschicht zu erhalten. Der BESOI-Prozess gestattet nicht nur, Silizium-auf OxidStrukturen zu erhalten, sondern auch solche anderer Halbleiter-Materialien auf einem Oxid. Welches Verfahren auch immer gewdhlt wird, BOX-Strukturen werden nicht nur in der Mikro-elektronik, sondern auch in der Mikrooptik, Sensoren and Mikromechanik eingesetzt. Bei Bestrahlung treten bei BOX-Strukturen aufgrund der zusdtzlichen Oxidschicht und der zusdtzlichen Phasengrenze neue Schddigungsmechanismen auf (Ladungsaufbau, Erzeugung neuer Phasengrenz- und Volumenzustdnde). Diese Effekte mtissen beim Einsatz von SOI-Bauelementen in strahlungsbelasteter Umgebung berucksichtigt werden. Die aufvergrabenen Oxiden basierenden Technologien haben eine vielversprechende Zukunft.
148
y.L. Leray
Chapter 2: A review of buried oxide structures and SOI technologies Table of contents List of symbols and abbreviations used 1. An introduction to SOI structures and buried oxides 2. A historical perspective 2.1. The early works 2.2. How the concepts evolved 3. The many advantages of SOI structures 3.1. Improvements in packing density and process simplifications 3.2. Advantages of device downscaling 3.2.1. Reduction of junction dimensions 3.2.2. The electric breakdown of silicon 3.2.3. Reliability of junctions 3.2.4. Doping fluctuations 3.2.5. Sensitivity to the ionizing particles of the natural environment 3.3. Improvements in hardness against radiation-induced transient effects 3.4. Improvements in high-temperature performance 3.5. Advantages of dielectric insulation in high-firequency devices 3.5.1. Minimizing cross-coupling between elements 3.5.2. Minimizing high-fi-emiency losses 3.5.3. Increase in the maximum operationalfi-equencyof transistors 4. SOI manufacturing: processe/s based on the deposition of silicon on insulator 4.1. Deposition of silicon on/an insulator substrate 4.1.1. Thin-Film Transistirs (TFT) 4.1.2. Epitaxial Lateral Overgrowth (ELO) 4.2. Deposition of crystalling silicon on a crystalline bulk insulator 4.2.1. Origins of the SOS; process and early achievements 4.2.2. Carrier mobility and properties of the silicon-sapphire interface 4.2.3. Bulk minority-carrier lifetime and surface recombination velocity in the silicon film 4.2.4. Morphology and elebtrical properties of deposited silicon 4.2.5. The aluminum autodoping problem 4.2.6. Major improvements contributed by the Solid-Phase Epitaxy methods 4.2.7. Conclusions for the SOS process 4.3. Dual epitaxial deposition 4.4. Deposition of amorphous or polycrystalline silicon followed by recrystallization 4.4.1. The Zone Melting Recrystallization (ZMR) process 4.4.2. The Isolated Silicon Epitaxy (ISE) technique 4.4.3. Examples of other ZMR applications
A Review of Buried Oxide Structures and SOI Technologies SOI manufacturing: processes based on oxygen implantation 5.1. The high-fluence SIMOX process 5.1.1. Early developments 5.1.2. Oxygen and damage profiles 5.1.3. The Ultra-High Temperature Annealing (UHTA) step 5.1.4. Standard temperature conditions used during implantation to obtain a monocrystalline silicon layer 5.1.5. Standard dose conditions used to obtain a thick SIMOX buried oxide 5.1.6. Standard conditions used to obtain a thin SIMOX oxide 5.1.7. The InTernal OXidation (ITOX) process 5.1.8. Adjustments by supplemental implantation 5.1.9. Multiple implantation 5.1.10. Other insulators obtained by ion implantation 5.2. Major defects encountered in SIMOX structures 5.2.1. Fixed charge and interface traps 5.2.2. Silicon islands in the buried oxide 5.2.3. "Pipes" and nano-clusters in the buried oxide 5.2.4. Leakage currents induced by defects in SIMOX structures 5.2.5. Defects in silicon 5.3. Quality and variety of SIMOX substrates 5.3.1. First-order progress 5.3.2. Currently available processes Processes based on directed thermal oxidation (FIPOS, SOOZ and GAA methods) 6.1. The FIFOS process 6.1.1. Selective anodization 6.1.2. Selective oxidation 6.1.3. Densification 6.1.4. Obtained results 6.1.5. Peculiarities of FIFO S oxides 6.1.6. The advantages of using n-doped substrates 6.2. The SOZ and SOOZ processes 6.3. The Gate All Around process 6.4. The EPIC epitaxial and chemical thinning process SOI manufacturing processes based on the bonding of two materials (BESOI) 7.1. Principles of the BESOI techniques 7.2. Wafer bonding 7.3. Thinning of the top layer 7.4. The hydrogen cleavage process (Smart-Cut®) 7.5. Defects and properties of BESOI materials 7.6. Characteristics of current BESOI wafers 7.7. Other bonded materials (SICOI) 7.8. Novel structures permitted by wafer bonding
149
150
y.L. Leray
8. Applications of SOI structures to novel smart structures 8.1. Application to buried optics 8.2. Application to micromachines 9. Radiation effects in buried oxide structures 9.1. Charge trapping in dielectrics after ionization 9.2. Parasitic transistors due to charge trapping 9.2.1. Case of SOI structures 9.2.2. Case of SOS structures 9.3. Specific radiation effects in SOS structures 9.4. Specific radiation effects in SIMOX, BESOI and FIFOS structures 9.5. Additional comments on radiation effects in SOI materials 9.5.1. SIMOX buried oxides 9.5.2. BESOI buried thermal oxides 10. Conclusion 10.1. The fast evolution of BOX technologies 10.2. Perspectives Acknowledgements List of References
A Review of Buried Oxide Structures and SOI Technologies
List of symbols and abbreviations used Cox
F.cin-2
imax
NA
s-l s-l cm cm-3
ND,5ND
cm-3
Concentration of donor-type doping atoms, variation thereof
Qe
C.cm-2
Charge stored under the gate (of a MOS transistor)
Qsi
C.cm-2
Space charge of the silicon film of thickness tg^ when the film
ft L
Oxide capacitance per unit area Maximum (operational) frequency Transition frequency Transistor channel length (distance between source and drain) Concentration of acceptor-type doping atoms
is fully depleted q Mep
C cm
Elementary charge (q = 1.6 10"!^ C)
W
cm
Thickness of the oxide film
tsi
cm
Thickness of the silicon film
VT, 6VT
V
Threshold voltage, variation thereof
W
Transistor width
esi,Sox
cm F.cm'
Permittivity of silicon, resp. of silica
(|)F, 5<j)F
eV
Fermi energy, variation thereof
<|)MS
eV cm^
Metal-Silicon work function difference
CT
3D BESOI BOX C CMOS CVD DI DRAM ELO EPIC FET FIFOS GR GAA
Thickness of the depleted zone
Trap capture cross section Three-Dimensional Bond and Etch-back SOI Buried OXide (structure) Carbon. Stands here for technology using diamond Complementary Metal Oxide Semiconductor Chemical Vapor Deposition Dielectric Isolation Dynamic Random Access Memory Epitaxial Layer Overgrowth Epitaxial Process for Insulated Circuits Field Effect Transistor Full Isolation by oxidation of POrous Silicon Generation-Recombination Gate All Around
151
152 HEMT HTA IC ISE ITOX MBE PD PSG RMS SCR SEU SICOI SIMNI SIMOX SOD SOI SOS SOZ SRAM TFT UHTA VLSI ULSI
vuv XTEM YSZ Yttria Zirconia ZMR
J.L. Leray High Electron Mobility Transistor High Temperature Annealing Integrated Circuit Isolated Silicon Epitaxy InTemal OXidation Molecular Beam Epitaxy Partially Depleted Phosphorous Silicate Glass Root Mean Square Silicon Controlled Rectifier (or Thyristor) Single Event Upset Silicon Carbide On Insulator Separation by IMplantation of Nitrogen Separation by IMplantation of OXygen Silicon On Diamond Silicon On Insulator Silicon On Sapphire Silicon on Zirconium Oxide Static Random Access Memory Thin-Film Transistor Ultra-High-Temperature Annealing Very Large Scale Integration Ultra Large Scale Integration Vacuum Ultra-Violet High-Contrast Transmission Electron Microscopy Yttria-Stabilized Zirconia Y2O3 Zr02 Zone-Melting Recrystallization
A Review of Buried Oxide Structures and SOI Technologies
153
1. AN INTRODUCTION TO SOI STRUCTURES AND BURIED OXIDES To design and fabricate high performance integrated devices, one fruitful solution is to isolate electrically the semiconductor layer, in which the active elements are made, from the substrate. This can be accomplished: • either by depositing the "active layer" on an isolating substrate, • or, by somehow introducing a dielectric layer between the active layer and the substrate. A lot of effort has been devoted in the past twenty years to produce such structures for which two acronyms are currently being used: SOI: which can be understood as "Silicon On Insulator" or "Semiconductor On Insulator" and which usually refers to (SOI) devices. BOX: which stands for "Buried OXide" and which usually refers to the (BOX) structure itself This chapter reviews the many techniques used to fabricate BOX structures and SOI devices and their physical and electrical characteristics. In Section 2 we recall that in the early days of field-effect transistors, one already used a buried oxide structure and we show how the concept evolved over the years. When a SOI technology is used to manufacture analog and digital circuits, these circuits display several advantages, namely: an improvement in packing density, an improvement in radiation hardness, and the possibility of operating at higher temperatures, (the last two improvements being partly due to smaller leakage currents). These advantages are reviewed in Section 3. The isolation of individual (elementary) devices on a chip, using insulating layers (instead of pn junctions), makes it also possible to reduce cross-coupling effects and dielectric losses. We also show in this section that BOX structures are well suited to increase integration and reduce dimensions because of the sharp discontinuity the Si/Si02 interface provides. Problems usually encountered when dimensions are reduced (e.g. increase in electric field, electromigration, sensitivity to ionizing particles, ...) are more easily solved using a BOX structure than using bulk substrates. In Section 4, we review SOI processes based on the deposition of silicon on an insulator substrate, the latter being amorphous or crystallized. When the substrate is amorphous, the deposited silicon film remains amorphous or polycrystalline (case of thin-film transistors) unless crystallization seeds are introduced (the ELO process). The use of a crystallized insulator substrate such as sapphire has given birth to the Silicon On Sapphire (SOS) process in which silicon is deposited and grows epitaxially. We review the many problems which had to be overcome to make the CMOS/SOS process a commercially successful one, namely: an improvement of the Si/Sapphire interface, an improvement of the crystalline quality of the deposited silicon layer (using e.g. Solid Phase Epitaxy methods) and a reduction in aluminum contamination.
154
J,L,Leray
Other techniques used to produce a crystallized silicon layer are also reviewed: the dual epitaxial deposition, the Zone Melting Recrystallization (ZMR) process, and the Isolated Silicon Epitaxy (ISE) technique. Some of these techniques have made it possible to build several active layers on the same wafer. A buried oxide can also be manufactured by somehow introducing oxygen undemeath the silicon surface. In the SIMOX process (separation by Implantation of Oxygen), oxyden is introduced by implantation and the crystalline structure of silicon is restored by a suitable ultra-high temperature anneal. We review the currently available SIMOX processes in Section 5 and show that both thin and thick silicon layers can be obtained, depending on the implantation conditions (energy, fluence, multiple implants) and on the subsequent annealing conditions (temperature, annealing ambients). We also review the various process-induced defects (pipes, nanoclusters, silicon islands, fixed interface charge, interface states) and show that SIMOX structures have greatly improved due to a drastic reduction in contaminants during oxygen implantation. Another way of fabricating a silicon layer on an insulator is to somehow manage a local thermal oxidation of the silicon substrate undemeath the device to be isolated. We review four such processes in Section 6. In the FIFOS process (Full Isolation by Oxidation of Porous Silicon), the silicon substrate is oxidized through microchannels or pipes previously manufactured by means of anodic etching in HF acid. In the SOOZ process (Silicon Oxidation On Zirconia), one takes advantage of the fact that oxygen ions diffuse across zirconia. In the GAA (Gate All Around) process, one starts first from a SIMOX substrate and the buried oxide is etched undemeath a silicon island, which in a later step is reoxidized to obtain a thin gate oxide. Finally, in the EPIC process (Epitaxial Process for Insulated Circuits) the active devices are manufactured inside "tubs" of silicon dioxide. Another way of producing a buried oxide structure is described in Section 7. It consists in forcing an oxidized wafer to bond with an unoxidized wafer and subsequently to thin one of the two bonded wafers to obtain the desired thickness of active semiconductor material. The BESOI process (Bond and Etch-back Silicon-On-Insulator) is currently widely used to produce Silicon-Oxide-Silicon structures but can also be applied to manufacture new structures in which the active semiconductor can be SiC, GaAs, and InP. The thinning of the active silicon layer can be greatly simplified by using the Smart-Cut® technique in which hydrogen ions are implanted at a given depth to facilitate wafer splitting. Wafer bonding is also shown to render possible the 3D integration of active layers. The advantages brought about by SOI structures have made possible the design of novel structures. In Section 8, we show that the buried oxide may be used as a waveguide, which should enable the design of silicon-based opto-electronic devices. The SOI structure is also shown to offer many advantages in the fast-expanding field of micromachining and should give birth to new "smart" devices such as sensors and micro-actuators. Radiation effects in buried-oxide structures are reviewed in Section 9. Ionizing radiation generates electron-hole pairs in buried oxides just like in any other insulator. This gives birth to another parasitic structure called the back-gate FET transistor, and to leakage currents, due
A Review of Buried Oxide Structures and SOI Technologies
155
to the charge build-up in the buried oxide. Specific effects are also shown to exist in most CMOS/SOS structures due to the large build-up of states at the silicon-sapphire interface. Both electron and hole traps are generated during irradiation. Their main characteristics are shown to depend on the radiation used, and on the process conditions used during fabrication of the buried oxide, while their density is shown to depend on the biasing conditions during irradiation. Oxygen vacancies are thought to act as trap precursors, not only in SIMOX oxides but also in BESOI buried oxides. Carrier trapping occurs in the buried oxide during irradiation. Either electron or hole trapping prevails, depending on bias and dose. Buried oxide technologies have made tremendous progress in the last 40 years. This evolution and the benefits it brought are summarized in Section 10. These technologies provide new ways to further integrate electronic circuits and find new applications in the fastexpanding field of sensors and micromachines. 2. A HISTORICAL PERSPECTIVE Let us quickly review the evolution of BOX structures from a chronological point of view. 2.1. THE EARLY WORKS
The early works on BOX structures dealt with the fabrication of Field-Effect Transistors (FETs). Indeed, the most natural way to make a field-effect transistor is to use a semiconductor film on an insulator. These works began in the late 20's and dealt with what would nowadays be identified as Semiconductor-On-Insulator thin films [1, 2, 3]. The early patents filed by Lilienfeld and described in 1926 and 1928 (Fig. 2.1) showed how to control the value of a thin-film resistor (copper sulfide) through a spacer insulator (which at the time was proposed to be aluminum oxide). Heil [3] in the UK suggested in 1935 that the conductivity of a "thin gap" semiconductor could be modulated by an extemal field through mica (Fig. 2.2). March 7, 1933.
j . E. LILIENFELCT
1,900,018
Hl!li|lh;-|[
CeVICB fOR COriTROLLIKG ELECTRIC CURRENT F i l e d March 2 8 . 1928
3 Sbavts-Sbcii
I
Fig. 2.1 - The first Field-Effect Structure (US Patents claimed in 1926 and 1928). The proposed structure used a groove to recess the resistor film and make control easier [1, 2].
J.L. Leray
156
a) Fig. 2.2 - The original MIS patent: a thin film of semiconductor material is placed on or between insulators [3]. a) Single gate Metal-Insulator-Semiconductor Transistor b) Double gate Metal-InsulatorSemiconductor Transistor. (1), (2) contacts - (3) semiconductor gap - (6) plate electrodes - (8) upper and buried insulator.
Shockley rediscovered the structure (illustrated in Fig. 2.3 a) and finally demonstrated in 1948 the feasibility of such a field-effect device by using silicon and quartz (Fig. 2.3 b) [5]. He showed that the conductivity of evaporated layers of Ge (p-type), Si (n-type) or CU2O, with thicknesses ranging from 20 to 50 |Lim could indeed be modulated by a metal plate placed undemeath. An insulated plate of fused quartz (70-|Lim thick) was used both as a mechanical holder, as a substrate for deposition and as a gate insulator. The modulation of the conductivity was as "high" as 11% and the best results were obtained with CU2O and a 3 kV voltage. Gold electrodes
70 mn
7K
Deposited semi conductor
\
THIN LAYER OF / SEMICONDUCTOR
Fig. 2.3 - First evidence of a field-effect transistor using a MOS technology, a) Principle [4] b) Representation of the first manufactured prototype [5].
A Review of Buried Oxide Structures and SOI Technologies
157
2.2, H o w THE CONCEPTS EVOLVED
To be able to manufacture SOI devices, various solutions have been attempted, each solution featuring advantages and limitations: 1. One can deposit the Semiconductor (SC) material on an amorphous insulator. Devices thus produced have poor performances but can be used to command flat screens. 2. One can deposit the semiconductor material on a monocrystalline insulator. The difficulty of such a process has been to find a suitable insulator. The solution which has been the most successfiil one, from an industrial point of view, has been Silicon-On-Sapphire (SOS) which started in the 60's and had its heyday in the 80*s. 3. One can deposit the semiconductor material on an amorphous insulator (which is itself on top of a monocrystalline semiconductor) and then find a way to restore the crystalline structure of the top SC layer (e.g. using "seeds"). This concept gave birth to the Zone Melting Recrystallization (ZMR) process around 1975 and to the Isolated Silicon Epitaxy (ISE) technique around 1985. Because of the need to open "windows", the ZMR process has, however, many limitations. 4. One can implant oxygen atoms in a crystalline silicon substrate undemeath the surface and then, by annealing, create a buried oxide layer while restoring the crystalline structure of the top layer. This gave birth around 1980 to the widely successful Separation-by-Implantationof-OXygen (SIMOX) process. 5. Finally, one can bond two oxidized silicon wafers together (silica layer against silica layer) and thin one of the silicon wafers to the desired thickness: This gave birth, circa 1985, to the process called "Bond and Etch-back Silicon On Insulator" (BESOI). All these processes and their many variants have made it possible to manufacture products which feature many advantages (reviewed in Section 3) over their counterparts built on bulk silicon. Figure 2.4 illustrates how elementary devices manufactured on bulk material have been adapted to the SOI technology.
3. THE MANY ADVANTAGES OF SOI STRUCTURES When a SOI technology is used to manufacture analog and digital circuits, these circuits gain two unique advantages: an improvement in radiation hardness (i.e. a better resistance against radiation effects), and the possibility to operate at higher temperatures [6]. Moreover, the SOI technology enables an even higher degree of integration of the devices. Let us describe these advantages in some detail. 3.1. IMPROVEMENTS IN PACKING DENSITY AND PROCESS SIMPLIFICATIONS
The next figures illustrate how the distance between two neighboring transistors can be reduced in CMOS/SOI devices compared to bulk counterparts. This reduction comes first from the fact that anti-latch-up design rules are no more necessary. Second, well contacts, necessary in the bulk technology, can be optionally avoided in SOI devices. Third, a direct contact between the n^ and p"^ drain areas of neighboring n and p-MOS transistors can be obtained without having to define a metal shunt line and p" or n" wells vmdemeath the field oxide (Figs. 2.5 a and b).
158
J,L. Leray fGitel
FielcLOxide
p G ^
Gate I
WT] i
p
I
I I
Gate!
mr
PTT
p
N
rrr
0.05 to 1 |xm
N
'
0.05 to 2 Mm
Buried Oxide
Buried PN Junction
I
i
f
Silicon Bulk Substrate
Silicon Bulk Substrate I ••
CMOS/SOI
CMOS/Bulk
B
E
Gate
Gate|
TT N+
pTT N+ TP+
|B L _ ^
1 to 5 Mm P N+
C
I
N+
Silicon Bulk Substrate
N+ i
1
1
1
:
1
H .J
Bipolar/Bulk
N+
C
1 1 1
Buried Oxide
0.05 to 2 Hm
iJ
— Silicon Bulk Substrate
L
JFET/Bulk
Bipolar/SOI
JFET/SOI
Fig. 2.4 - Illustration of how elementary devices, manufactured with a conventional have been adapted to the SOI technology (right).
"bulk'* technology (left)
The SOI technology brings an improved flexibility in design (Fig. 2.5 c) since transistors can be made independent. Mixed designs which include CMOS transistors for logic and JFET, or bipolar transistors, for analog parts of the device [7] (and even both types: complementary types of JFETs and bipolars: C-JFET and C-Bipolar) are straightforwardly introduced [8]. SMART^'> architectures comprising high-voltage MOS transistors and diodes, and low-voltage logic (npn, pnp and CMOS along with signal photodiode), are also made easier by using dielectric isolation [9]. 3.2. ADVANTAGES OF DEVICE DOWNSCALING
Beyond the present state of the art, buried oxides will have to take into account the general trends of silicon technology. As lateral dimensions continue to shrink in VLSI circuits, vertical dimensions must also be reduced, thus requiring that the depth of the source and drain junctions be no deeper than 0.1 |Lim. Thanks to the Buried OXide (BOX) under-layer, thin SOI devices do not need such shallow junctions, and hence, do not pose such problems. This advantage and its consequences are described next.
(1) In SMART devices, additional circuits are introduced to process information, on technologies not originally suited for that purpose (e.g. smart sensors, smart power devices).
A Review of Buried Oxide Structures and SOI Technologies distance N' P
159
distance N* P*
a)
c)
Buried Oxide
Bulk S i l i c o n
Fig. 2.5 - Illustration of progress made in integration due to transposing bulk devices onto SOI substrates, a) CMOS/bulk. The anti-latch-up rules require a guard distance of 5-8 pim. b) On CMOS/SOI, the guard distance is reduced to 2.5 ixm in a 1.2 fim technology such as HSOI3 (circa 1990, [10]). c) On 0.8 fim SOI with trench isolation, such as in the DMILL technology, the guard distance can be further reduced [11].
3.2.1. Reduction of junction dimensions A shallow junction is difficult to manufacture, whereas the thickness of an insulating layer is very easy to control. Therefore, in SOL devices, transistors characteristics are more uniform and bi-dimensional effects, such as: (i) short channel effect affecting the threshold voltage, (ii) drain barrier lowering effects due the accumulation of carriers generated in the substrate close to the drain/substrate junctions, are easier to overcome. The evolution of Integrated Circuits (ICs) requires using ever lower supply voltages to reduce power consumption (from 10 V to 5, 3.3, 2.5, 1.8, 1.5 ...). Although the supply voltage of a device can be scaled down, two parameters hamper this reduction: the threshold voltage and the jimction capacitance. The threshold voltage cannot be reduced below a fraction of one volt, whereas junction capacitance increases when the reverse bias voltage drops (thus causing a loss in speed improvements). BOX isolation and very thin SOI layers render integration easier by: • offering the sharp potential barrier of the silicon/insulator band discontinuity, • yielding much smaller parasitic capacitances than their bulk counterparts (for equal oxide or junction depleted thicknesses), since the dielectric constant of Si02 is lower than that of Si.
160
J,L. Leray
Basic device parameters and speed are less sensitive to a reduction in supply voltage in the case of SOI devices than for similar bulk CMOS devices [12], [13, page 161]. 3.2.2. The electric breakdown of silicon In the current race to increase device integration, the dimensions are reduced even faster than the supply voltage. Therefore, the electric fields in the semiconductor increase. Electric breakdown or other phenomena associated with carrier multiplication in silicon must be avoided by carefully controlling the maximum value of the electric field and by properly designing the doping profiles. Some analyses [13] prove that very thin films on insulators are superior in this field-and-doping optimization, by exploiting the deep depletion mode, which is a unique feature of the SOI technology. An increase in the electric field displaces the distribution of electron and hole kinetic energies in the range of one electron-volt, thus increasing the ratio of electrons able to pass through the insulator barrier. Although some authors [14, 15] have reported^^^ that certain types of BOX layers do trap electrons injected from silicon, which could be a major drawback, hot electrons are not a specific reliability concem in the BOX technology, at least up to now [6, 16]. However, in the near future, for technologies with feature sizes of 0.2 micron and below, the energy of hot electrons will reach 1 to 1.5 eV [17, 18], thus increasing the probability that electrons be injected into the BOX and get trapped. This is another strong reason to measure and control the electron trap density in advanced SOI technologies. 3.2.3. Reliability of junctions As junctions become shallower, electromigration requirements become more stringent [19]. Indeed, any metal spike, or even a dislocation can short-circuit the junction as illustrated in Fig. 2.6 a. The use of a very thin silicon layer on an insulator minimizes the junction area since the junction becomes controlled by its peripheral surface rather than by its projected surface, as illustrated in Fig. 2.6 b. In this special case, electromigration may cause a metal pile-up reaching down to the underlaying insulator but consequences such as drain-channel short-circuit are avoided [20 a]. This makes very thin SOI devices extremely interesting. The maximum required thickness is of the order of 100 to 200 nm in this case. 3.2.4. Doping fluctuations Another serious problem encountered when reducing channel lengths down to 0.1 |Lim, or below, is due to fluctuations in the number of doping atoms located in the depleted zone below the channel [19]. Let us recall the equation relating the threshold voltage (Vj) to the substrate doping concentration (N/^) for a bulk NMOS transistor:
Vr- ^^^2^.^ ^ / 5 ^ ^
(2.1)
(2) The magnitude of the electric field in the substrate used for that particular experiment was 1 MV/cm, which far exceeds the magnitude of fields encountered in current BOX structures (< 100 kV/cm).
A Review of Buried Oxide Structures and SOI Technologies
161
where Oj^s is for the difference in electron work functions between gate and source. In transistors whose channel length is reduced to 0.1 |Lim, the volume of active silicon becomes of the order of lO'^^cm^. For a doping concentration N^ of S.lO^'^cm-^, the nimiber of doping atoms in the channel under the gate is as low as N j = 500. This number is small and any deviation from it causes fluctuations in the electrical characteristics from one transistor to another (with standard deviation a = yN^ = 22 and 3a = 66). Metal y Line ^ ^ ^ m LOCOS-^
Gate
r x _ W ^ ^ H , y^
brain \ Metal spite I Source and drain and dislocation^ I J""^('ons ^ short-circuiting, 1 Silicon substrate the drain junction Source
^^^^^Dislocation^^^^^^m Gate
Insulator pv-_W_ -, -_^^, - , 1/^
Source /
Source an^ drainjunctions I I
Drain' \ ' Metal spik and dislocations reaching the bimedl^er
b) a) Fig. 2.6 - Illustration of linear defects affecting junctions in a) bulk and b) SOI MOS transistors (after [13] and [20 a]). The threshold voltage fluctuation can be estimated by modifying (2.1) to introduce Q^gp the space charge encountered in the depleted zone of thickness tjep: Qdep VT = ^ms+'^
(2.2)
with W and L being the width and length of the transistor respectively. We can therefore write: q SNd SVf = 25F + Cox WL
(2.3)
If we neglect 5(|)p, since it only varies logarithmically with N^, we get, for SNj = ± 3 a = 66andW = L = 0.1 ^m: 5VT=+160mV
(2.4)
Therefore, the threshold voltage of the transistors could fluctuate substantially. In very large-scale integrated (VLSI) circuits containing 10^-10^ transistors, circuit failvires could arise due to leakage currents statistically likely to occur (some transistors may, statistically, be "on" when all others are "off).
J.L. Leray
162
P4
Fig. 2.7 - Illustration of a fully-depleted n-channel transistor with a p^-doped polysilicon gate (only possible with the SOI technology).
I N+ j N-! N+ \
The situation is very different when one considers a transistor, manufactured in the SOI technology, whose thin siUcon film is fully depleted (Fig. 2.7). The threshold voltage is then given by: ([20 b], or [21, p. 242, adapted]): VT =^msl+ 2^F+
Qsi
(2.5)
with Qsi^'^^AfSi Here, suffix 1 refers to thefi-ontgate device. Qsi is the space charge of the silicon film, of thickness tsi, when the film is completely depleted. Let us note that the factor 2 which appears in the denominator comes fi-om the fact that the image charge of the fiiUy depleted silicon layer is shared equally by the two symmetrical electrodes:fi-ontgate and back-gate. It must be noted also that the condition of complete depletion imposes 2 t^ep > tsiTherefore, an upper value for N^ is given by: \4s <.,<]>
^dep ~-
^'''^ > t,.' qN
or N^tsi'
< 10'cm-'
(2.6)
For tsi = 0.1 nm, it gives an upper admissible value of lO^^ cm-3 for NA- The number of doping atoms encountered in the film for a transistor of dimensions W = L = 0.1 ^im is only: Nd = N^.W.L.tsi = 100. Consequently, the statistical variation in the number of doping atoms isonly5Nd = ± 3 V N 7 = ±30. The correspondingfluctuationin V j is now: q SNd = ±36mV (2.7) 2Coxi WL In this unique type of SOI structure, threshold voltage fluctuations due to fluctuations in channel doping are therefore much less stringent than in bulk devices [22]. For this application, a silicon thickness of less than 100 nm is required and the method used to 5VT =
A Review of Buried Oxide Structures and SOI Technologies
163
fabricate the silicon film depends on the nature of the buried insulator. To date, the SIMOX process (see Sect. 5) is the only process that can provide such a structure with a good interface smoothness, although the BESOI process is making rapid progress. 3.2.5. Sensitivity to the ionizing particles of the natural environment Another source of instability in VLSI devices is the presence of high-energy particles in the natural radiation environment. At ground level, muons, neutrons, and pions resulting from the decay of cosmic rays, can pass through any device (as described in Chap. 12 of this volume). Alpha particles are also encountered. They are usually generated by the fission of the radioactive species contained in the ceramic package or in the device itself (especially in the metal layers).
vpjj
#r
L H^•W\
Fig, 2.8 - Track of an ionizing particle passing through the thin silicon film of a SOI transistor.
N+ \
Along their track, these particles generate electrons and holes in the active silicon layers as illustrated in Fig. 2.8. For the muons conmionly encountered at ground level (their flux is about one particle per cm^ per hour), a charge of 1 to 10 femtocoulomb is generated per micron of path length. This must be compared with the charge Qe stored under the gate of a transistor possessing the following characteristics: W.L = 0.01 [iim^, with V D S = 1 . 5 V; Vx = 0.5 V and a gate oxide thickness t^^ = 5 nm. This stored charge amounts to: Q, = C^^. W.L (VDS ' VT) = 0.067fC
(2.8)
which corresponds to 420 electrons. This rough evaluation shows that the stored charge will be altered when a device is struck by a particle of the natural environment, unless the "collection length" in the silicon substrate (defined in § 3.3 and Chap. 12) is reduced to somewhere between 50 and 100 nm. This is another advantage of using very thin SOI films for VLSI devices. 3.3. IMPROVEMENTS IN HARDNESS AGAINST RADIATION-INDUCED TRANSIENT EFFECTS
A good radiation hardness is historically the main advantage attributed to devices manufactured with SOI technologies. Radiation encountered in space consists mainly of cosmic rays, which are high-energy ions and protons, and of electrons trapped in the Van Allen belts (a simple description of the space radiation environment can be found in Chap. 8). Ground level radiation results from fusion or fission nuclear reactions (due to weapons or
164
7.L. Leray
nuclear reactors), and consists of gamma rays and neutrons. Any of these particles causes (directly or indirectly) ionization phenomena, which generate electron-hole pairs in and around the junctions. The generated carriers are swept away by the applied electric field, or by the doping gradients, and diffuse towards pn jimctions. As a result, the collected "photocurrents" can cause "upsets" in circuit nodes, as described at length in Chap. 12. These phenomena are called "transient" since they are related to the ionization rates, and their effects disappear when ionization ceases. Unlike what happens in silicon, ionization charges generated in insulating layers can be permanently trapped, and in this case, permanent effects survive the transient cause. This aspect is discussed in Chap. 11 and later on in this chapter in the case of buried oxides. The magnitude of these photocurrents is roughly proportional to the junction areas and to a lateral dimension called "collection length". The collection length consists of two parts: i) the depletion width of the junction and ii) the lateral diffusion length. As explained in Chap. 12, when a device is struck by an energetic particle, ionization and carrier drift and diffusion take place throughout the silicon layers, along the particle track. The nearest biased pn junction collects the carriers, generated along a distance called the "collection length". The harmful consequence of this diffusion is the creation of minority-carrier leakage currents, which can flow between neighboring transistors and temporarily connect them. In the early 60*s, Complementary Metal-Oxide-Semiconductor (CMOS) devices were already being reliably fabricated but subsequently the increase in transistor density caused interactions between transistors to increase also. Photocurrent paths may also cormect parasitic bipolar transistors of two types (npn and pnp) and cause the latch-up phenomenon. If the product of the transistor gains satisfies the condition p^pn Ppnp ^^^ ^^^r, a positive feedback loop is triggered and the device latches. The current flows then directly from the supply lines, and is only limited by the low series resistances of the conducting bipolar transistors. The creation of such a "latch-up" circuit is illustrated in Fig. 2.9. If the supply line is not quickly shut down, thermal runaway may destroy the device. The latch-up effect is mostly encountered when the radiation flux is delivered in short or very short pulses, e.g. fluxes of high-energy photons produced by nuclear weapons (pulses lasting from 10 ns to 10 ms), or when the device is struck by cosmic rays, a frequent occurrence in satellites (cosmic rays may strike asfrequentlyas once per second for a l-cm^ chip, while the cosmic rays transit in less than a picosecond). Such a Dirac-shaped excitation causes various electrical interferences (described in Chap. 12 of this volume). By using a SOI technology, most of the pn junctions used for isolation are replaced by a dielectric isolation and bulk leakage paths are automatically avoided. Moreover, the lateral etching of the silicon surroimding the transistors allows a full isolation (as illustrated in Fig. 2.5 c) and shuts off surface leakage paths, reducing the photocurrents by two to three orders of magnitude. Therefore, a substantial gain is obtained since transistors can be made simpler and closer to one another. Most important of all, any latch phenomenon between transistors is inherently avoided. This specific resistance to radiation is called radiation
A Review of Buried Oxide Structures and SOI Technologies
165
"hardness" and the action of improving a given structure or process is called "hardening". NMOS
Fig. 2.9 - Illustration of a parasitic Silicon" Controlled'Rectifier structure (SCR) in the set of transistors encountered in a CMOS structure.
The SOI technology also reduces the sensitivity of a device to particle fluxes. In a regular CMOS/bulk device, the collection length extends into the silicon substrate as represented in Fig. 2.10 a. In similar CMOS/SOI devices, the collection length is reduced to the thickness of the (thin) upper silicon layer, as illustrated in Fig. 2.10 b. The charge thus collected is much reduced and may be unable to cause any disturbance. Moreover, the active area surroimding the transistor is also much reduced in SOI devices, further reducing the charge collection due to carrier diffusion. Overall, compared with its CMOS/bulk counterpart, the CMOS/SOI technology makes it possible to decrease the sensitivity of a device to a given particle flux by several orders of magnitude, depending mostly on silicon thickness. A thorough analysis of this decrease can be found in Chap. 12. COSMIC RAY FLUX
Drain Junction Cross-Section
N-Well Cross-Section
^ N Transistor
f Transistor
rTFn
rm
a
s
N
ITT
Buried Oxid
I
f a)
\\ 7
\
\\"
\
\\
\
W
\
Silicon Bulk SJibstrate
\
b)
\ \
Generation of photocurrent at the crossing of each pn junction
Fig. 2.10 ' Illustration of the reduction in collection length when going from a conventional structure a) to a SOI structure b).
166
yx. Leray
3.4. IMPROVEMENTS IN HIGH-TEMPERATURE PERFORMANCE
Another advantage of full isolation and of a reduction in junction area is clearly found at high temperatures. Many pieces of equipment must function at elevated temperatures in such applications as: i) under-the-hood electronics and anti-lock braking systems in the automotive industry, ii) deep earth drilling for the petroleum industry and the monitoring of geothermal reservoirs iii) aircraft and spacecraft systems, which require functioning at 250°C. The area of the source-substrate and drain-substrate junction is much smaller in thin-film silicon devices than in bulk silicon MOSFETs. In addition, the total volume of silicon in SOI devices is also very small. These two factors explain why in SOI devices, the diffusion currents can be reduced by several orders of magnitude. It follows that the leakage current across the jimctions can also be reduced, especially at high temperature, as first pointed out in 1988 [23]. This was clearly demonstrated in 1989 [24] when a 16-kbit SRAM memory in SOI technology withstood temperatures of 300°C, with leakage currents 2 or 3 orders of magnitude lower than those of bulk CMOS counterparts. High-temperature testing was successfully performed up to 400°C [25] and even at 425°C with devices featuring an ultrathin silicon layer (23.5 nm) [26]. In these two cases, correct transfer characteristics were found up to 400°C and fully usable characteristics were found for circuits up to 250°C, whereas standard bulk devices usually fail above 150°C. Such experiments suggest that, when operating in the 300-400°C range, the limitations will not come from silicon but fi'om aluminum interconnections (the aluminum alloys currently used melt around 600°C and the interdiffusion of Ai into silicon takes place at a much lower temperature). Other metallizations such as tungsten will have to be used in the CMOS process. Thus, it is clearly advantageous to use mature and up-to-date SOI technologies in these temperature domains, instead of costly and not yet reliable SiC or C (diamond) technologies. 3.5. ADVANTAGES OF DIELECTMC INSULATION IN HIGH-FREQUENCY DEVICES
3.5.1. Minimizing cross-coupling between elements A reduction in cross-coupling obviously stems from the isolation of individual transistors by means of "dielectric isolation". It is obtained in any SOI technology, such as those represented in Figs. 2.5 b and 2.5 c. The isolation of transistors by using lateral and buried oxides has the advantage of not only preventing their cross-coupling by leakage currents, but also of reducing their cross-coupling by capacitances. For instance, when replacing a junction isolation by a buried or lateral silicon dioxide layer, the parasitic junction capacitances decrease first because of a favorable dielectric constant ratio (Sox/^si =3.9/11.9). This is especially true for source-substrate or drain-substrate junctions (n"^p or p^n). Due to the decrease in parasitic capacitance values, the operating speed of the ICs is expected to increase by a factor as large as 2, depending on transistor size and circuit layout.
A Review of Buried Oxide Structures and SOI Technologies
167
In the 80's, this enhancement factor ranged from 30% to 50% for transistors with 1 to 2-micron channel lengths ([27-29], [30, p. 196]). For 0.5 |am transistors, the speed has been found to increase by a factor of two, and this factor is expected to increase even more for deep submicrometer technology and lower power supplies (2.5, 1.5 Volts and below) [31]. Figure 2.11 depicts quantitatively the gain in isolation obtained by going from a bulk technology (illustrated in Fig. 2.5 a) to a trench-SOI technology (such as that illustrated in Fig. 2.5 c). It has been also observed that the suppression of the collector-substrate diode and its replacement by a dielectric layer decreases capacitance and improves operatingfrequency.For instance, the transition frequency of an npn transistor transferred into a dielectric isolation technology was found to increase from 0.5 to 3 GHz. This conversion improved also the noise figure by a factor of 10, since an important source of 1/f noise, i.e. the collector-substrate diode, disappeared (ACUTE technology [32]). 0 -20
1 CO
P+ & N-Well P+ & trench P+ (back tied to grd)
-40 -60
P+, SOI & trench (handle floating)
-80 -
110
P+, SOI & trench (handle grounded)
_ 120
140 I I I M i n i — I I I mill
10'
I I III
10'
10
F r e q u e n c y (Hz) Fig. 2.11 - Cross-talk versus frequency in typical structures (after [33], modified).
3.5.2. Minimizing high-frequency losses Another advantage of dielectric isolation is that it reduces losses in the microwave frequency domain. For microwave applications, surface inductors or transmission lines are needed. These elements are usually not manufactured in a silicon technology because of the dielectric losses that take place in the isolation junctions. These losses prevent the use of microwave circuits, made on silicon substrates, above a few hundred megahertz, as opposed to circuits on gallium arsenide substrates for which such losses do not exist. Using a SOI technology of the type illustrated in Fig. 2.5 b can be a way to overcome this difficulty. Figure 2.12 a illustrates the parasitic elements found in a simple spiral inductor consisting of a metal line deposited on an insulating layer, itself grown or deposited on a substrate.
168
7.L. Leray
The elementary cell includes a distributed inductance L, a coupling capacitance C (coupling with the substrate), and dissipation resistances Rg^b (substrate) and Rj^ (metal). At a given frequency, the quality factor Q is improved by maximizing R^ub or minimizing C^ubThis was first obtained in the 70's by using silicon-on-sapphire (SOS) technology (for which Rsub =00). Possible applications include low-cost cellular telephone networks [35]. 3.5.3. Increase in the maximum operational frequency of transistors An increase in the maximum operational frequency (fmax) ^^ MOS transistors has been obtained by using SOI technologies of the type described in Fig. 2.5 b. Gigahertz applications were first demonstrated, and in the late 80*s a 6.2 GHz prescaler divider, fabricated in SOI on silicon dioxide, exhibited a 50% improvement over its silicon counterpart [34]. In the 90's, MOS/SOI transistors demonstrated power gains of 9 dB at 10 GHz and made it possible to integrate the CMOS digital command of microwave transistors operating in the L and X band. This technique (called the MICROX process [36]) used a special high-resistivity silicon substrate (4.10"* Q.cm) obtained by multiple-refining float-zone (FZ) to minimize silicon losses. The possibility of manufacturing high-frequency MOS transistors in silicon has been thus demonstrated, e.g. through the samples made by Westinghouse with transition frequencies f^ of 14 GHz in 1991 and 23 GHz in 1993 ([37]). Using a smaller gate linewidth of 0.2 |im, frequency values of f^ = 51 GHz and f^^x ^ 28 GHz have been obtained with a drain voltage of 1.5 V, even with standard SIMOX wafers with a silicon resistivity of 20 Q.cm [38]. Using SOS with Solid Phase Epitaxy (see § 4.2.6), values of f^^^x "^ 50 GHz have been obtained for transistors with a gate length of 0.5 |im [39-41]. With a fiirther optimization of SOS or SOI processes, one can expect transition frequencies to reach 100 GHz, thus allowing significant power gains in the high-frequency bands currently used for domestic communications (1 to 20 GHz), with a minimum of power loss (thanks to the use of dielectric isolation).
L
Rm C^Cox+Csub
ir=Cox+Csub
—v\^
substrate
a)
ub
b)
Fig. 2.12 - Simple generic SOI microwave structure: a) surface inductor b) equivalent circuit.
A Review of Buried Oxide Structures and SOI Technologies
169
4. SOI MANUFACTURING: PROCESSES BASED ON THE DEPOSITION OF SILICON ON INSULATOR The simplest way to obtain a SOI structure is, at least in theory, to deposit a thin silicon film on an insulating layer. If the insulator is amorphous, the resulting silicon film will be either amorphous or polycrystalline, unless a recrystallization process can be somehow triggered. If the insulator is crystallized, the silicon film may grow epitaxially if conditions are right. We review next these various processes and their peculiarities. 4.1. DEPOSITION OF SILICON ON AN INSULATOR SUBSTRATE
This deposition process is the most straightforward way of manufacturing a SOI structure. Silicon can be deposited on top of a pre-existing insulator, as illustrated in Fig. 2.13. Indeed, Shockley and Pearson gave the first evidence of a field-effect modulation in the late 40's using this process [5], as mentioned in Sect. 2. amorphous or polycristalline silicon layer y^
Fig. 2.13 - Basic features of a Thin-FilmTransistor (TFT) manufactured by depositing silicon on an insulator layer.
4.1.1. Thin-Film Transistors (TFT) In the 60's, this deposition technique gave birth to the Thin-Film Transistor (TFT) technology [42]. In this structure, the gate electrode is first deposited on the substrate insulator (quartz or glass). The gate insulator (glass) and finally the active semiconductor (made up of hydrogenated amorphous silicon) are deposited. The structure is therefore the reverse of a normal transistor. The semiconductor is amorphous, giving mostly poor electrical performances but the technique enables the manufacturing of large areas at low-cost and is increasingly used for large arrays of transistors, e.g. for the growing market of flat panel displays [43-45]. .INSULATING SUBSTRATE
Fig. 2.14-Various forms of TFT manufactured around 1962 (after [45]).
S.C.
INS.
PERFORATED GATE
170
y.L. Leray 4.1.2. Epitaxial Lateral Overgrowth (ELO)
The ELO technique was developed in the 70's to overcome the poor electrical performances obtained with amorphous semiconductors and to enable the growth of a monocrystal over the insulator. In this epitaxial technique, silicon is deposited after patteming windows have been etched in the insulator. Epitaxial growth proceeds both vertically and laterally from the seeding windows. Seeds coming from the etched pits grow and meet to form continuous films [13, 46], as illustrated in Fig. 2.15. The technique is limited by the recrystallisation rates in the horizontal and vertical directions, which requires one to build films as thick as 10 |Lim to cover insulator films with seed window pattems as close as 20 |am.
Fig. 2.15 - Principle of Epitaxial Lateral Overgrowth (ELO). 4.2. DEPOSITION OF CRYSTALLINE SILICON ON A CRYSTALLINE BULK INSULATOR
To overcome the problems associated with deposition on glass, one can attempt to obtain an epitaxial growth on another crystal. The most straightforward technique would be to deposit silicon on a crystalline form of Si02. However, in a-quartz, a phase transition, which takes place at 573°C, causes changes in substrate dimensions. This phase transition thus induces considerable stresses in any siUcon film deposited on top of a-quartz, and consequently, high-temperature treatments are incompatible with good properties of the silicon layer. This precludes any MOS-compatible process. For this reason at least, silicon must be deposited on amorphous Si02, which, consequently yields polycrystalline or amorphous films. 4.2.1. Origins of the SOS process and early achievements In the early 60's, due to advances in the manufacturing of monocrystalline sapphire (A^203)' i^ slicing and polishing techniques and in surface preparation techniques (such as prefiring in hydrogen), crystalline Silicon-On-Sapphire structures were successfully produced at RCA Labs and reported in 1964 by Manasevits and Simpson [47]. In an altemative technique, spinel, a crystalline mixture of A.^203 ^ ^ M%0 was used as the insulator substrate. The first device produced on sapphire (1966) resulted from the works of Heiman and consisted of p- MOS transistors connected in arrays of 16 transistors [48].
A Review of Buried Oxide Structures and SOI Technologies
171
These two techniques were called Complementary MOS On Sapphire or Spinel (CMOS/SOS), and were sufficiently mature to allow the first commercial offer by a company called Inselek in 1971. A thorough review of accomplishments in the area of SOS materials and circuits can be found in [49]. The 70's saw the triumph of this heteroepitaxy technique [50]. Union Carbide and Kyocera became the main wafer suppliers, thereby manufacturing SOS substrates for radiation hardened circuits. Advanced applications took advantage of the low-capacitance, highvoltage, and simpler processes. Toshiba buih the first 16-bit microprocessor on a SOS substrate in 1977, along with the first 16-bit microprocessor on bulk silicon (SBP9900 in PL technology). At the same time, Hewlett Packard proposed SOS devices for pocket calculators. In Europe, works were undertaken on high-voltage applications and on low-power circuits to be used in wristwatches. The quality of the silicon layer and silicon/sapphire interface remained the main concern. Because of the commercial success of this process, numerous studies were carried out to assess and improve the quality of the silicon-sapphire interface. This characterization effort, as explained next, was applied mainly to four areas: -
electrical characterization of the interface, electrical characterization of the deposited Si layer, X-ray study of the interface morphology, study of the aluminum autodoping effect. 4.2.2. Carrier mobility and properties of the silicon-sapphire interface
Figure 2.16 a illustrates the specific "back-gate" structure which can be built with any device containing a buried oxide. For a bulky buried oxide, such as sapphire, kilovolts must
source
back gate G2 I a)
-200
'G2
b)
-100
0
TOO
200
back-gate voltage VQ2 (V)
Fig, 2.16- Electrical characterization of the silicon-sapphire interface using the back-gate biasing technique (after [51]). a) Description of the test structure b) Current-Voltage characteristics of a back NMOS transistor with W/L=100 jum/100 fim andx^^ — 80 jum using a drain voltage V^y^ of 100 mV.
172
y.L. Leray
be applied to the back gate in order to accumulate or invert the silicon-sapphire interface and thus be able to characterize it. This voltage can be reduced by locally thinning the sapphire (e.g. jfrom 300 |im to 70 or even 50 |im) before depositing the back (A-^) gate. By using this technique, some authors have deduced the field-effect mobility, by measuring the IDCVQ) characteristics firom the back-gate as illustrated in Fig. 2.16 b. Mobility values range from: ^e = 40 cm2 V ^ s"! [51], with a n+/p/n+ enhancement-type MOS, to 2 to 10 cm^ V^ s"! with a n"*"/n"/n'^ deep-depleted type relevant to another process. Er
Fig. 2.17 - Profile of the density of states at the silicon-sapphire interface (after [52]).
10
II
12
13 14
Density of interface states (lO^^.cm'^.eV"*)
B'^DOPED; 4 x 10®/cm^ SOS CAPACITORS O 960°C • 975^C
<
O QIO^'C
m 975«'C
3
2
5
1
«^
0
%^-
1
l-^r^\^vW\^ POSITIVE CHARGE | NEGATIVE CHARGE
--
O fM — 2
13
<
CC
o
3
I.
I..6
J
1
1.0 2.0 SILICON EPITAXIAL GROWTH RATE (p<m/IVllN}-
u
3.0
Fig. 2.18 - The density of the fixed interface charge at the silicon/sapphire interface, is plotted as a function of epitaxial growth conditions (after [54]).
A Review of Buried Oxide Structures and SOI Technologies
173
The interface state density appears high (>10l2 cm-2 eV-l) and rather symmetrical in the band-gap [52], except in the upper quarter of the band-gap where it peaks at 8 10^2 cm-^ eV-l, 255 meV below the conduction band as illustrated in Fig. 2.17. The fixed interface charge, as assessed by C-V measurements, is shown to strongly depend on process conditions, as illustrated in Fig. 2.18 [54]. A small process window exists which makes it possible to obtain an interface charge nearly nil. 4.2.3. Bulk minority-carrier lifetime and surface recombination velocity in the silicon film Minority-carrier lifetime measurements have been performed using lateral bipolar transistors and gated diodes on SOS structures [53, 55]. The authors have shown that the effective carrier lifetime x^ff is best expressed by the following expression: T^ff-1 = T^'^ + S2/b
(2.9)
where Xy is the average (bulk) minority-carrier lifetime, S2 is the surface recombination velocity at the Si/A^203 i^^terface and b is the distance to the interface. Parameters Xy and S2 have been found experimentally to be best fitted with the values given in Tab. 2.1. S2
Device used and reference
10 ns
1.8 104cm/s
magnetodiode [56], [57]
50 ns
10^ cm/s
gated diode [58]
100 ns
10^ cm/s
gated diode [55]
^v
Table 2.1 - Electrical recombination parameters of standard silicon-on-sapphire structures.
4.2.4. Morphology and electrical properties of deposited silicon Figure 2.19 shows a TEM cross section of a silicon layer deposited on sapphire. It confirms the existence of an increasing disorder as we get closer to the interface. This is mostly due to the intemal stresses induced by the epitaxial process that takes place between 900 and 1000°C, and by the cooling-down step.
Fig. 2.19 - TEM cross section of a siliconon-sapphire structure showing the morphology of the silicon layer (CEA-LETI, circa 1972).
174
J,L, Leray
Since the average linear expansion coefficient of A£203 is twice that of Si (9.1 vs. 4.5 10-6 °C-1), shear stresses are generated that cannot be relaxed by viscous flow, unlike what happens in amorphous thermal Si02 abundantly used in other parts of the structures [53, 59]. Consequently, residual stresses are of the order of lO^-lQl^dynes.cm-^ [60a]. The disorder encountered in the silicon layer has been confirmed by plotting the number of faults per cm, measured by decoration, as a function of distance away from the interface (Fig. 2.20 a). Likewise, in Fig. 2.20 b, minority carrier lifetime, measured in an n-type silicon film deposited on sapphire, using a gradual etching, has been plotted as a function of distance [60b, 60c]. Both curves confirm that disorder decreases as we move away from the interface. Due to these interface defects, SOS devices can display large excess noise, especially if the deserted zone below the MOS device extends and includes the volume near the interface. The noise spectral density at 1 kHz can be two orders of magnitude larger for MOS/SOS transistor than for MOS/bulk-silicon ones [61, 62]. The spectral analysis of the GenerationRecombination (GR) noise shows the existence of several GR centers [53].
S
1
10'
a)
10''
10"
Distance from interface (A)
10"
b)
Distance from Si surface (^im)
Fig. 2.20 - Comparison of material and electrical characterizations of silicon-on-sapphire structures: a) The number offaults/cm in silicon is plotted as a function of distance from the silicon-sapphire interface (after [60 b]). b) The minority carrier lifetime in an n-type silicon film on sapphire has been measured as a function of distance by gradual etching (after [60 cj).
4.2.5. The aluminum autodoping problem Another detrimental effect, characteristic of SOS substrates, is the aluminum autodoping phenomenon, which is closely associated with the epitaxial process. Early epitaxial deposition processes, which used the cracking of silicon tetrachloride (SiC^4) or trichlorosilane (SiHC>^3) produced heavily p-doped silicon layers.
A Review of Buried Oxide Structures and SOI Technologies
175
The process presently used begins by annealing a well-polished (1102) crystalline sapphire wafer in hydrogen at 1000°C or above (pre-firing step). Epitaxial growth takes place by cracking silane (SiH4) in hydrogen at temperatures ranging from 900°C to 1100°C [54, 60a]. Doping gases, either arsine (ASH3) or phosphine (PH3), or diborane (B2H5) are classically added into the gas stream to obtain the required doping and type. The cracking of silane is symbolized by: SiH4^Si
+ 2H2 f
At the cracking temperature, MjOi, does not react with Si, but reacts with IA2, which produces AiO according to the following reactions: A/2O3 + 2H2 <^A/20 T+ 2 H2O f A/203+H2<^2A£0
f+H20
f
At higher temperature, SiO can be produced following: 2 Si + A/2OS ^2
SiO T+A/2O
f
These reactions lead to a partial etching of the alumina and silicon films. The presence of all these aluminum-rich gases in layers near the interface leads to the incorporation of Al in the form of oxygenated complexes with silicon. Repace and Goodman also suggested that a reaction of reduction of aluminum takes place: A/20 + H2<^2A/+H20
f
which leads directly to the incorporation of aluminum in the silicon network. Silicate A^SiO"^ and Ai2^ signals have indeed been observed by SIMS in a 500-A-thick transition layer [60 a]. SIH4
Fig. 2.21' Illustration of the reactions taking place during the epitaxial growth of silicon-on-sapphire [60 a].
Concentrations of aluminimi contaminants as high as 10^^ cm^^ have been reported, without apparently affecting the functioning of MOS devices. However, under some circimistances, e.g. if the temperature of epitaxial growth or of another step during the MOS process exceeds lOOO^C, aluminum exodiffuses deeper into the silicon layer and reacts with silicon. This can lead to a p-type autodoping by activation of aluminum as ionized species.
176
J,L,
Leray
This exodiffusion phenomenon is particularly harmful, since aluminum is a known contaminant in microelectronics laboratories and fabrication units. This explains why SOS process lines are usually isolated from other silicon manufacturing lines. 4.2.6. Major improvements contributed by the Solid-Phase Epitaxy methods In this method, created by Golecki, an elaborate process takes place after the epitaxial growth of silicon [46]. Let us first describe the SPE (Solid-Phase Epitaxy) illustrated in the left column of Fig. 2.22. First, a deep silicon implant renders amorphous the lower part of the silicon film above the A^203/Si02 interface. Then, a fiimace annealing at 550-600°C restores crystallinity to this damaged area. Crystal regrowth proceeds fi-om the upper part of the film (used as a seed) down (Solid-Phase Epitaxy). As a consequence, the quality is much improved in the active upper part of the film. Sometimes, an additional 900-1000°C anneal is performed [66 a]. A second (shallow) silicon implant is performed and regrowth takes place in the Double Solid-Phase Epitaxy process. In this case (right column), the upper part is amorphized and regrowth proceedsfi*omthe bottom to the top. At the expense of complex processes, these methods have given excellent films that have been thinned by thermal oxidation down to 500 A. Transistors have been made in the early 80's with gate lengths of 0.5 ^m and excellent high-fi'equency characteristics. AlaOs
Si X X X X X X X X X
AlaOs
Si •tarting film
depoilted
twins •t. faulU
^
•
SI implant (mmorphiz.)
solid phase' epitaxial regrowth (550' C)
I
Fig. 2.22 - Illustration of the Single Solid Phase Epitaxy (left) and of the Double Solid Phase Epitaxy methods (right) (after [63]).
regrowth complete SPE-SOS
DSPE-SOS
In the late 90's, a new process named UTSi^ was marketed by the Peregrine Corporation [41]. This technology is based on an efficient method of sapphire crystal growth named Ribbon Growth followed by the Single Solid Phase Epitaxy regrowth process described above. Excellent HF characteristics are obtained and the possibility of designing inductors and antennas directly on wafers without substrate losses makes it appealing for millimeter-wave applications [40].
A Review of Buried Oxide Structures and SOI Technologies 4.2.7. Conclusions for the SOS process The conclusions of the many observations made in the 70's and 80's in laboratories and at the manufacturers' [50], are as follows: • A transition layer, from 100 to 150-A thick, seems to exist at the Si/A^203 interface. It is responsible for the generation of large electrical noise and for some components of the leakage current in MOS diodes and transistors. • The non-uniformity of the deposited silicon film, associated with an increased density of defects near the Si/A^203 interface results in a catastrophic degradation of carrier mobility and minority carrier lifetime. • Mechanical stresses exist at the film/substrate interface [53, 60 a]. • Under some circumstances, aluminum exodiffuses into the silicon layer, which can lead to the phenomenon of p-type autodoping. The quality of the Si/A^203 interface has therefore been the major concem of SOS technologies, although specific treatments have been introduced to improve the quality of wafers, such as: • The prefiring of sapphire in H2 before epitaxial deposition. • The optimization of the growth rate. • The amorphization of the silicon layer by silicon implantation followed by recrystallization by electron beam or laser [64]. These techniques, developed in the 80's, have produced high-quality wafers and 6-inch wafers have been available in the 90's. SOS techniques might gain new interest due to the advantages which full dielectric substrates bring for very high-frequency applications. 4.3. DUAL EPITAXIAL DEPOSITION
Another method used to manufacture a SOI structure is to first deposit epitaxially the insulator layer on a "mechanical" monocrystalline silicon substrate, and then in a second step, to deposit epitaxially the active silicon layer. In a review performed in the 80's, Golecki mentions the following insulating materials on which silicon films have been epitaxially grown [46]: BeO (1966), a-Si02 (quartz), a-SiC (1963), CaF2 (1963), and Yttria-Stabilized Zirconia (YSZ) or (Y203)in-(Zr02)i.in with m = 0.3, the so-called Silicon-On-Zirconia (SOZ) [65]. Several other attempts have also been reported, such as: silicon on chrysoberyl (BeOA^203) [66 b], Th02, ZrSi04, LaA£03 [46], NaC>^ [67]. Early attempts to deposit monocrystalline silicon on CaF2 tried to take full advantage of the small mismatch existing between the two cubic crystals. Interest in this technique has recently been renewed with the intent to match III-V and II-VI devices with a silicon technology and to build stacked silicon devices [68].
177
178
/.L. Leray
The epitaxial deposition of a semiconductor (or a metal) on an insulator was found to be much more difficult to accomplish than the epitaxial deposition of a dielectric on a semiconductor. This is attributed to the large difference in surface energies which prevents a smooth layer-by-layer growth. For instance in the case of Si(lll) deposited on CaF2, it has been shown that the Fermi level is pinned at the silicon valence-band maximum [68] and that the interface charge density is as high as 10^^ cm'^. This has been attributed to ionic effects, such as the reduction of the Ca^^ interface ions to Ca^, by silicon, and the removal of a fluorine layer. In spite of these difficulties, two attempts have been reported regarding the dual epitaxial deposition of thin films of crystalline dielectrics and silicon using yttria and spinel (Fig. 2.23). The zirconia-yttria process, whose result is illustrated in Fig. 2.23 a, consists in a double epitaxial growth: of cubic TxO^-^-^i and of a thin silicon film, using an ion sputtering technique. A MOS transistor effect has been demonstrated with such a structure [69]. In the spinel process (Fig. 2.23 b), tubs are first etched in the silicon substrate. The spinel (MgO-A^203) IS then deposited up to a thickness of 1 (im by open-tube vapor transport epitaxy, and finally silicon is epitaxially deposited. For a silicon thickness of 42 |im, TTL NOR gates driving a high-voltage transistor have been built (gain of 25, breakdown voltage exceeding 260V), suitable for the direct driving of plasma display panels [70]. E
HI
^^raQ
B
N--silicon 35 Hm. 5 10^^ cm-^
C
^ H ^ H
^ ^ Q ^ ^ N + - s i l i c o n Turn, 5 1 0 1 8 c n n - ^ ^ H Q ^ ^ |
silicon Substrate
silicon substrate
Fig. 2.23 - Example of structures obtained by the subsequent epitaxial deposition of a crystalline dielectric and silicon. Left) Cross section of a thin-film MOS transistors [69] Right) Cross section of a structure containing a high-voltage NMOS and a bipolar transistor [70]. 4.4. DEPOSITION OF AMORPHOUS OR POLYCRYSTALLINE SILICON FOLLOWED BY RECRYSTALLIZATION
Another way of obtaining a crystalline silicon layer on an insulator is, to first, deposit a layer of amorphous silicon and then, somehow, find a way to recrystallize it. 4.4.1. The Zone Melting Recrystallization (ZMR) process In 1963, Maserjian first had the idea of using a scanning electron beam to melt a small zone of polycrystalline germanium crystal deposited on a supporting dielectric [71], to obtain a monocrystalline material, as illustrated in Fig. 2.24. Due to the availability of lasers, this technique was reconsidered eleven years later to fabricate device-quality silicon films through Zone Melting Recrystallization (ZMR) [72, 73] as illustrated in Fig. 2.25.
A Review of Buried Oxide Structures and SOI Technologies
179
Fig. 2.24 - Maserjfan's patent describing recrystallization using electron beam (60's), [71].
Because of the limited dimensions of the molten zone, the recrystallization techniques cannot work unless there exists local contacts between the underlying monocrystalline silicon substrate and the deposited poly crystalline silicon layer. For that reason, holes [71 a] or stripes [71 b] must first be etched through the oxide film, to be used as recrystallization seeds (for practical reasons, the distance between the seeds is about 100 |Lim). This constitutes a considerable constraint for circuit designers, since transistors cannot be located on seeds. The seed pattern results therefore from the transistor layout, or vice-versa, and the recrystallization step is part of the MOS process. The integration of this material preparation in the process line is also a major disadvantage, as it widely complicates the design and processing steps. Focused laser beam
Molten zone Silicon Substrate
olycristalline deposited silicon Si02 buried oxide Recrystallized silicon
Fig. 2.25 - Illustration of the scanned laser recrystallization method (75*s), after [71 a]. 4.4.2. The Isolated Silicon Epitaxy (ISE) technique An altemative method has been developed circa 1985, in which a wafer-wide heating strip is used to trigger the recrystallization process, as illustrated in Fig. 2.26, instead of using a local (spot) heating. The process has been named ISE^M for Isolated Silicon Epitaxy(3)-
(3) ISE is a trademark of the Kopin Corporation, 695 Myles Standish Blvd, Taunton, MA 02780 USA.
180
J.L. Leray
Wire
"^ Wafer
Scan Direction/
^
^
pp,
MELT ZONE
Platen
a) b) Fig. 2.26 - Illustration of the scanned strip heater method (85 's), after [75]. a) Principle of the scanning system showing the upper wire heater. The wafer sits on a heated platen, b) Cross sectional view of the wafer showing the peripheral contact of the polysilicon layer with the monocrystalline silicon substrate.
In this method, the buried oxide is grown on the entire wafer surface, except for a small circular zone at the periphery of the wafer. A polysilicon layer is then deposited on the wafer and the recrystallization process is performed using the seeds of the periphery [74]. Consequently, the cumbersome seed array is no more necessary. The major consequence of this process has been to give a new life to the ZMR technique by simply procuring especially prepared wafers before the MOS process. Several manufacturers in the USA and in Japan presently propose good quality wafers for this process. 4.4.3. Examples of other ZMR applications Besides numerous demonstrations of the ZMR of silicon on Si02 or Si3N4 (a review can be found in [13], pp 13-35), other applications have been demonstrated, such as SiC on oxide, and Silicon-On-Diamond (SOD). SiC on silicon dioxide has been obtained by using, as a starting material, a (100) SOI substrate with a 1000-A-thick silicon layer on top of a 2-|im-thick Si02 [76]. Prior to the growth of SIC, a layer of carbon is deposited by propane carbonization which takes place at 1300°C with a dilution of 5% in H2. The deposition of SiC occurs by cracking silacyclobutane (SiC3H8) at 900°C until a thickness of 4500 A is reached. The crystalline type 3C-SiC (polytope) is obtained with a (100) orientation. This technique makes it possible to produce wafers that are larger than with the epitaxial technique, the latter being limited to 2 or 3 inches in diameter. The SOD structure is manufactured like the SOI structure, but the buried oxide is replaced by polycrystalline CVD-deposited diamond acting as an insulator. In the case described in [26], the deposition of silicon takes place on a very thin adhesion barrier (a 30-nm-thick Si02 layer), and the silicon film is recrystallized by ZMR. Transistors have been fabricated with such a technology, but their performances are, up-to-now, modest due to the presence of surface defects that affect carrier mobility (e.g. roughness, graphitization due to the hightemperature melting process, etc.). The ZMR method can be repeated several times: a new insulator, and a new silicon layer can be deposited on top of the recrystallized structure, and the seeding technique can be used
A Review of Buried Oxide Structures and SOI Technologies
recrystallized epitaxial source silicon
recrystallized epitaxial I silicon
deposited insulator
%^&T^
181
metal 2
n
silicon substrate
Fig. 2.27 - Illustration of a 3D structure which could be obtained by the ZMR technique (adaptedfrom [77]).
again, as illustrated in Fig. 2.27. A number of structures using several silicon layers have thus been fabricated around 1985. The carrier mobility (a parameter sensitive to the crystalline quality) was found to decrease when going from lower layers to upper layers, but was sufficiently good to yield three stacked circuits (an image processor), comprising: photodiode arrays on the top layer, AID converters in the intermediate SOI layer, and arithmetic units in the bulk-Si layer. The structure allowed parallel processing and a 64-pixel image was treated within 3 |as. However, the maximum number of layers could not exceed 3 or 4, and no significant circuit fabrication has been achieved beyond that nixmber. Figure 2.27, featuring three levels, including the bulk one, illustrates this possibility of 3D integration (after [77]). 5. SOI MANUFACTURING: PROCESSES BASED ON OXYGEN IMPLANTATION A buried oxide can also be manufactured by introducing oxygen undemeath the silicon surface, provided the crystalline structure of silicon is preserved (or restored) over a sufficiently thick surface layer. Two methods have been used: • implantation of oxygen at a given depth, described in this section, • selective thermal oxidation in channels deep under the surface, detailed in Section 6. 5.1. THE HIGH-FLUENCE S I M O X PROCESS
5.1.1. Early developments The idea of producing Si02 by ion implantation was first reported in 1966 at the dawn of the ion implantation technique [78], but the first successfiil production of a buried Si02 layer was only carried out in 1977. The thickness of the top silicon layer and that of the buried oxide were shown to depend respectively on the ion energy (which determines the implantation peak and range in silicon), and on the oxygen fluence [79].
J,L, Leray
182
This gave birth to the SIMOX process (Separation by IMplantation of Oxygen), as proposed by Izumi in 1978 [80]). The two parameters, energy and fluence, can be adjusted to some extent [88]. It was shown in the late 70's that the best results are obtained with high fluences^^^ (1.5 to 1.8 lO^^ cm"^) of oxygen ions implanted at 200 keV [81]. These conditions are called the "standard SIMOX" conditions. In the early years (1980-87), implantations lasted long (24 hours) due to the limited flux of oxygen then available from the high-flux implanters. Since 1 mA is equivalent to only 6.25 10^^ OVcm^/s, it used to take more than one hour to process a single 4-inch wafer. After 1987 one vendor introduced a very-high-flux implanter capable of delivering 80 mA. This enabled the production of wafer batches in a shorter time and at a reasonable cost. 5.1.2. Oxygen and damage profiles The implantation depth in silica depends on the amount of energy the O ion deposits while passing through the substrate. As an example, for an oxygen ion implant of 150 keV, the deposited energy is 5 eV/A/ion at the silicon surface, but peaks at 15 eV/A/ion at a depth of 0.3 i^im. Below this depth, the ion slows down even more and finally stops [82]. Figure 2,28 shows the oxygen profiles and the implantation-induced damage profiles obtained for implantation energies of 120 and 190 keV.
3000 DEPTH (A)
4000
5000
Fig. 2.28 - Concentration profiles of implantation-induced damage (curves without symbols) and of implanted oxygen (squares and diamonds) for two implantation energies (after [83 a]). Curves ECOIL have been obtained using code TRIM. 92.
The consequences of such an implantation is the creation of defects in silicon. These defects may be point defects or extended defects (dislocations, stacking faults, multiple stacking faults), and their density is highest where the energy loss of the implanted atoms is maximum [83 a]. In Fig. 2.28, the curves called "ECOIL" correspond to the energy transferred to the recoil Si atoms after the knock-on processes. We note on this figure that the implantation peak of oxygen, where the buried layer will form, is deeper than the "defect peak", which is indeed confirmed by XTEM observations. The presence of defects above the buried oxide layer is undesirable and their generation may be avoided by performing implantation at high temperature. (4) In the field of ion implantation, the term "dose" is often used instead of "fluence".
A Review of Buried Oxide Structures and SOI Technologies
183
In general, the damage profile and the implantation profile yield separate peaks. If the substrate temperature is maintained between 500 and 700°C during implantation, it aimeals out the damage produced diiring implantation [84 a] and the profiles obtained look like that of Fig. 2.29 a. At lower implantation temperatures (400°C), the damage remains. CFS
^•rfs»j+$i A o
I Oxygen
I C/3
O
Q5
I.O
0
Depth (|a.m) a)
b)
1.0 0.5 Depth (|im)
Fig. 2.29 - Oxygen profiles obtained for two substrate temperatures during implantation, and for the same energy of 150 keV (after [84 bj). a) Standard conditions for implantation at 500°C. b) EFS special implantation at 350°C.
A technique called EFS (Electric Field Shielding) takes advantage of this remaining damage [82]. Oxygen atoms can be selectively trapped in the defect zone, producing a substoichiometric oxide layer, (SiO^ with x = 0.2 to 0.6 over a 800-A depth) distinct from the thick buried oxide, as illustrated in Fig. 2.29 b. As a result, deep bulk electric states are introduced in the silicon band-gap. A model derived from the CFO band-gap model (CohenFritsche-Ovshinsky, [83 b]) yields trap densities of 1 to 3 10^^ cm"3.eV"^ This buried amorphous layer acts as an electric shield against field effects resulting firom the buried oxide. It brings various advantages for high-voltage devices [84 b], or for hardening against total dose effects [82]. 5.1.3. The Ultra-High Temperature Annealing (UHTA) step To the implantation step, first developed in the late 70's [80], an Ultra-High-Temperature Anneal (UHTA) step was added in the early 80*s. This process aims at defining sharp Si02/Si interfaces by redistributing the implanted oxygen. It also produces oxygen-fi-ee silicon edges and a stoichiometric buried silicon dioxide. This step can be viewed as an "intemal oxidation" in the sense that the oxygen source is buried and confined. The first attempts used standard anneal furnaces with N2 or Ar ambients up to 1200°C, but the resulting oxide and silicon quality was poor, due to a large amount of: dislocations and stacking faults (10'^ to 10^ cm-^), and interface states (10l2cm"2.eV"l).
184
J.L. Leray
• S a m>t iiintalact Fig. 2.30 - a) XTEM micrographs showing (from right to left) how an Ultra-High-Temperature Anneal improves the quality of a SIMOX layer (reprintedfrom [86 a]) Right) as-implantedSIMOXat 200 keV, JOO^'C, L6I0^^OVcml Left) usable SIMOX sample. Notice the typical silicon islands just above the lower SiO/Si interfrice.
Fig. 2.30 — b) Interpretation of Ultra-High Temperature Anneal according to Jablonski & al [86 b]. From left to right, the influence of anneal temperature and anneal time is illustrated (SFT: Stacking Fault Tetrahedron MFD: Multiple Faulted Defect).
A Review of Buried Oxide Structures and SOI Technologies
185
Improvements resulted from the use of specific fiimaces with refractory SiC walls and oxidizing ambient. In 1985, the SOI team in Grenoble (Fr) noticed that very abrupt interfaces and high-quality silicon could be obtained by a high-temperature post-implantation anneal (6 hours at 1300°C in argon + 1% oxygen ambient, with a deposited Si02 capping layer) [85-86 a]. Figure 2.30 illustrates how the quality of the buried layer gradually improves during annealing.
Fig. 2.31' XTEM micrograph of a low-dose SIMOX sample showing the sharp interface between the buried oxide and the silicon substrate [87].
5.1.4. standard temperature conditions used during implantation to obtain a monocrystalline silicon layer Usually, the presence of a non-stoichiometric and amorphous layer between oxide and silicon must be avoided. To obtain a monocrystalline silicon layer and a sharp interface between silicon and oxide, as illustrated in Fig. 2.31, the damaged zone must be recrystallized. This can be obtained if the wafer temperature can be kept high (500-700°C) and well controlled during ion implantation [85, 89]. Damage due to the slowing down of the oxygen ions in the silicon layer can thus be continuously annealed during implantation. This range of temperature is a basic feature of the SIMOX process. 5.1.5. Standard dose conditions used to obtain a thick SIMOX buried oxide The standard oxygen fluence (dose) used to obtain a 3800-A-thick oxide is 1.8 10^^ cm-2 at 190 keV. Smaller fluences have been tried. Aspar [89] noted that for a 190 keV energy, oxygen doses below 3.5 lO^'^ cm-2 produce lamellar Si02 precipitates after UHTA whereas for doses centered around 4 lO^'^cm-^, a continuous layer is obtained, although some Si02 precipitates still remain above this layer [83 a]. For higher doses up to 1.8 lO^^ cm-2, only one buried oxide layer is observed, but silicon islands appear at its lower side. However, these silicon islands never exceed 100 A in thickness, and thus do not interfere with the isolating quality of the thick SIMOX oxide.
y.L. Leray
186
5.1.6. Standard conditions used to obtain a thin SIMOX oxide In the late 80's, other implantation conditions were found and excellent ultra-thin buried oxides (between 47 and 90 nm) and silicon layers were also obtained by using either 120 keV or 80 keV O"^ implantations [88]. There exists "magic" sets of parameters, often called the "Izumi conditions" [96], which permit one to manufacture thin SIMOX substrates reliably. These "process windows", determined for the purpose of improving stoichiometry and reducing the density of defects, are also clearly visible when measuring electrical parameters such as the oxide breakdown voltage, as illustrated in Fig. 2.32 [90]. 500
rs
Fig. 2.32 - Illustration of an "oxygen dose window" for a 180 keV-implantation as measured through oxide breakdown voltage [90].
lOOh
%
2 CQ
160+dose (1018 cm-2) Compared with the standard thick-oxide SIMOX process, the quaUty obtained by the lowdose process remains excellent, as summarized in Table 2.2 (giving data published by the SOITECCieinl995)[91]: Wafer diameter (inches) 4 5 Type of process Standard single implant process
Low-dose process
Implantation conditions 190 keV
120 keV
1.8 lOl^ 0"^.cm-2
4 10l'7 0+.cm-2
Layer thickness Top Silicon
500 to 2050 A
6
Thickness uniformity across the wafer on wafer: 80 A wafer to wafer: 160
100 A 200 A
160 A 240 A
A Buried Oxide
4000 A
±200 A
±200 A
±200A
Top Silicon
500 to 1800 A
on wafer: 50 A wafer to wafer: 50 A
50 A 50 A
50 A 50A
Buried Oxide
800 A
±50A
±60 A
±80 A
Table 2.2 - Variations of the SIMOX dimensional specifications depending on the wafer diameter and type of process used [91].
A Review of Buried Oxide Structures and SOI Technologies
187
5.1.7. The InTernal OXidation (ITOX) process The thickness of the siUcon layer can be adjusted by using an additional thermal oxidation step. It has been found that oxygen can diffuse across the thin-silicon layer and internally segregate at the Si-Si02 interface where the stable silicon dioxide acts as a sink. The superficial silica layer is then etched off This technique provides thinner silicon films with an excellent thickness uniformity (< 80 A across the wafer, < 50 A from wafer to wafer). This process called ITOX (InTemal OXidation), is illustrated in Fig. 2.33. Thickness ftiorbmeht
JiPiHi^l^^
Fig. 2.33 - Illustration of the morphological changes induced by internal oxidation (ITOX) [92]. XTEM photomicrographs of SIMOX wafers, a) before oxidation. b) after oxidation. (a)
0J/4m
(b)
5.1.8. Adjustments by supplemental implantation An additional oxygen implantation makes it possible to increase the buried oxide thickness (but a second UHTA is then necessary), and to obtain an intermediate ("medium") oxide thickness (see Table 2.3). This provides a great flexibility in thickness adjustment. 5.1.9. Multiple implantation To obtain a better oxide quality and reduce the density of silicon islands, a two or threestep technique is used. It consists of a partial implantation followed by a UHTA step in order to obtain a cumulated oxygen dose of 1.8 10^^ cm"^. This technique yields the best quality in terms of breakdown voltage, and minimizes the defect densities both of the oxide layer and of the silicon film (see below). In the case of the "triple implant", the oxygen dose of 1.8 lO^^cm'^ is split in three implantation steps of 0.6 10^^ O+.cm-^ each. A UHTA step takes place after each implantation. It leads to a considerable improvement of all quality indicators (interface state density, density of pipes, etc.), at the price of a tedious processing. 5.1.10. Other insulators obtained by ion implantation Altemative techniques have been proposed in which nitrogen, nitrogen and oxygen, or even carbon are implanted. Nitrogen implants had some success and oscillators using this technology were manufactured in 1983, using the "Separation by IMplantation of Nitrogen" (SIMNI) process [93].
188
/.L. Leray
Nitrogen has also been implanted before or after oxygen, to obtain a buried oxynitride. It was found that silicon nitride easily forms a polycrystalline state during high-temperature annealing, whereas silicon oxynitride remains amorphous [94] (an amorphous state is preferred because it offers a better resistance against mechanical stress and impurity diffiision). By using a beam of NO"^ ions, a co-implantation of oxygen and nitrogen has been performed, and the results compared to the separate implantation of O followed by N and N followed by O. It was found that the nitrogen co-implantation or the implantation of oxygen prior to nitrogen gives the best results (in terms of crystallinity of the top Si layer), probably due to the very different diffiision constants of N and O during the high-temperature annealing sequence [95]. When oxygen is implanted prior to nitrogen, N atoms segregate to the wings of the oxygen distribution, and form two interfacial oxynitride layers. These layers act as barriers against the diffiision of impurities into silicon. Attempts to form SiC, by using carbon implantation have met with difficulties due to graphitization phenomena, apparently unsolved up to now. This can be related to low diffiisivities in SiC itself, as long as it forms, hindering carbon redistribution during annealing and therefore making it impossible to attain stoichiometry. 5.2. MAJOR DEFECTS ENCOUNTERED IN S I M O X STRUCTURES
Devices using BOX structures of the SIMOX type face specific problems and feature defects which we review next. These defects affect the quality of the top silicon layer, the top silicon/oxide interface and the buried oxide layer. 5.2.1. Fixed charge and interface traps In the case of the single-implant SIMOX, the low dose process greatly improves the interface quality, as illustrated in Fig. 2.34 [87]. In particular, the density of interface states of the low-dose SIMOX becomes comparable with that of a thermal oxide. The same observation can be made in the case of the multiple implant SIMOX. 10^^
Substrate/ Oxide Interface Trap Density [eV-i*cm-2]
10'2
1\
\w
10^0
1X ^
-^ ^
^
^
^
Substrate/ ^ '10^1 Oxide Interface Fixed Charge ^\Q^o Density [cm-2]
10»
10» 1330oC 1330oC 1350oC 1350oC 1300oC 4 hrs 6 hrs 4 hrs 6 hrs 6 hrs I I High Low Dose Dose
a1 11 1 0 0 . 7 9 (mms) ' • 0 . 4 4 (mrn^) AO.20 (mm2)
S
c!)
baaa—p^
'
\I
n(—'
E
1330oC 1330oC 1350oC 1350oC 1300oC 4 hrs 6 hrs 4 hrs 6 hrs 6 hrs I 1 High Low Dose Dose
Fig, 2.34 - Dependence of the density of interface traps a) and of the density of fixed oxide charge b) on process conditions (after [87]),
A Review of Buried Oxide Structures and SOI Technologies
189
5.2.2. Silicon islands in the buried oxide The following XTEM micrographs (Fig. 2.35) show a silicon island and its crystalline structure. Although impressive in size, these particles are not harmful, for at least two reasons: 1/ they are much thinner than the buried oxide thickness and have therefore no impact on the breakdown properties, 2/ they are always located close to the lower Si/Si02 interface, which gives their global state of charge a negligible influence on the upper silicon layer. 5.2.3. *Tipes** and nano-clusters in the buried oxide Two other classes of defect exist in SIMOX oxides: pipes and nano-clusters. Pipes are one-dimensional columns of silicon which form when foreign particles, present at the silicon surface, act as screens during ion implantation, and leave columns of unoxidized silicon in the oxide layer [97-98]. The presence of these defects is the source of local leakage currents across the oxide (in the nanoampere range per "pipe") which can lead to a premature breakdown [99 a]. This defect is known to have a major impact on the circuit manufacturing process yield.
a)
b) Fig. 2.35' Microscopic view of a silicon island encountered with the standard or low-dose SIMOX process: a) cross section of a standard SIMOX buried oxide with an unusually high density of silicon islands (reprinted with permission from [101J. b) magnified view of a silicon island in a standard SIMOX oxide [102]. c) cross section of a thin low-dose SIMOX oxide (reprinted with permission from [89]).
c)
190
J.L. Leray
Linked silicon atoms can form nano-clusters ranging in size from a few atoms to a few tens of atoms. This type of defect occurs mainly in single-implant materials. Nano-clusters result from an incomplete oxidation [100]. Their effect is subtler since they are too far from each other to influence either electrical conduction or electrical breakdown. These clusters can provide trapping sites, of large capture cross-section, which could play an active role during exposure to ionizing radiation. The use of multiple implantation (i.e. of implanting the same ion dose in multiple steps, each step being subsequently annealed), drastically reduces the presence of this type of defect. Techniques allowing the measurement of these minute defects are beyond the scope of this infroduction to SOI technologies, but can be found in the general references quoted at the end of the chapter (see "Conference Proceedings and review books"). 5.2.4. Leakage currents induced by defects in SIMOX structures These localized or chained defects cause a decrease in the value of the oxide breakdown field, or allow leakage currents to occur. The type of defects and the typical current-voltage behaviours have been careftiUy studied since they largely impact the manufacturing process yield for large circuits [99 a, 99 b]. Figure 2.36 shows leakage current densities typical of SIMOX annealed as in the standard process (curve 3), or un-annealed (curve 2). In the latter case, the behaviour is similar to silicon-rich deposited Si02. For annealed standard SIMOX (4000 A), and below 80 V (2MV/cm), the I(V) characteristic is quasi-ohmic and temperatiire-dependent, with a low activation energy (0.3 eV). This conduction mode has been attributed to trapping on localized defects and thermal detrapping [103,104 a]. (N
ao
^
•02 •04
>^
-*-»
•1-H CO
a
•06
(L>
n3
^
•00
U
•10
(D
o
F-H
O
•12
HJ
4 6 Electric field (MV/cm)
10
Fig. 2.36- Typical leakage current densities are plotted vs. applied field for several types of oxide (after [104 aj). (1) Si-rich SiO2 (2) un-annealed SIMOX (3) annealed (standard) SIMOX (4) thermal oxide.
A Review of Buried Oxide Structures and SOI Technologies
191
Beyond 80 V (2 MV/cm), the conduction is weakly dependent on temperature and, therefore, a second mechanism has been proposed involving tunneling between silicon clusters. Through modeling [104 a] an effective barrier height of 1.4 ± 0.1 eV has been attributed and the number of defects, supposed to be uniformly distributed, has been estimated at 2.101^ cm-^. The average size of the defects should be 5 A, which corresponds to three atoms of silicon. For the un-annealed implanted silicon, the values are respectively: 0.4 eV and 10^1 cm"3. In the 4 to 6 MV/cm range, for standard SIMOX, a Fowler-Nordheim injection regime from the interfaces takes place. According to this model, injection barrier heights are asymmetrical (1.39 and 1.77 eV, i.e. one half the 3.2 eV value for thermal Si02). This can be attributed to the presence of specific electronic levels in the oxide, which reveals again defects lying in the buried oxide [104 b]. The interpretation of this clustering can be found in [105]. Due to the solubility of oxygen in silicon at high temperature, during the HTA step carried out at 1300°C for 6 hours, a small amount of oxygen migrates from the silicon dioxide layer into silicon and the two Si/Si02 interfaces act as oxygen sinks. Due to the high temperature, the out-diffusion of oxygen takes place throughout the oxide, leaving isolated clusters behind. Consequently, this feature is inherent to the SIMOX structure and process. Other types of defect may also be encountered in the oxide such as metallic contamination coming from the implantation column, or pipes of silicon more or less connecting the two Si/Si02 interfaces. The electrical consequences of these defects have been reviewed in [104 a].
Fig. 2.37-TEM micrograph of a typical stacking fault tetrahedron (SFT) featuring an average length of 0.1 fjm (reprinted with permission from [106]).
5.2.5 Defects in silicon In advanced MOS or bipolar transistors, improvements in the quality of the top silicon film can only be obtained by drastically reducing the density of such structural defects as dislocations and micro-stacking faults (Fig. 2.37), which affect the minority carrier lifetime [106, 107].
192
J,L, Leray
Let us note that significant reductions in dislocation density have been obtained by optimizing the implantation and anneal procedures. Dislocation densities ranging from - 10^ cm-2 (for a low dose SIMOX material) to - 10^ cm'^ (for a standard one), are typically measured on commercial substrates [108]. Minority carrier lifetimes above 10 ms have been measured in the top silicon layer of standard SIMOX wafers. Conversely, the surface recombination velocity at the buried Si02-Si interface has been found to be in the 10-100 cm.s"! range. These values make it possible to process bipolar transistors on SIMOX and obtain excellent characteristics. 5.3.
QUALITY AND VARIETY OF SIMOX SUBSTRATES
The quality of SIMOX substrates has been constantly improved since the 80*s and several companies presently propose a wide array of such substrates. 5.3.1. First-order progress The quality of SIMOX materials has greatly improved over the years, as illustrated in Fig. 2.38, which shows the defect density measured in the thin silicon films. The latter has improved at the constant rate of one decade per year from 1985 to 1992 (10^ defects/cm^ in 85, mostly threading dislocations, to 10^ defects/cm^ in 92, including pairs of dislocation half-loops [109] and narrow or pyramidal stacking faults pinned on residual precipitates [100]). The defect density of these films has become comparable to that of bulk silicon [12]. This decrease is due to a number of factors, but mainly to improvement in the cleanliness of the implanter column. Contaminants coming from: dust particles projected and stuck against the wafer during implantation, silicon diaphragms, iron and chromium from column walls, have been greatly reduced. Improvements in the annealing conditions have also played a role. Another factor has been the discovery of the fractional (so-called "multiple") implant. The use of split implantations and UHTA has reduced the density of silicon dislocations by a factor often and has eliminated the presence of silicon islands in the oxide. 10 10 I
S
10 8 J
.^
10
g
10'
O
^
10^ 4 10-
#
Q
10^
• Single implant
10 3
O Multiple implant ~n— 85 86 87
10-^ 84
R8
year
Fig. 2.38 - Illustration of yearly improvements in dislocation density in SIMOX silicon films, (after [108]).
89
90
91
A Review of Buried Oxide Structures and SOI Technologies
193
5.3.2. Currently available processes The following table, made up of data coming from companies, or from laboratories (Fraimhofer Institute [110]), reviews the practical implantation conditions and the state of the art as of mid-1995 (maximum values obtained with production wafers from 4 to 6 inches in diameter). In the ITOX process of Nippon Steel, the initial BOX thickness is increased from 85 nm to 105 nm by an additional thermal oxidation. An advantage of this technique is the improvement of the silicon film and a reduction in the roughness of the Si/BOX interface (interface roughness is usually expressed as the Root Mean Square of thickness fluctuations). The very thin silicon layers (30 to 50 nanometers) are obtained by an additional thermal oxidation. They open the way to CMOS/SOI structures with gate lengths of 0.1-0.2 i^m. Type of process used (data valid year 1995)
Oxygen implantation energy (keV)
Oxygen fluence
Single implant 4-8 inches (S)
190
Single implant 4-8 inches (I) Multiple Implant
Dislocation density in silicon
|Al
(A|
and local roughness (RMS in A)
and local roughness (RMS in A)
[cm-^l
1.8 10^^
500 to 2050
4000
< 5 10^
<0.2
190
1.8 10^^
500 to 2050
3550-4100
190
0.6 + 0.6 +
Buried oxide thickness
Breakdown Voltage [V] and /or breakdown field ([MV/cml) 190 V (F)
<0.1
4000
290 V
0.6 10^^
4 inches (F) Low dose ITOX 4-8 inches (I) Low dose Medium
Silicon thickness
Pipe density in oxide Icm-2]
[0'*".cm"^l
120
4 10^'^+
500 to 2400
2000
500 to 1500
1500
<0.2
<1104
<2
3 10l'7
> 100 V (>8)
ITOX (S) 1750
1050
(2.4)
(4.5)
Low dose ITOX 5 inches (K-NTT)
1700
Low dose (NS)
Low dose ITOX (NS)
Low dose 4-8 inches (S)
120
4 10^'^
< 3 . 10^
<5
1000
<100
<0.2
3200
850
< 1 10^
(4)
(10)
500 to 1800
800
55 V (5.5)
35 V (4)
<1104
<2
40 V (5)
Low dose + epitaxial Si (4nm)(S)
120
4 10l7
4 ^m
800
2 10^
<2
Table 2.3 - Review of process parameters and of physical and electrical parameters of the BOX structures obtained by current (1995) manufacturing processes. Data has been obtained from technical documentation of several companies (SOITEC (S), Ibis (I), NIPPON STEEL (NS), KOMATSU-NTT (K-NTT) and from the Fraunhofer Institute (F)) [110].
/.L. Leray
194
6. PROCESSES BASED ON DIRECTED THERMAL OXIDATION (FIFOS, SOOZ AND GAA METHODS) Another way of fabricating a silicon layer on an insulator is to somehow manage a local thermal oxidation of the silicon substrate undemeath the element (device) to be isolated. At least three techniques make this possible: FIFOS, SOOZ and GAA. 6.1. THE FIFOS PROCESS The purpose of the FIFOS process (FIFOS: Full Isolation by Oxidation of Forous Silicon) is to oxidize the silicon substrate through microchannels or pipes previously manufactured by means of anodic etching in hydrofluoric acid. Invented in 1981 [111], this technique has been reviewed in 1987 [28] and updates can be found in [112]. A pipe or pore pattem is obtained on a depth which depends on the etching time (a depth of one micron is easily obtained). 6.1.1. Selective anodization The electrochemistry of anodic etching is such that etching takes place whether the material is p doped or n"^ doped [113]. There thus exists two possibilities to perform selective anodic etching: (1) use a p substrate and implant n regions (in this case, the substrate is etched and the n zones remain untouched), (2) use an n-doped substrate and fabricate a buried n+ doped layer (by high-energy implantation). Holes are then etched through the upper n layer to reach the buried layer. T
=r P-Si 3SS5C
(a)
Starting structure
JV:^A':L
^l^'^r^t^'^ ®i
Formation of porous silicon ^in the p-type region by anodic dissolution of silicon
anodic reaction
/g\
Complete insulation of islands by porous silicon
/gN Final structure after fiiU oxidation of porous silicon
Fig. 2.39 - Illustration of the various FIFOS process steps [115].
A Review of Buried Oxide Structures and SOI Technologies
195
For low-doped p substrates (p"), the distribution of pore radii depends little on the density of the anodic current and is centered around 20 A. The porosity ratio (percentage of voids in the layer), depends on the p-type doping concentration and is usually close to 60% for lightly doped substrates [113, 114]. The internal surface can thus reach several hundred (200-400) square meters per cubic centimeter of silicon. Figure 2.39 describes the process used for a p-type silicon substrate [115]. This process has produced the first ever FIFOS CMOS devices [116]. 6.1.2. Selective oxidation Once porous silicon is obtained, oxidation through the pores is carried out in dry O2. Oxidation temperature is kept very low (300°C-1 hour) to avoid both the collapse of the network and explosive reactions due to the high specific surface of this highly reactive material. In the first oxidation step, the surface of the pores is covered with one monolayer within one hour, which corresponds to an oxidation of 15% of the volume. The second oxidation step takes place between 700 and 900°C in steam. After oxidation, TEM micrographs reveal the presence of closed cavities, a few nanometers long, showing that the oxide is porous (5-20% depending on the oxidation temperature). An oxide densification step is therefore necessary. 6.1.3. Densification Densification is obtained in an oxidizing ambient (dry O2 or steam), between 1000 and 1100°C. Due to the activation energy (3 eV) of the process, and to its sensitivity to the ambient, a viscous flow of silica is proposed to explain densification [114]. 6.1.4. Obtained results The best oxides made from a p" substrate have been obtained for the following sequence: pre-oxidation in dry O2 at 300°C-lh, followed by oxidation at 900°C-lh30 in wet O2, densification at 1090°C for 4 minutes in wet O2 and then for 30 minutes in dry O25 followed by an anneal 1090°C-30 minutes in N2 [114]. The breakdown field has been found to be 6.4 MV/cm and the fixed charge density +10^1 cm"^ for a 0.6-|am-thick plane oxide. These good values are attributed to the presence of a thin high-quality oxide grown in the substrate during the first oxidation of the porous layer, prior to densification. 6.1.5. Peculiarities of FIFOS oxides The role of the interfacial layer, in series with the reacted porous layer, has been confirmed by nitridation studies (carried out after the low-temperature oxidation) [117]. Oxynitride (22 at% N, 40 at% O) is produced instead of oxide by performing a thermal treatment in ammonia at 1000°C. The nitridation of oxidized porous silicon differs from the nitridation of thermal oxide, in that it incorporates -- 40 at% of nitrogen instead of ~ 5 - 10 at% in thermal oxides.
196
7.L. Leray
Unlike ammonia-nitrided thermal oxides, which exhibit a nitrogen build-up at the oxide/silicon interface (as described in Chap. 1 of this volume), porous silicon displays a fairly uniform nitrogen concentration throughout the thickness. When a high-temperature oxidation takes place after nitridation, a loss of nitrogen is reported as well as a build-up of nitrogen at the interface and an improvement in dielectric breakdown. Another reported feature of FIFOS buried oxides is the presence of fluorine (a few at. %) and hydrogen [117], probably left-overs from the anodic dissolution in HF. 6.1.6. The advantages of using n-doped substrates To obtain device quality silicon, an epitaxial growth is generally performed on the lowdoped (n") silicon islands. However, this approach, based on p-type substrates has the drawback that a large silicon island is needed to form a sufficiently thick, uniform and continuous buried porous silicon. After a fiiU oxidation, the thick oxide layer induces a large mechanical stress, which provokes a warpage of the silicon wafer, not compatible with VLSI processing. To overcome this difficulty, the FIFOS process can be applied to n-type substrates, {\Q^^ cm'^) containing an implanted buried n"^ layer (10^^ cm"^). Freferential anodization takes place in the buried n^ layer (10^^ cm"^), [115, 118, 119]. Then, a 1 jim-thick silicon layer is epitaxially deposited by CVD and vias are etched by RIE to allow a contact between the n^ layer and the hydrofluoric acid. The buried n+ layer becomes then the porous layer. All these characteristics: (1) compound oxide layers with the first one similar to a thin thermal oxide (2) presence of fiuorine (3) possible presence of nitrogen if nitrided, explain the good quality of the FIFOS buried oxide layer, obtained rather straightforwardly from the beginning, and at a much lower cost than the other competing processes (ZMR and SIMOX). Historically, the FIFOS process has greatly helped to demonstrate the validity of the SOI concept by allowing the production of 64-kilobit SRAMs on 4-inch wafers, 5 years before the SIMOX process did. However, this process suffered from two difficulties: (1) the necessity to implant islands of n"^ or p"^ zones at pre-determined locations depending on the design of circuits, and (2) wafer warpage due to differential stresses appearing after the second oxidation step and incompletely relieved by densification. 6.2. THE S O Z AND S O O Z PROCESSES
SOZ simply means Silicon On Zirconia (Zr02). This technique is basically a variant of the SOS process, in which the hexagonal crystal of M2O2, is replaced by a cubic alloy of YttriaStabilized Zirconia (Zr02,Y203). The yttrium content is chosen at about 10% to enable the cubic networks of Si and Zirconia to match.
A Review of Buried Oxide Structures and SOI Technologies
197
In the SOOZ process, one takes advantage of the fact that oxygen ions diffuse across zirconia. This allows an "internal oxidation" of the interfacial silicon through Zr02 [65]. This type of process dramatically improves the underlying interface by producing a compound Si/Si02/YSZ/Si structure. N" channel and p- channel transistors have been successfully produced and evaluated in terms of radiation effects [69, 120, 121]. 6.3. T H E GATE A L L AROUND PROCESS
Another technique uses a localized oxidation undemeath the transistor channel. It is known as the "Gate All Around" (GAA) Silicon On Insulator process [30, 122, 189 b]. Fabrication is straightforward in principle. A SIMOX substrate is used as a starting material and by means of a single supplementary mask, the buried oxide is etched undemeath a well defined silicon island as illustrated in Fig. 2.40. The silicon bridge can then be thermally oxidized to obtain the gate oxide and a polysilicon gate electrode is deposited by CVD, all around the bridge. Cavity
Source
Fig. 2.40 - Illustration of the Gate All Around fabrication process ISO]. a) A cavity is first etched underneath the silicon island. b) Aspect of the complete Gate All Around device after deposition of the gate electrode.
This method takes advantage of the selectivity in etching that exists between the silicon layer and the BOX. The advantages of such a device are: a better control of the transistor, giving a higher transconductance and the absence of back-gate effects coming from the buried oxide. To date, transistors, ring oscillators and a 1-kilobit SRAM have been manufactured with this technique and display a good radiation hardening level [123, 124]. A major drawback is the large capacitance of the gate, since the gate electrode overlaps a significant part of the source and drain areas. Multiplied by the Miller effect, the gate-to-drain capacitance is especially detrimental to the speed and power consumption of the circuits. 6.4. T H E EPIC EPITAXIAL AND CHEMICAL THINNING PROCESS The EPIC process (Epitaxial Process for Insulated Circuits), first reported in 1964 [125], was first designed to render components less sensitive to photocurrents caused by ionizing radiation. For that purpose, one replaces the usual isolation based on the use of pn junctions with isolation by a "tub" of silicon dioxide [126]. The final structure features a silicon monocrystal surrounded by a silicon dioxide layer, itself immersed in a polysilicon wafer as illustrated in Fig. 2.41.
J.L, Leray
198
To obtain this structure, its designers started from the idea that the epitaxial growth of silicon on silicon dioxide could only lead to polysilicon. Therefore, the polysilicon film is deposited on the silicon dioxide until it is thick enough to mechanically sustain itself like a monocrystalline wafer does. The wafer is then tumed upside down, and the polysilicon layer becomes the handling part of the wafer. The previously oxidized monocrystalline wafer is then mechanically and chemically reduced to a thickness of 5 to 20 ^im, as illustrated in Fig. 2.42. The classical process used to produce diodes and transistors is carried out, with a buried insulator inserted between silicon and polysilicon. Various techniques are used to obtain a lateral isolation, using mostly chemical etching and oxidation before polysilicon deposition.
SBlliccMn!
Polycristalline Si
^.
^
«: -» ^
>flftWAW«X!
^
n
l=N»Jy»l«k30«-»
P^^tv^lfloon
Fig. 2.41 - Basic steps of the EPIC process [126].
Fig. 2.42 - 3D view of the basic EPIC process steps [127 a]
This complex method has benefited from progress in mecanochemical polishing (CMP) techniques. It has been used for more than 30 years, on a small scale, to build radiation-hard simple circuits and on a larger scale to build analog circuits: electronic switches and multiplexers (Harris Corporation and subsidiaries) [127 b]. 7. SOI MANUFACTUMNG PROCESSES BASED ON THE BONDING OF TWO MATERIALS (BESOI) Another way of producing a buried oxide structure is to somehow force an oxidized wafer to bond with an unoxidized one and subsequently to thin one of the two (bonded) wafers to obtain the desired thickness of active semiconductor substrate.
A Review of Buried Oxide Structures and SOI Technologies
199
7.1. PRINCIPLES OF THE B E S O I TECHNIQUES
The generic name of the techniques based on this concpet and developed in the 80*s is "BESOI" which stands for "Bond and Etch-Back Silicon On Insulator". In a BESOI process, the layers of interest are prepared separately in the best possible conditions and may consist of Si, Si02, Si3N4, SiC, BaO-A>^203-Si02 etc., [128]. They are then brought together. The phenomenological aspects of bonding monocrystals, monocrystals to polycrystals, monocrystals to amorphous substrates, or polycrystalline materials to polycrystalline substrates are discussed in [129]. Generally, surface uniformity (e.g. 2nm) is an essential criterion to achieve bonding, unless "distributed" bonding occurs on asperities or at the surface of grains. Table 2.4 lists the types of material which can be bonded to silica, according to [129]. Si, Ge Elemental material
Mo, Ta, Ti, W B, C (diamond) GaAs, ZnSe B4C, BaF2
Inorganic compounds
Si02 (glass)
Table 2.4 - Elements and compounds that bond to oxidized silicon, spontaneously or with application of pressure, according to [129].
SrTi03, LiNb03 Organic polymeric compounds
Polyimide, polymethylmethacrylate Polycarbonate, polyarylate
To be of practical interest for isolated microelectronic devices, the silicon layer must not exceed a few microns. Therefore, one of the two wafers must be thinned afterwards, in order to meet the thickness requirements needed for fiirther processing. Figure 2.43 illustrates how SOI substrates can be manufactured by bonding a silicon wafer onto an oxidized silicon wafer. 7.2. W A F E R BONDING
Bonding occurs through the formation of: hydrogen bonds between silanol groups Si-OH...HO-Si (weak bonding) or the merging of covalent siloxane Si-O-Si bonds (strong bonding). Bonding could not be achieved without applying an extemal force until 1985 [131] (mechanical [126] or electrical forces [131, 132]). The surfaces, which must display a very small microroughness (less than 10 nm), must be cleaned to avoid contaminants (such as metallic particles, alkali ions...) and are generally prepared before contact (e.g., by hydrophilization in NH4OH+H2O2+H2O and rinsing in deionized water [133]).
200
J.L. Leray base wafer
top wafer
precise dimensions TTV (< 1 ^m) LTV (< 0.5 jum)
2/xmq
oxidation
Si02 Si
[sOI structure
Fig. 2.43 - Illustration of the typical steps necessary to obtain a Silicon-On-Insulator thin film using the wafer bonding method (after [130] slightly modified).
Current processes differ depending on the bonding temperature, the ambient, and the subsequent treatments [134]. Below 130°C, fragile hydrogen bonding dominates but is weak. • For hydrophilic surfaces, the surface energy(5) of bonding (and also the adhesion force) is approximately insensitive to annealing temperatures, between 200°C and 800°C, due to the predominant silanol condensation allowing the transformation of hydrogen bonds into siloxane bonds. This bond formation is accompanied by an elastic deformation of the wafers [134]. • For hydrophobic surfaces, bonding becomes efficient only above 600°C [135]. Beyond 800°C, the formation of Si-O-Si bonds is easier and is reinforced by a plastic flow of the oxide, which relaxes the internal stresses and causes the atoms of the two oxide layers to mix. However, most of the problems come from the formation of voids or from the incorporation of particles or contaminating adsorbates. 7.3. THINNING OF THE TOP LAYER
After bonding the two silicon wafers, one of the two wafers must be thinned down to the appropriate thickness required for the further manufacturing of devices. Different methods have been proposed, mainly grinding followed by polishing or etching. (5) Surface energy can be defined as the work of the adhesion forces per unit area when the plates are brought together from infinity to contact.
A Review of Buried Oxide Structures and SOI Technologies
201
10 m i n anneal in nitrogen
"a o—w^et oxides a — d r y oxides •-BPSC
lO m i n anneal o—in oxygen •—in nitrogen
A—PSG d)
CO
CO
Bond temperature (C°)
Bond temperature (C°) a)
b)
Fig. 2.44- Illustration of the dependence of the bond strength on the nature of oxides and on bonding and annealing conditions (after [136]). a) Surface energy is plotted vs. bonding temperature for bonds between wet oxides (300 nm, 3" Si wafers). Also shown are dry oxides (3" wafers), BPSG (4"), PSG (4").
b) Surface energy is plotted vs. bonding temperature for annealing in an N2 and O2 ambient (4" wafers covered with 300 nm wet oxides).
In the first method, most of the "seed" wafer is removed by grinding (which is a timeconsuming operation). The surface quality is then improved by a final polishing. The surface roughness obtained with this method is typically ±300 nm. When etching is used to thin the wafer down, an etch-stop layer (obtained by implantation or epitaxy) is often used to control the efficiency of the chemical etching solution, which dissolves the top silicon wafer. A second etching solution is then employed to dissolve the etch-stop. Surface roughness is much better in this case, typically below ±10 nm. 7.4. T H E HYDROGEN CLEAVAGE PROCESS (SMART-CUT^)
In the mid-90's, a new process, combining proton implantation and polishing, was proposed and is now referred^^^ to as Smart-cut® [137 a, 137 b]. The process, illustrated in Fig. 2.45, first consists in implanting hydrogen ions (with a dose ranging from 2.10^^ to 10^'^ ions/cm^) into the oxidized wafer below the oxide layer, before the bonding operation. The stopping range of the implanted protons defines a buried plane of microcavities. After performing a hydrophilic bonding at room temperature, the structure is submitted to a two-phase heat treatment. During the first phase (400-600°C), leading to blistering and flaking, the implanted wafer splits in two parts and a thin layer of monocrystalline silicon remains bonded to the second wafer. ^^^ Smart-cut is a trademark of the CEA-LETI (France).
202
J.L. Leray
HJW
jdLfi
wafer B
-<pr w a f e r
"Hoiev ^
A
h e a t treatment step 3
H* i m p l a n t a t i o n step 1
\No.^eT B wafer
A
|
cleaning • bonding step 2
wafer B
polishing step ^
Fig. 2.45 - Principle of the smart-cut process [137 bj.
It must be noted that the implantation depth is nearly linearly related to the implantation energy (100 keV gives 0.9 [im), so that the silicon thickness can be precisely and easily tailored [138]. The second phase (1100°C) aims at strengthening the chemical bonds. Finally, performing a fine polishing reduces the roughness of the top silicon layer. Compared with SIMOX, a significant reduction in processing time is obtained with the Smartcut® process, because the hydrogen implant operation takes much less time (by one or two orders of magnitude) than the oxygen implantation (of the SIMOX process). An additional advantage over the classical BESOI technique is that the complete thinning operation of the top silicon layer (usually a time consuming step) is now reduced to a single fine polishing. Moreover, one of the two initial wafers remains and can be re-used, which means that the Smart-cut® process, overall, only uses a single wafer for each produced SOI substrate. The Smart-cut® technique, marketed under the name Unibond™, is credited with a high throughput and is well suited for low-cost, high-volume productions. 7.5, DEFECTS AND PROPERTIES OF BESOI MATERIALS Basically, the defects encountered in the top silicon layer are the same as those of the starting bulk silicon. However, several additional types of defect can be introduced during the bonding operation (voids, mechanical strains, contamination of the BOX) and/or during the thinning process (dislocations, roughness, contamination of the top silicon) [101,139 a]. Voids in the BOX layer can be generated by particles present on the surface of one of the wafers before the two wafers are bonded (extrinsic voids), or induced during this operation by the out-gassing of surface contaminants (like hydrocarbons) and/or gas accumulation probably around small structural defects at the wafer surface (intrinsic voids). In any case, the size of the voids (from 10"^ to several millimeters in diameter in the most extreme cases) is strongly affected by the bonding conditions and by the temperature and ambient of the annealing process. Specific annealing procedures have been proposed to try to reduce the void density in BOX layers.
A Review of Buried Oxide Structures and SOI Technologies
203
It has been found that a high temperature annealing (900-1100°C) can eliminate intrinsic voids whereas only the smallest extrinsic voids decrease in size after an extensive aimealing at 1150°C[139a]. Another type of defect found in the BESOI material is due to the presence of foreign atoms that can induce trapped charges and defect precursors (later revealed by irradiation) at the internal bonding interface, or in the depth of the BOX film. Species such as carbon, oxygen, chlorine and fluorine have thus been measured in significant concentrations. Carbon and boron contaminations have also been frequently reported and can lead to a catastrophic degradation of the BOX insulating properties [139 b]. 7.6.
CHARACTERISTICS OF CURRENT BESOI WAFERS
BESOI substrates currently available (1996) exhibit characteristics which can be found in Table 2.5. 7.7.
OTHER BONDED MATERIALS
(SICOI)
Wafer bonding makes it possible to combine monocrystalline materials which in most cases could not be obtained by epitaxial growth or which could not be obtained in crystalline form by deposition. SiC on Si02 on Si structures have been obtained by wafer bonding, as reported in [140]. In this bonding approach, SiC is epitaxially grown or deposited on Si and the SiC layer is then oxidized before the bonding and the thinning operations. The advantages of SiC-based devices over silicon are due to a wider bandgap, a higher avalanche voltage, and a better thermal conductivity. These properties should allow device applications at high temperature and high power. Up to 1996, SiC wafers were limited to 2 inches in diameter due to the extreme difficulties of SiC ingot crystallization. With the bonding technique, SiC can be epitaxially deposited on 4-inch Si wafers [140]. Thus, the limitations in size due to the ingot process can now be overcome, opening the way to the mass production of SiC components. The SmartCut process, originally invented for silicon has also been applied to SiC, leading to the SICTRANSIT structure, comparable to UNIBOND but with SiC instead of Si giving birth to the SICOI structure, (i.e. "Silicon Carbide On Insulator" [141,142]). Gallium Arsenide has also been bonded to silicon by depositing a thin phosphosilicate glass (PSG) layer at 400°C using a CVD technique on the surface of a polished GaAs wafer [143]. Bonding occurs at 300-600°C under an applied voltage of 10-30 V across the 1-3 |im oxide layer. The phosphorous content in the PSG is adjusted to match the combined thermal expansion of GaAs and Si. The GaAs layer is then back-etched. This method tries to circumvent the difficulty of a direct epitaxial deposition of GaAs on silicon, which is possible but leads to a strained interface. In? has also been bonded to Si by using a similarly deposited Si02 bonding layer. After a back-etch and after etching a stress relief grid in InP, heterostructure InGaAs/InA^As has been deposited by Molecular Beam Epitaxy leading to the fabrication of HEMTs [144].
204
J.L. Leray
Type
Silicon thickness [A] (local roughness) RMS [A]
Buried oxide thickness [A]
Density of stacking faults in silicon [cm-2]
SOI Unibond 4 to 8 inches (S)
2050
Density of Density of dislocations pinholes in silicon in oxide [cm-2]
[cm-2]
Breakdown voltage [V] Breakdown field [MV/cm]
<0.1
4000
(100)
Crystalline Silicon On Glass (S)
1000-2000
SOI 4 to 8 inches (SB)
0.1 to 100 ^im (10 A)
(100) 0.0 to 3 ^im
<0.1
<1
<1
8 MV/cm
Table 2.5 - Comparison of commercial BESOI wafers (circa 1996): (S):from the SOITEC Company (FRANCE), (SB) .from the SiBOND Company (USA).
Recently, the idea of combining the ease of depositing Si02 on InP and the ease of making MESFETs in GaAs has been developed by using a thin buffer layer of intrinsic InP betwen GaAs and the deposited Si02 [145]. This has allowed the fabrication of GaAs MESFETs on Si02 (LOXI or "Layered-OXide-Isolation" technology), used as switches in the 19-GHz band. Excellent high-frequency characteristics have been obtained because the off-state capacitance is 5 times less than that of classical MESFETs on bulk GaAs. This is because the dielectric constant of Si02 (3.8) is lower than that of GaAs (13.1). In addition to III-V semiconductors, Ge has also been bonded to Si, and Si to fused quartz, using a buffer Si02 layer [144]. Generally speaking, bonding must take into account the thermal expansion of the bonded wafers. After high-temperature annealing, stresses are generated by the cooling-down step, which can at least induce defects and dislocations in the materials. Figure 2.46 shows the thermal expansion coefficients of some materials around 1000°C. A good fit is obtained between silicon (used as a supporting medium) and materials such as SiC and A ^ , while a reasonable fit is possible with GaAs. On the vertical axis, one can see the superior thermal conductivity of SiC and BeO, making these materials interesting for applications where thermal power must be extracted, such as in power devices. Interestingly, with this concem in mind, the properties of silicon-on-diamond structures have also been investigated using wafer bonding [146]. The authors tried to solve the problem of transistor self-heating (caused by the poor conductivity of Si02) by using deposited polycrystalline diamond, whose thermal conductivity is ten times larger. The temperature increase measured with test diodes was found to be 3 to 4 times less with this SOD ("Silicon on Diamond") structure.
A Review of Buried Oxide Structures and SOI Technologies K Thermal Conductivity (W/m.^C)
300 750
BeO •
SiC •
200
205
AIN Si
150 100 50 L B N a.Si02:K#lW/m.*^C ^
MgO GaAs
Si3N4 • I
a-A1203 YSZ
I
2
3
4
5
6
7
8
9
a-quartz : K # 7 W/m.^C J
I
I
10
I
1 ^ li
15
Thermal expansion (lOV^^C) Fig. 2.46 - Thermal properties of basic materials suited for bonding (for crystalline materials values have been averaged) x axis: thermal expansion coefficient at 1000°C. y axis: thermal conductivity at room temperature. 7.8. NOVEL STRUCTURES PERMITTED BY WAFER BONDING
Wafer bonding should also make the 3D integration of active layers possible [147, 148]. Since 1986, some CMOS circuits have been designed using inverters obtained from stacked PMOS and NMOS transistors, each of them manufactured separately on two different wafers (ELVIC method: Elementary Level Vertically Integrated Circuit [149]). In this new approach illustrated in Fig. 2.47, the two wafers, capped with a polyimide layer, are bonded together and the transistors are wired by means of A^/Au vias. The wafer bonding method can be generalized to circuit bonding, thus allowing to double the integration density or to combine two different types of circuit. Recently, this approach has been successfully used to design and fabricate 64-Mbit Dynamic Random Access Memories (DRAM's) [150]. Further improvements in integration density could come from the development of such multiplestacking processes. UPPER UYER
IJDWERUYER
OPTICAL INPUT
t)
OCH LOWERI UYER
0 a)
b)
(KOELECTRONICLSI
OPTICAL OUTPUT SI DIGITAL SIGNAL PROCESSOR LSI
C)
Fig. 2.47 - Fabrication of 3D structures using bonded wafers (after [151]): a) Principle, b) Cross section of a structure after wafer bonding showing the 3D integration of active layers. c) A typical 3D association of functions.
/.L. Leray
206
This process differs strongly from the ZMR 3D process, in that here, each layer is fabricated and tested independently, whereas ZMR imposes the processing of each new layer on top of the previous one, including the delicate recrystallization step. The overall process yield should thus be better here than with ZMR. Further improvements in integration should come from repeating the process after thinning the upper layer, and bonding again a third active layer, and so forth. 8. APPLICATIONS OF SOI STRUCTURES TO NOVEL SMART STRUCTURES It becomes clear from the previous sections that SOI structures offer many advantages, not only during the fabrication process but also after fabrication, when the device is operated. The advantages introduced during the manufacturing process come from the etching selectivity that exists between semiconductors and insulators, and from the ability to bond hydrated surfaces. Novel structures can thus be produced more easily (e.g. optical and mechanical devices, sensors). Some of them are reviewed in this section. Merging these devices with integrated electronics can greatly improve the performance of the hybrid components thus obtained. In this case, the term "smart" device is used. The SOI structure makes it possible to separate the various processing steps and to isolate the fimctions for a better performance when noise interference must be reduced or breakdown voltage improved. A few examples are given in this section. 8.1. APPLICATION TO BURIED OPTICS
The integration of optical and electronic components, including signal treatment for integrated optoelectronics, requires optical waveguiding. For that purpose, the large difference in refractive index between Si (n = 3.5) and Si02 (n = 1.5) can befixUyexploited. Glass with n> 1.5 Si02 n=1.5
SiGe (n=4-4.3
Bulk Silicon n=3.5 b)
a) Optical loss demonstrated atA,=1.3 |Lim :
c)
<1 db/cm (with optional Si02caping)
2 to 5 db/cm
0.5 to 2 db/cm (with SOI, SIMOX type)
Fig. 2.48 - Illustration of some silicon-based optical waveguides working with different contrasts of refractive index (after [152], modified).
A Review of Buried Oxide Structures and SOI Technologies
207
The simplest waveguiding structure consists of a silicon layer confined between two silica layers (or between air and silica) as illustrated in Fig. 2.48. In this case, the photon energy must be less than the silicon energy band gap (1.12 eV). The SOI structure is well suited for that purpose, since the BOX can act as a spacer plane between the active silicon guide and the mechanical holder (silicon substrate) [152]. The BOX layer must be sufficiently thick (X/2 to A./3) to minimize the losses due to the evanescent coupling of light through the oxide into the silicon substrate, as illustrated in Fig. 2.49. The minimum thickness of the silicon waveguide is given by the coupling conditions at the exit plane of the guide [152], and is of the order of the photon wavelength (X). Another important parameter is the roughness of the Si-Si02 interface: a mean roughness amplitude of 30 nm can lead to an additional optical loss of about 1 db/cm at A, = 1.3 |am [154]. The guiding of optical waves, with X = 1.3 |Lim, can be exploited in SIMOX wafers. This makes it possible to envisage the integration of silicon-based optoelectronic devices or the design of an optical network on a chip allowing signal treatment over a wide bandwidth, (similar to what is being done on GaAs/GaA^As or InGaAsP/InP). Applications based on Si02-Si take advantage of the excellent interface uniformity, and of the presence of a natural oxide thickness of 0.4 |j,m which provides a single-mode waveguide propagation at A. = 1.3 |uim. In this range of silicon thickness, the attenuation of the first mode has been found to be 4.7 db.cm"!, making this structure attractive for further applications [154]. Loss (dB/cm) tOQQOO
Fig. 2.49 - Optical Loss due to leakage into the substrate for the simplest SOI waveguide (after calculation of [154]), for a wavelength of 1.3 jum.
Buried oxide thickness (^im)
Active optical structures have been discussed in [152] along with the possibility of creating an electro-optic light modulator based on the Mach-Zehnder principle (Fig. 2.50) and using the dependence of the silicon refractive index on the electron carrier density. This carrier density is in tum determined by the current injected by the nearest pn junction. Another optical modulator, based on a Fabry-Perot resonant cavity, has been described in the literature [155] and also uses a diode phase modulator placed inside the cavity. For this application, the buried Si-Si02 interface acts as a cavity mirror and the BOX thickness is chosen equal to 0.2 |j,m to optimize the reflectance at X = 1.3 |Lim.
208
7.L. Leray
Modulator side A
OV
I input light
^ Modulator side B
output light
I
:
\ 3J
••taHP
OV
^•••••"Tn—^
Or) I
*Si02 -X-
(»>.
a^
AD dimeasioBt in ion
F/g. 2.5(? - Mach'Zehnder modulator consisting of two pn junctions on a BOX structure. The carrier density is modulated by the forward bias and influences the silicon refractive index (Kerr effect). The two beams interfere at the output (after [153]). a) Top view of the Si-based Mach-Zehnder modulator, b) Cross section of the Ml modulator. 8.2. APPLICATIONS TO MICROMACHINES
Since the early 90's, the field of micromachining has been in full expansion. This field is based on the application of etching and epitaxy techniques, used in microelectronics, to fabricate mechanical or mixed mechanical/electrical microstructures. The concept has been applied to actuators and sensors (mostly motors and accelerometers), although pressure gauges, pumps, magnetometer, and chemical sensors have also been considered [156]). The dual aspect of Buried Oxides and SOI layers can be efficiently exploited due to: • the high etching selectivity between silicon and silicon dioxide (e.g. 500:1 for SIMOX in KOH), • the monocrystalline structure of silicon in most of the SOI structures, allowing specific electric properties, compared to polycrystalline silicon, and specific etching profiles (especially in wet etching). Figure 2.51 illustrates the structures obtained with a SIMOX wafer after etching off the buried oxide under silicon cantilevers. In this structure, cantilevers are the seismic mass used in the accelerometer. The advantages of using silicon cantilevers come from the possible control of the temperature dependence, and the possibility of integrating both a silicon amplifier and a seismic device on the same chip, which results in a smart sensor. The etching selectivity between silicon and silicon dioxide is essential in this process. 9. RADIATION EFFECTS IN BURIED OXIDE STRUCTURES When SOI devices are irradiated, the buried oxide contributes to radiation effects. Radiation not only generates electron-hole pairs but also generates bulk and interface traps in this additional oxide layer. However, these radiation effects can be minimized as explained next and overall, SOI devices display excellent radiation hardness.
A Review of Buried Oxide Structures and SOI Technologies
209
^t J¥^
Fig. 2.51 - Example of structures used in microaccelerometers consisting of monocrystalline silicon cantilevers (courtesy ofLETI). 9.1. CHARGE TRAPPING IN DIELECTRICS AFTER IONIZATION
The irradiation of an insulating layer generates electron-hole pairs in the material. If no electric field is applied, the pairs recombine and no current flowsf^). If an electric field is applied, some pairs are separated and the carriers can be collected at either electrode unless they get trapped during their drift and diffusion. When a positive bias is applied to the gate of a MOS structure being irradiated, some of the generated holes are driven to the Si-Si02 interface, while electrons are swept toward the gate [157 a, 157 b]. During their drift toward the electrodes, the holes can get trapped on centers (supposed to be electrically neutral before irradiation), which leads to the buildup of a positive charge in the oxide [158]. Carriers, which have not been trapped, reach the oxide interfaces and can be swept away at the collecting electrodes. The presence of holes at the Si-Si02 interface has been extensively studied and their roles invoked in the formation of interface traps [159]. 9.2. PARASITIC TRANSISTORS DUE TO CHARGE TRAPPING
9.2.1. Case of SOI structures To remain general, let us take the case of a MOS transistor in a SOI technology. Once a SOI structure is irradiated, charge trapping in the buried oxide gives birth to a parasitic effect, called "back parasitic transistor" as represented in Fig. 2.52. A leakage current is usually observed in n-type MOS transistors, due to an electron conduction channel, which shows that the trapped charge is overall positive [160].
(7) If we disregard carrier trapping.
7.L. Leray
210
Polysilicon gate Gate oxide
N+ diffusion
Back conduction
^ S ^ i ^ # ' - 't:'^'"^ '*^V' ^' "^'-" ^ +
+ ^
Silicon substrate
Fig. 2.52- Illustration of a radiation-induced back transistor in a SOI structure (after [11]).
J
Charge trapping not only generates a back-gate FET effect but also a lateral FET effect and often also a parasitic bipolar transistor effect (Fig. 2.53). The improvement brought about by the SOI technology over bulk CMOS technology is that these parasitic structures are located in the silicon island instead of being distributed in the bulk or at the surface of the silicon chip. On the other hand, as noted above, a new parasitic FET transistor appears due to the buried oxide, the underlying substrate acting as a back gate [161]. UOS/S0\ Lateral Cross Section
G
GaU gate oxide \ ^ active silicon %
Equivalent Circuit : Lateral MOS
/:te
Main MOS
LATERAL UOS
buried oxide Silicon Substrate
Substrate
D
Buried UOS (back-gate)
Fig. 2.53 -Illustration of parasitic elements encountered in a MOS/SOI or MOS/SOS transistor, a) The five regions of the transistor, b) The corresponding parasitic elements [161].
9.2.2. Case of SOS structures In SOS devices, due to the sizeable thickness of the alumina substrate, the back gate can be considered as being at an infinite distance. However, the electrostatic influence of the trapped charges does not vanish, when these are located close to the silicon/alumina interface and a leakage current is observed due to a back-gate MOS transistor. Since leakage after irradiation is only observed in n-type transistors, one is led to assume that the trapped charges are positive. 9.3. SPECIFIC RADIATION EFFECTS IN SOS
STRUCTURES
In § 4.2.2, we mentioned the existence of a sharp peak in the interface state density at the Si/sapphire interface (culminating at S.IO^^ cm-^ eV"l at E^ - 255 meV). This peak tends to pin the Fermi level at this interface and, because of that, some authors have suggested that the 500-A-thick disordered layer behaves as an n-doped layer whatever the type of the upper silicon film may be.
A Review of Buried Oxide Structures and SOI Technologies
211
+4
b
+3
It
GROWTH RATE 0.3Mni/MIN. 0.6 Mm/MIN. l.Ojum/MIIM.
H-2
if
PRERAD POSTRAD IRRADIATION: O • 1 Mrad (AI2O3) ^ A Co^y-RAYS D m UNDER 10*V/cm
IS +1 s
o II
0
\ \
POSITIVE CHARGE NEGATIVE CHARGE
-1 h
Fig. 2.54' Illustration of the dependence of the radiation-induced trapped charge, encountered at the silicon-sapphire interface (measured before and after a l-megarad(Si) irradiation), on the growth temperature and the growth rate of the epitaxial silicon layer (after [54]).
£ z -2
960°C 970°C 980°C SILICON GROWTH TEMPERATURE-
However, the low mobility of the carriers in this layer renders negligible their contribution to the electron current flow of n-type MOS transistors. This could also explain why one never observes radiation-induced leakage currents in p-type MOSFETs, since this peak in interface states acts as a screen for any negative trapped charge located in the sapphire. In a specific study [54], the density of charges trapped in the sapphire after irradiation was shown to closely depend on two simple process parameters: the silicon growth temperature and the growth rate itself. The results of this important study, displayed in Fig. 2.54, show that a deposition at 975°C with a growth rate of 0.3 |xm/min in silane, minimizes the trap density. 9.4. SPECIFIC RADIATION EFFECTS IN S I M O X , B E S O I AND FIFOS STRUCTURES The radiation-induced charge trapping properties of the oxides described in the previous sections have also been investigated. The goal of such an investigation is of course to compare the different oxides and, as we did for SOS, try to find a common trend. The method used to extract the trap densities and capture cross sections reported in Table 2.6, has been described elsewhere [162-164]. It basically consists in fitting a theoretical model for the variation AV^^ of the threshold voltage of the MOS device, caused by the presence of the oxide-trapped charge, to experimental data obtained in the limiting cases of strong positive (hole trap parameters) or negative (electron trap parameters) bias applied during irradiation.
212
J,L, Leray
It has been shown, theoretically, that AV^^ saturates exponentially with irradiation dose [this volume, Chap. 11]. The saturation values of AV^^ yield the equivalent trap density at the Si-Si02 interface, while the slopes of the curves yield the capture cross section of the trap. Due to large differences in the capture cross sections for holes and electrons, hole trapping dominates at low dose (typically below 1 Mrad(Si02)), whereas electron trapping dominates at high dose (above 1 Mrad(Si02)). Electron trapping is only revealed when irradiation takes place while a large negative applied bias is applied to the substrate (back-gate), i.e. when the generated electrons, separated by the high electric field, are driven to the Si02-Si interface. In this case, electrons trapped in the vicinity of the interface induce a measurable image charge in the silicon substrate. When irradiation is performed while a positive field is applied to the back-gate, the electrons, which escape initial recombination, are swept toward the gate. Even if they are trapped there, the electrostatic influence of this negative trapped charge may not be large enough to induce a measurable threshold voltage shift. The behavious of various types of oxides are compared in Fig. 2.55. Table 2.6 summarizes the data obtained with the method explained in Chap. 11. Data originate either fi-om a literature survey (in this case, raw data have been reinterpreted in accordance with the definition of parameters and method used), or come from original studies [165 a &b]. A correlation clearly exists between the trapping efficiency and the "annealing" temperature, which, depending on the process, can be that of the: • Ultra-High-Temperature Anneal necessary to ensure the uniformity of the SIMOX oxide, • complete oxidation and densification anneal necessary to ensure the stoichiometry and the uniformity of the FIFOS oxide, • silicon recrystallization step for the ZMR process, • bonding and densification steps of the oxides for the BESOI process. The higher the "annealing temperature", the higher the trapping efficiency. Up to 1100°C, the hole trap density increases with temperature, which is consistent with the mechanisms proposed for the general case of thermal oxides (see Chap. 11). Above 1100°C, appears in some cases a large electron trap density, whose origin is still not fiiUy understood. The amount of trapped charge and its influence on the device depend not only on the trap density, but also on the electric field existing in the oxide, on the location of the traps, and on the values of the various trapping and recombination cross sections (a review of trapping mechanisms can be found in Chap. 11). The large values of trapping efficiency given in Table 2.6 have been obtained for very severe substrate biasing conditions which are never encountered in practice. Under normal biasing conditions, the radiation hardness of SIMOX structures may be excellent [10] since there exists a compensation mechanisms between the electron traps and the hole traps (the generated electrons neutralize the trapped holes and vice versa [157 b]. This may not be the case for technologies which do not give birth to electron traps.
213
A Review of Buried Oxide Structures and SOI Technologies Hole Traps
1 BOX type
Oxide Thick ness T ox
Standard SIMOX (C) [166]
3800
Standard SIMOX (C)
3800
A
A
Electron Traps
Trapping cross section
Density of traps
Trapping efficiency
Trapping cross section
Density of traps
^h
%
""k^th
^e
N,e
_2
Oxide process Trapping efficiency
Highest Temperature
Comments
""e-^te
2
.2
cm''
cm-^
0.2
5 xlO-'"
Ixl0l2
0.025
1300°C
Prototype
1.4xl0l2
0.24
2 xlO-"*
I.lxl0l2
0.022
1300°C
Prototype
Manufactured by SOITEC
cm^
cm''
10x10-1"^
2xl0l2
17x10-1"^
[167] Standard SIMOX (C) [164]
4000
5.2 ±1.3 10-14
1.3 ±0.4 10l3
0.68
5.4 ±3.3 10-15
2.5 ±0.3 10l2
0.013
1320°C
A
ITOX SIMOX (C)[164]
1215
3.7 ±1.7
6.6 ±2.2 10^2
0.63
7.9 ± 3.3 10-15
2.4 ± 0.3 1012
0.02
1320°C
ITOX SIMOX (C)[164]
950 A
2.8 ± 0.2 10-13
4.3 ± 0.2 10l2
1.2
15 ± 1 0 10-15
2 ±0.2 1012
0.03
1320°C
Low Dose SIMOX (C) [164]
800 A
2.9 ±0.3 10-13
2.7 ±0.3 10l2
0.78
28 ± 1 6 10-15
7.1 ±0.1 1012
0.02
1320°C
3.10^2
0.36
5.10-1"
1.1012
0.05
1100°C
Densification atllOO°C [119]
5 xl0l2
0.025
(0)
(0)
(0)
900°C
"rad-hard dielectric"
FIFOS (C) CNET samples [166)] BESOI [168]
A
1.2 12.10-1^ nm i 4000
A
0.5 xlO-14
J
bonded at 900°C BESOI UNIBOND [169]
4000
A
ZMR(C) CNET Samples [165 a &b]
1.5 fim
Gate oxide (C) (thermal, wet) [162]
175 A
Gate oxide (C) (thermal, dry) [160]
450 A
6.3 ± 0.2 10-14
1.5 ±0.2 10l3
0.09
35 ± 1 10-15
0.03
9.2 ±0.3 loii
1420°C
10l2
1.3 10-13
3.3 lOll
0.04
(0)
(0)
Thermal oxide bonded at llOO^C
(0)
900°C
For comparison purpose
Thermal 1000°C, dry [15]
4000
lOSO^C, dry [15, 170]
4000
0.5 10-13
5 1012
0.25
(0)
(0)
(0)
1000°C
For comparison purpose
5 10-14
5 1012
0.25
(0)
(0)
(0)
1000°C
For comparison purpose
14.10-14
5.1012
0.60
(0)
(0)
(0)
1050°C
For comparison purpose
A
A
1
214
J.L. Leray Hole Traps
1050°C, dry +UHTA 1320°C [15, 170]
4000
Field oxide (thermal, wet) [157 b]
4000
Electron Traps
36.10-14
1.6.1012
0.57
2.8.10-1'^
1.2.1012
0.035
1320°C
For comparison purpose
1.8 10-1^
8.4 10l2
1,5
(0)
(0)
(0)
950°C
For comparison purpose
A
A
Oxide process
Table 2.6 - Collected data of electron and hole traps encountered in the main varieties of buried oxides and revealed by X- or gamma-rays irradiation. Letter (C) means that the data has been obtained experimentally by the author or his colleagues. vsrsustiasdiiing racfaticn RPOS 2I\/R
OETSarpleB CTETSanples
AUbiiiedM36 f450V,
BESaV\fergB]L0ct93 NRL Hardened Rooess Steam850PQ Bonded at goCfC
BE9aQ4iin
a) ACfcx = -OoxAVt
AQQK
RPOScrersenrte Z^/R
+2id^
OCTSBopleB
Tterrel oxide
^
09 NET m S T I VE ai^«GE HpJETR^^PRNG
BggQjWhnoaroctgs NRL HwdBOBd RooBBS Steem86(rC, BmdBdatgocrc
BE9a0.4 3IVOK 0.38 tiTi -1.5l\Mcm
t
-1l\/M'cm
-f500kV7cm +1 IVK/7cm
Sib^trstetia RPOS 2NR
r^ET NEQOJIVECH^VFGE aHIHFCNTFyvPRNG
b) Fig. 2.55 - Dependence of the radiation-induced trapped charge encountered at the silicon/BOX interface, after a 1 Mrad(Si) irradiation, for several types of oxides, on the field applied during irradiation: a) expressed in voltage, b) converted into charge density.
A Review of Buried Oxide Structures and SOI Technologies 9.5. ADDITIONAL COMMENTS ON RADIATION EFFECTS IN SOI MATERIALS
9.5.1. SIMOX buried oxides At first, the improvements of the SIMOX technology were limited to improving the quality of the top silicon film. Little attention was paid to the quality of the buried oxide until device performances were sufficiently enhanced. It then became clear that the properties of a buried oxide are very different from those of thermal oxides, and can greatly influence the device manufacturing yield, as well as the radiation-induced behavior. The nature of the charge build-up in SIMOX buried oxides has thus been extensively studied, and different characterization techniques have been reported in the literature: X-irradiation [171] or yirradiation[172]; ultra-violet illumination (UV or VUV at 10.2 eV) [173]; and charge injection (holes injected by corona discharge) [174]. Recent studies have confirmed differences between the radiation-induced trapping behavior of thermal oxides and that of the SIMOX buried oxide [171, 172, 175-177]: • In thermal oxides, hole trapping at the interface seems to dominate, whereas in buried SIMOX oxides, results can be interpreted in terms of large bulk trapping. Additionally, it has been widely shown that large electron trapping can also occur in X-ray irradiated SIMOX oxides [14, 157 a]. • Furthermore, SIMOX buried oxides show no sign that interface states are created during irradiation unless very high ionizing doses are used [169, 179]. • Electron paramagnetic resonance measurements reveal an important creation of paramagnetic defects in SIMOX oxides submitted to UV, X-or y-irradiation [171-173, 180]. The density of E ^ centers grows linearly with irradiation dose, and does not exhibit any saturation, at least up to a dose of 200 Mrad (Si02). This result suggests the presence of a large quantity of (defect) precursors (estimated at around 10^^ cm"^) [171, 173]. Moreover, the creation of paramagnetic defects is greatly enhanced by applying an electric field across the oxide during irradiation, whatever the sign of the applied field [178]. Etchback measurements of irradiated samples reveal that the E' centers are generated uniformly in the bulk of the SIMOX oxide [173,181]. Charge injection also generates EL centers, as well as a new type of paramagnetic defect, called the E*5 center [174, 182]. This center differs from the classical E' center, since the spin (unpaired electron) is delocalized in this case. The model proposed to explain its microscopic structure is based on the existence of clusters of five silicon atoms in the oxide, sharing the same unpaired electron. E'5 defects seem to be only observable after a selective hole injection in the oxide, and not after X-irradiation, nor after UV or VUV-illumination. These two types of E' centers, created by hole injection, become diamagnetic after electron injection. Both centers (E*5 and E y) seem to be positively charged when paramagnetic.
215
y.L. Leray
216
The E'5 center is presently believed to have an electron capture cross-section larger than that of the E' center, which would explain why it is not observed after irradiation. In this case, electrons and holes are created simultaneously, and the E'5 readily captures an electron, and thus becomes again neutral and diamagnetic. Electrical measurements of the net trapped charge in the buried oxide do not agree with EPR measurements [171, 183]. The net trapped charge saturates with the irradiation dose, and even in some cases (negative bias during irradiation) reveals the buildup of a net negative trapped charge at high doses. Nevertheless, some characteristics are common between the trapped charge and E' signal measurements: i) both the magnitude of the trapped charge and the EPR signal increase when the appUed electric field increases, whatever its sign; ii) the evolution of the E'y signal and that of the midgap voltage shift are similar during an isochronal anneal at zero bias. A correlation is found between the calculated surface densities of hole traps and the BOX thickness, as illustrated in Fig. 2.56. On the other hand, the density of electron traps does not depend on the oxide thickness, down to a thickness of 100 nm. Below this value, the density decreases noticeably. The simplest interpretation is to suppose that the electron traps are located in the interfacial areas (less than 100 nm away from the silicon interfaces), as opposed to the hole traps which are distributed in the bulk. This result agrees well with recent observations and with a model linking the origin of electron traps to the ultra-high temperature treatment used in SIMOX oxides [105, 181]. The high temperature anneal of an oxide film confined between two silicon layers is shown to result in the degradation of the sandwiched oxide, due to the creation of oxygen-vacancy defects. The physical mechanism producing these defects is related to the out-difiusion of oxygen from the oxide, caused by the oxygen solubility in the silicon layers at this temperature [105, 181]. The density of O interstitials in Si is far from its solubility limit at the anneal temperature, and represents the driving mechanism for this diffusion (see Chap. 11, in this volume). 14 T
10
Electron Traps 10
13 Fig. 2.56-Correlation found between the density of trapped charges at 1 Mrad (SiO^ and the SIMOX oxide thickness [184].
12 10 11 10 10
10 Tox (Angstroem)
10
A Review of Buried Oxide Structures and SOI Technologies
111
This depletion should occur at each interface of the buried oxide. The authors calculated the distance from the interface at which oxygen is gettered during the ultra-high temperature anneal, and found it to be 120 nm. This distance is comparable to the distance at which the electron trap density is found to be lowered, which leads us to propose that the interfacial locations of electron traps could likely be correlated to this oxygen depletion. On one hand, the exact nature of the defect responsible for this negative charge trapping and its relation with the oxygen out-diffusion still remains unclear, although we note that the oxygen vacancy itself has been proposed as an electron trap [185]. On the other hand, the areal density of hole traps is shown to be proportional to the oxide thickness, which confirms the existence of a uniform bulk distribution of hole traps. For standard SIMOX oxides this was confirmed by the study of AV^^ using etch-back experiments applied to the oxide trapped charge as well as to E' defects [157 b]. Modifying the oxygen implantation conditions (oxygen fluence and energy) had no major effect on the trapping properties of the material. In all these SIMOX materials, the electron traps are present in each type of SIMOX. Properties related to holes are independent of the oxide thickness, in the sense that the bulk hole trap density seems to be constant for the material. It confirms that the most damaging factor of the process is the annealing step (temperature and gas ambient) performed on a confined oxide layer. It is the only step that the various SIMOX processes have in common, and it degrades any confined oxide structure (even a thermal oxide, [167]) in a similar way, i.e. by the out-diffusion of oxygen atoms from the oxide, and the generation of oxygen-vacancy related defects. 9.5.2. BESOI buried thermal oxides The behavior of BESOI buried oxides under irradiation is also reported in the literature. Since these insulators are at the origin thermal oxides, one would expect them to exhibit exactly the same charge transport and trapping properties as the original layers. Results, however, show that this is not always the case. It is true that some properties of standard thermal oxides, such as charge transport properties, are still found in BESOI materials. However, the presence of the bonding interface in the oxide greatly modifies the charge trapping properties. Moreover, the use of a high temperature bonding or post-bonding anneal step also contributes to the observed differences. The presence of the intemal bonding interface and its location in the oxide is the key parameter influencing the radiation-induced properties of the BESOI structure. This bonding interface is usually of a relatively poor quality, (e.g. a process-induced fixed negative charge has been found at this interface before irradiation [134, 186]). After irradiation, this interface can efficiently trap both types of carriers [176, 157 a, 188]. Depending on the position of this interface within the buried oxide, the electrostatic influence of its trapped charge can have different effects on the transistor located above. These trap densities at the bonding interface are specific of the BESOI buried oxide, and superimpose on the "natural" trap densities
218
7.L. Leray
located at each Si-Si02 interface (at the top silicon film/oxide and oxide/bulk-silicon interfaces). This material, made from thermal oxide, can thus exhibit deep trapping of both holes and electrons, while typical soft thermal oxides only display hole trapping. The presence of water due to hydrophilic bonding could explain the water-related deep electron traps found in the BESOI buried oxide at the bonding interface [187] both, with and without post-bonding anneal. High temperature anneals on such Si-Si02-Si sandwich structures have been shown to induce a chemical reduction of the buried oxide [105, 167, 176]. This is of course the case in BESOI materials as well, and such annealed structures, while having less macroscopic defects (such as voids at the bonding interface), then display an enhanced quantity of oxygenvacancy-related defects. Their radiation-induced behavior tends to look like that of SIMOX buried oxides [167, 176]. The same types of defect precursor (oxygen vacancies) are induced in the material, and the same defects are encountered, regardless of the method used initially to fabricate the oxide. 10. CONCLUSION 10.1. THE FAST EVOLUTION OF BOX TECHNOLOGIES
Table 2.7 summarizes the fast evolution of BOX technologies and illustrates the impact that progress in materials has had on this evolution. At the end of the 70's, the SOS technology dominated the market due to well-established positions gained in the late 60*s. Although many new technologies have emerged since then, and although SOS structures still feature a large density of defects, the SOS technology is still used to manufacture components for satellites. This is due to the high degree of skill of existing manufacturing lines and to the fact that SOS devices meet strict reliability standards. SOS technologies may generate new interest for very high frequency applications [41]. In the early 80*s, the SIMOX technology emerged due to the excellent physical and electrical properties of this material and to the wider availability of industrial ion implant machines [189]. Between 1985 and 1992, the defect density in the top silicon surface was reduced at the constant rate of one decade per year and has now become comparable to that of bulk silicon [12]. SIMOX is widely used for advanced applications making use of very thin (30-50 nm) silicon films. Wafer bonding emerged also in the 80's and is currently fast developing. It will allow the production of large-size wafers, provided the difficulties encountered with the thinning techniques and the interface roughness can be overcome. New wafer manufacturing companies keep appearing on the market. Wafer bonding, already being used for commercial devices [127 b, 190, 191], also offers excellent possibilities for the production of 3D structures.
A Review of Buried Oxide Structures and SOI Technologies
1 DATE
219
MAIN ACHIEVEMENTS
COMMENTS
30's
The MIS transistor (historical patent)
Semiconductor processing is not mature enough: purity of materials is the most serious handicap
40's-50's
The Shockley MOS device
Oxide processing is not mature enough: oxides are contaminated and electrically unstable
60's
Monocrystalline Silicon On Sapphire
Heteroepitaxy. Demonstration of the Silicon On Insulator Concept
Amorphous Si on glass
Thin-Film Transistor
ZMR by laser beam
Recrystallization of silicon on Si02
ELO
Seeded lateral growth of Si on Si02
SIMOX
Si02 is produced by implantation of oxygen
1
FIFOS
Si02 is produced by directed selective oxidation
1
85
ZMR by Strip Heater BESOI
| 1 |
90's
Ultra-thin SIMOX
Recrystallisation of Si across the full wafer Surface bonding of wafers by hydrophilization Applications to sensors and micromachines Silicon layers as thin as 50 nm can be produced with small roughness on 80-nm buried oxide Vertical bonding and interconnects Generalization of SOI for 0.1 micron transistors?
70's
80's
95 2005's
3D? BESOI
| | 1
Table 2.7 - Evolution and main achievements in the field of SOI structures.
In the domain of micromachines and sensors, wafer bonding offers a unique way of making hollow or sealed cavities [192], with or without added diaphragms. Applications include pressure transducers, microvalves, liquid shear-stress sensors, resonant load cells and accelerometers [193]. Figure 2.57 illustrates progress made by the various BOX technologies using "circuit complexity" as a performance criterion. Other criteria such as radiation hardness, temperature resistance, and 3D packing density could also be used. (Similar criteria in the field of micromachines are not easy to define). Figure 2.57 clearly shows that CMOS/SOI will become a mature technology in the late 90's and will make it possible to solve the problems associated with the manufacturing of 64-, 256- megabit and 1-gigabit DRAMs [194] at the tum of the century. 10.2. PERSPECTIVES
The versatility of BOX structures allows applications in many innovative devices such as: • mixed-mode analog-digital circuits making use of bipolar, junction field-effect (JFET), and CMOS transistors merged on the same chip, • "smart-power" circuits, i.e. circuits associating medium voltage transistors and integrated CMOS drivers, • high temperature circuits, • sensors, actuators and micro-machines.
220
/.L. Leray
1993-95
Fig. 2.57 'Learning curves of the main SOI technologies. The number of transistors per chip is used as an indicator of how mature the technology is [195].
i BESa
Sum 4um Sum 2um
t I I I I
1000A 2000 3000 isOGA 3800i
300 um..
.MiJCi3BiJl.i
Fig. 2.58 - Illustration of the ranges of application of the SOI technologies, obtained by plotting the buried oxide thickness and the silicon film thickness on the X and Y axis, respectively. The mapping lets us clearly identify which structure is best suitedfor a given application [195].
A Review of Buried Oxide Structures and SOI Technologies
221
Figure 2.58 illustrates a two-dimensional classification of SOI technologies based on two criteria: thickness of the buried oxide and thickness of the silicon film. This classification should enable designers to select a SOI technology for a given application. It shows that there is no unique answer regarding the future of BOX and SOI technologies [195]. Although the major trend in microelectronics today is to go toward submicron technologies, other integration techniques are currently emerging. Most of them use the buried insulator concept, either for process simplification, or for electrical insulation or as an active layer contributing to the transistor field-effect. Examples are: integrated micro-machines and sensors with built-in electronics as well as mixed electronic and optical devices on silicon wafers. Generalized multilevel wafer bonding could also substitute for the current technologies used for Multi-Chip Modules (MCM). Potential applications of BOX technologies are numerous and depend primarily on the properties of the starting material. The use of buried insulators could open the era of "Anything On Anything". 11. ACKNOWLEDGEMENTS The author would like to thank Dr. Roderick A. B. Devine for his early encouragement to write this paper, and Dr. Gerard Barbottin for his help in reviewing this text and improving its clarity and coherence. Drs. Akos Revesz and William L. Warren contributed also many useful comments on many subjects. The matter treated in this chapter results also in a large part from the good work done with experts of the Grenoble Area in FRANCE these last twenty years: Yvon Gris, Michel Montier, Joseph Borel, Gerard Borel, Guillermo Bomchill and Michel Haond, Jean-Pierre Joly, Michel Bruel, Claude Jaussaud, Pierre Felix, Andre-Jacques Auberton-Herve, Jean-Michel Lamure, Jacques Margail, Bemard Aspar. My colleagues JeanMarie Chariot, Eric Dupont-Nivet, Olivier Flament, Veronique Ferlet, Philippe Paillet also contributed as colleagues to the data given in this work. Their help is sincerely acknowledged. Special thanks are due to the editors G. Barbottin and A. Vapaille, who carefully reviewed this chapter and suggested many improvements in presentation. I would like also to apologize to those workers in the SOS-SOI field whose publications or work I have inadvertently missed. So many stars in the Sky, so many SOI processes in this World, so few days on Earth!
222
7.L. Leray
12. REFERENCES [I] [2] [3] [4] [5] [6]
[7] [8]
[9] [10]
[II]
[12] [13] [14] [15]
[16] [17] [18] [19]
[20 a]
J.E. Lilienfeld, US Patent 1,745,175 "Method and apparatus for controlling electric current" (filed in 1926, issued in 1930) J.E. Lilienfeld, US Patent 1,900,018 "Device for controlling electric current" (filed in 1928, issued in 1933), US Patent 1,877,140 "Amplifier for electric current" (filed in 1928, issued in 1932) O. Heil, German Patent 439,457 March 2, 1934 W. Shockley: Electrons and Holes in Semiconductors (Van Nostrand, 1950) W. Shockley and G.L. Pearson, "Modulation of conductance of thin fihns of semiconductors by surface charges" Phys. Rev, 74 (1948) 232 J.L. Leray, E. Dupont-Nivet, P. Lalande, O. Musseau, Y.M. Coic, A.J. Auberton-Herv6, M. Bruel, C. Jaussaud, J. Margail, "From substrate to VLSI: investigations of hardened SIMOX without epitaxy for dose, dose rate and SEU", IEEE Trans, on Nucl ScL, 35, n°6, 1355, December 1988, following the International Conference on Nuclear and Space Radiation Effects on Electronics, Portland, Oregon, July 1988 D. G. Platteter, T.F. Cheek, "The use of multiple oxygen implants for fabrication of bipolar Silicon-OnInsulator Integrated Circuits", IEEE Trans, on Nucl. ScL, 35, n%, 1350 (Dec. 1988) E. Dupont-Nivet, E. Delagnes, J.L. Leray, J.L. Martin, J. Montaron, J.P. Blanc, E. Delevoye, J. Gauthier, J. de Pontcharra, R. Truche, E. Beuville, M. Dentan, N. Fourches, "A Hardened Technology on SOI for Analog devices", IEEE Trans, on Nucl. ScL, 39 n°3, June 1992 M.N. Darwish, M.C. Dolly and C.A. Goodwin "Radiation Effects on Power Integrated Cu-cuits", IEEE Trans, on Nucl. ScL, 35, n°6, 1547 (Dec. 1988) J.L. Leray, E. Dupont-Nivet, J.F. P6r6, Y.M. Coic, M. Raffaelli, A.J. Auberton-Herv6, M. Bruel, B. Giffard, J. Margail, "CMOS/SOI Hardening above 100 Megarad(Si02): Limits and Liabilities", IEEE Trans, on Nucl. ScL, 37, n°6, Dec. 1990, following the IEEE International Conference on Nuclear Science and Radiation Effects, Reno, Nevada 17-20 July 1990 O. Flament, J.L. Leray, O. Musseau, "Radiation Effects on ICs and a Mixed Analog CMOS-NPNPJFET on Insulator Technology", in [197] Low-power HF Microelectronics, edited by Gerson A. Machado, the IEEE Circuits and Systems Series 8, ISBN 0 85296 874 4, pp. 185-207 (1996) B. Davari, H.J. Hovel, G.G. Shahidi, "SOI technology Outlook for Sub-0.25 micron CMOS, Challenge and Opportunities" Proc. of the 1993 IEEE SOI Conference J.-P. Colinge, Silicon-On-Insulator Technology: Material to VLSI, Kluwer Academic Publishers, Boston, (2nd edition, 1997) ISBN 0-7923-8007-X T. Ouisse, S. Cristoloveanu and G. Borel, "Electron Trapping in Irradiated SIMOX Buried Oxides" IEEE Electron Dev. Letters, 12, n°6 (1991) 312 P. Paillet , P. Gonon , C. Schwebel , J. L. Leray, "Comparison of X-Ray-Induced Electron and Hole Trapping in Various Materials (YSZ, SIMOX, thermal Si02)", Journal of Non-Crystalline Solids 187 (1995) pp. 170-174, L. Cohn, H.H. Hosak, R. Cheme, P. Fechner, "SIMOX circuits reliability" Proc. of the 1993 SOI Conference, ISBN 0-7803-1348-8 (1993) 158 C. Brisset, "Etudes Th^oriques par Simulation Monte-Carlo 3D de la Sensibility aux Irradiations des technologies CMOS/SOI" Thdse de Doctorat, University d'Orsay-Paris 11, Orsay, France, 1994 A. Abramo, C. Fiegna, "Electron energy distributions in silicon structures at low applied voltage and high electric fields" J. Appl. Phys., 80 (2), 15 July 1996, 889 Y. Takeishi, K. Natori, S. Iwabuchi, "Challenge in semiconductor technologies in the 1990's. A view from Japan" 20th International Conference on the Physics of Semiconductors, Thessaloniki, Greece, Aug. 6-10 (1990) J.P. Colinge, "SOI Technology", in [197] Low-power HF Microelectronics, edited by Gerson A. Machado, the lEE Cu-cuits and Systems Series 8, ISBN 0 85296 874 4, pp. 185-207 (1996)
A Review of Buried Oxide Structures and SOI Technologies [20 b] [21] [22] [23] [24]
[25] [26] [27] [28] [29]
[30] [31]
[32] [33] [34] [35]
[36]
[37]
[38]
223
H.K. Lim and J.G. Fossum, "Threshold voltage of thin-fihn silicon-on-insulator (SOI) MOSFETs", IEEE Trans. On Electron Devices, 30, p. 1244 (1983) S. Christoloveanu, S.S.Li, Electrical characterization of Silicon-On-Insulator materials and devices, Kluwer Academic Publishers (1995), ISBN 0-7923-9548-4 K. Suzuki, A. Satoh, T. Sugii, "Counter doping into uniformly and heavily doped channel region of sub 0.1 ^m SOI MOSFETs", IEEE Electron. Dev. Letter 17, 1 Jan. 1996, 1 W. A. Krull and J.C. Lee, "Demonstration of the Benefits of SOI for High Temperature Operation" Proc. of the 1988 IEEE SOS/SOI Workshop, IEEE (1988) 69 D.P. Vu, M.J. Boden, W.R. Henderson, N.K. Cheong, P.M. Zavracky, D.A. Adams and M.M. Austin, "High Temperature Operation of ISE devices and Circuits" Proc. of the 1989 IEEE SOI Conference, IEEE catalog n°89CH2796-l (1989) 165 R.R. Grzybowski, S.M. Tyson, "High Temperature Testing of SOI Devices to 400°C" Proc. of the 1993 SOI Conference, ISBN 0-7803-1346-1/93 (1993) 176 P.C. Karulkar, "Ultra-thin SOI MOSFETs at High Temperature" Proc. of the 1993 SOI Conference, ISBN 0-7803-1346-1/93 (1993) 136 L.K. Wang, "0.5 \xm gate CMOS/SOI technology using optical mix lithography" Proc. of the 1986 Symposium on VLSI Technology (1986) 359 S.S. Tsao,"Porous Silicon Techniques for SOI Structures" IEEE Circuits and device Magazine, 3, n°6 pp. 3-7 (1987) A.J. Auberton-Herve, M. Bruel, C. Jaussaud, J. Margail, W. d'Hespel, J.F. Pere, A. Vitez, A. Tissot, "A CMOS 1.4 jLim Technology on 1300°C Annealed SIMOX Substrate without epitaxy" Proc. of the VLSI Symposium, San Diego, CA (1988) J.P. Colinge, M.H. Gao, A. Romano-Rodriguez, H. Maes and C. Claes, Proc. of the 1990 IEEE lEDM, p. 595 (1990) S.R. Wilson, B.-Y. Hwang, J. Foerstner, T. Wetteroth, M. Racanelli, J. Tsao and M. Huang, "SiliconOn-Insulator Technology and Devices" Proc. of the 6th international Symp. "Silicon-On-Insulator Technology and devices" edited by S. Cristoloveanu, (The Electrochemical Society, 1994) pp. 413-424 A.H. Pawlikiewicz, "High-tolerance to radiation effects and low noise performance of ACUTE-a complementary bipolar SOI IC technology", IEEE Trans, on Nucl Sci. 43, n° 2, 761, April 1996 K. Joardar, "A simple approach to modeling cross-talk in integrated circuits" IEEE J. Solid State Circuits, p. 1212, Oct. 1994 A. Kamgar, S.J. Hillenius, H.I. Cong, R.L. Field and J.C. Sturm, "Ultra-high speed CMOS circuits m thin SIMOX fihns". Technical Digest ofIEDM(thQ IEEE, 1989) p. 829 P.A. Tove, K.F. Bohlin, F. Masszi, H. Norde, J. Nylander, M. Rosling, J. Tiren, A. Soderbarg and N. Masszi (Uppsala University, Sweden), "Complementary silicon Mesfets (CMES) using Silicon-OnSapphire technology, presented at COMP-EURO (1987) A.K. Agrawal, M.C. Driver, M.H. Hanes, H.M. Hobgood, P.O. McMuUin, H.C. Nathanson, T.W. O'Keffe, T.J. Smith, J.R. Szedon, R.N. Thomas, "MICROX - An Advanced Silicon Technology for Microwave Circuits up to X-Band" Techn. Digest oflEDM, p. 687 (1991) M.H. Hanes, A.K. Agrawal, T.W. O'Keffe, H.M. Hobgood, J.R. Szedon, T.J. Smith, R.R. Siergiej, P.O. McMullin, H.C. Nathanson, M.C. Driver, R.N. Thomas, "MICROX™ - An All Silicon Technology for Monolithic Microwave Integrated Circuits", Techn. Digest oflEDM, (1993) p. 182, IBSN 0-7803-1346-1 (1993) 4 and IEEE Electron Devices Letters, 14, n°5 (1993) 219-221 V. Ferlet-Cavrois, C. Marcandella, J. L. Pelloie, C.Raynaud, O. Faynot, "High Frequency Performances of a SOI Technology for Low-Power, Low-Voltage Applications", 1997 SOI Symposium, Electrochemical Society (1997) th
[39] [40]
P. Sian "Advanced SOI process boost performance". Electronic design, Aug. 4 , 1997, p. 25. I. Lagnado, P. de la Houssaye, "TFSOS: Quo vadis ?" 1997 Joint International ECS-ISE Meeting", Paris, (The Electrochemical Society, 1997)
224
[41] [42] [43]
[44]
[45] [46] [47] [48] [49] [50] [51] [52] [53] [54]
[55] [56] [57] [58] [59]
[60 a] [60 b]
[60 c] [61]
y.L. Leray
Peregrine Semiconductors Corporations, San Diego, Ca, USA, "An ultra-thin silicon technology that provides integration solutions on standard CMOS", Microwave journal, January 1998, p. 198 J.M. Carroll, "Microelectronics circuits and applications, McGraw-Hill Book Company, Library of Congress Catalog Card Number 64-8615 (1965), especially pp. 137-153 H.C. Tuan, "Amorphous Silicon Thin Fihn Transistor and its Applications to Large-Area Electronics" Material Research Society Proceedings, Vol. 33, ed. H.W. Lam and M.J. Thompson (North Holland, 1984) pp. 247-257 G. M. Dohiy, A.C. Ipry, G.E. Nostrand, C.F. Wheatley and P.J. Wodarczyck, "Silicon on Insulator Approach for Power IC's integrating vertical DMOD and polycristalline Silicon CMOS thintransistors", IEEE Electron Devices Letters, 13, n° 10, 516-518 (Oct. 1992) P. K. Weuner, "The TFT - A new Thin-Fihn Transistor", Proc. of the IRE, p. 1462-1469 (1962) I. Golecki, "The Current Status of Silicon on Sapphire and other heteroepitaxial Technologies" Material Research Society Proceedings, Vol. 33, ed. H.W. Lam and M.J. Thompson, (North Holland, 1984) p. 3 H.M. Manasevit and W.I. Simpson, "Single-crystal silicon on a sapphire substrate" J. Appl Phys. 35 (1964)1349 F.P. Heiman,"Thin fihn Silicon On Sapphire Deep depletion MOS Transistor" IEEE-ED 13, n°12 (1966)855 T. Sato, J. Iwamura, H. Tango and K. Doi, "CMOS/SOS VLSI Technology", Material research Society Proceedings, Vol. 33, ed. H.W. Lam and M.J. Thompson, (North Holland, 1984) p. 3 J. E.A. Maurits, "SOS Wafers - Some Comparison to Silicon Wafers", IEEE Trans on ED., 25, n°8, 859-863 (Aug. 1978) P. Gentil, "Simple method for evaluating electronic properties at the silicon-sapphire interface". Electronic letters, 16, n°16, pp. 617-618, (1980) A.M. Goodman, "An investigation of the silicon-sapphire interface using the MIS capacitance method" IEEE Trans. Electron Devices, ED-22, 63 (1975) S. Cristoloveanu, G. Ghibaudo, G. Kamarinos, "Le mat^riau silicium sur saphir en FRANCE. Revue des propri^t^s physico-chimiques et ^lectriques", Revue Phys. Appl. 19, pp. 161-185 (1984) J.L. Repace, "Radiation and negative charge in SOS capacitors", IEEE Trans. Nucl. Sci., 6 (Dec. 1978) and J.L. Repace, A.M. Goodman, "The effect of process variations on interfacial and radiation-induced charge in silicon on sapphire capacitors", IEEE Trans, on Electron Devices, Special issue "SOS", Aug. 1978 J. Tihanyi, "Measurement of the profiles of electrical properties in epitaxial silicon fihns on insulating substrates", European Solid State device Research Conference, paper A 4.2, Mtinchen (1974) S. Cristoloveanu, S. Chovet, G. Kamarinos, "Non-uniform recombination on thin Silicon-On-Sapphire fihns" Solid State Electronics, 21, 1563 (1978) S. Cristoloveanu, Thdse d'Etat de doctorat ^s Sciences Physiques, Institut National Polytechnique, Grenoble, 1981 P. Senn, Thdse de Docteur-Ing^nieur, Institut National Polytechnique, Grenoble, 1988 A. C. Ipri, "The properties of Silicon-On-Sapphire substrates, devices and integrated circuits", in Silicon Integrated Circuits, Part A, edited by D. Kahng, Applied Solid States Supplements, Vol. 2, Academic Press (1981), pp.253-395 H. Schl5terer, "Interface properties of Si on sapphire and spinel", J. Vac. Sci. TechnoL, 13, n° 1, pp. 2936 (1976) M.S. Abrahams, J. Blanc, C.J. Buiocchi, W.E. Ham, "Shear strain at comers and edges of epitaxial Silicon On Sapphire" J. Appl. Phys. 49, (1978) p. 652 and M.S. Abrahams and C.J. Buiocchi, Appl Phys. Lett. 27, (1975) p. 325 E. Preuss and H. Schlotterer, "Silicon-On-Sapphire devices: realisation, properties and applications", Inst. Phys. Conf. Ser. N° 40, (1978) pp. 7-31 (esp. p. 14). P. Gentil, S. Chausse, Solid State Electronics, 20, 935 (1977)
A Review of Buried Oxide Structures and SOI Technologies
[62] [63] [64]
[65] [66a]
[66b] [67] [68] [69]
[70]
[71] [71a] [71b] [72] [73]
[74] [75]
[76]
[77]
[78]
225
A. Touboul, G. Pelloux, G. Lecoy, A. Chouajaa, P. Gentil, Revue de Physique Appliquee, 13, 227 (1974) M.E. Roulet, P. Schwob and I. Golecki, Electron. Lett,, 15, 527 (1979) D.J. Smith, L.A. Freman, R.A. McMahon, H. Amed, M.G. Pitt, T.B. Peters, "Characterization of Siimplanted and electron-beam-annealed silicon-on-sapphire using high-resolution electron microscopy", J. Appl Phys., 56, (8), pp. 2207-2211 (1984) D. Pribat, L.M. Mercandalli, J. Siejka, J. Perriere, "Interface oxidation of epitaxial silicon deposits on (100) yttria stabilized cubic zh-conia", J. Appl Phys., 58, p. 313, (1985) P.K. Vasudev and D.C. Mayer, "Characterization of CMOS devices in 0.5 |im Silicon-On-Sapphire films modified by solid phase epitaxy and regrowth (spear)", Mat. Res. Soc. Symp. Proc. Vol. 33, pp. 35-39 (1984) H.M. Manasevit and D.H. Forbes, J. Appl Phys. 37 (1966) 734 G. Shimoka and S.C. Chang, J. Vac. Sci. Technology, 6,235, (1972) F.J. Himpsel, U.O. Karlsson, F.R. McFeely, J.F. Morar, D. Rieger, A. Taleb-Ibrahimi and J.A. Yarmoff, Material Science and Engineering, Bl, pp. 25-39, (1988) P. Hesto, C. Pellet, C. Schwebel, A. Bosseboeuf, D. Bouchier, E. Dupont-Nivet, J.L. Leray, M. Raffaelli; "Durcissement du transistor MIS a canal superdope en silicium epitaxie sur zircone". Annates de Physique, ANPHAJ 14 (6), p. 557, Dec. 1989 M. Ihara, Y. Arimoto, M. Jifuku, T. Yamaoka, K. Kurokawa, "Spinel-isolated High-Voltage ICs", IEEE 28* Int. Conf on Solid-State Circuits, pp. 210-211 (1981) and High Voltage Integrated Circuits, ed. B.J. Baliga, IEEE Press, PC0232-9, 1988 J. Maserjian, "Single-crystal germanium fihns by micro-zone melting", Solid-State Electronics 6, pp. 477-484 (1963) A.J. Auberton-Herv^, J.P. Joly, P. Jeuch, J. Gautier, J.M. Hode, "Device performance of a submicron SOI technology", IEEE Proceedings of the International Electron Devices Meeting (lEDM, 1984) M. Haond, D. Bensahel and D. Dutartre, "Fabrication of thick films of Silicon-On-Insulator substrates by using a scanning halogen lamp system". Electronic Letters, 20, n° 24, 22"^ Oct. 1984 G.L. Hutchins and R.A. Laff, "Fabricating isolated device-quality semiconductor fibn areas on a foreign substrate using laser melting" IBM Technical Disclosure Bulletin, 16, n° 10 (1974) J.M. Hode, "Silicium sur isolant par microfusion de zone laser. Optimisation du materiau analyse des m^canismes". These de Doctorat en Sciences physiques, Institut National polytechnique de Grenoble, France (1989) B.-Y. Tsaur, "Zone-Melting Techniques for SOI Structures", IEEE Circuit and Devices Magazine, pp. 12-16, 1987 P.M. Zavracky, "ISE technology: a flexible SOI solution", Proc. of the 4th international symposium on Silicon-On-Insulator technology and Devices, Vol. 90-6, The Electrochemical Society, pp.49-60, (1990) A.J. Steckl, C. Yuan, Q.Y. Tong, U. G5sele, M.J. Loboda, "SiC SOI structures by direct carbonization conversion and post growth from silacyclobutane", in: Silicon-On-Insulator Technology and Devices, Proc. of the 6th international Symp. On Silicon-On-Insulator Technology and devices, edited by S. Cristoloveanu, (The Electrochemical Society, 1994) T. Nishimura, Y. Inoue, K. Sugahara, S. Kusonoki, T. Kumamoto, S. Nakagawa, M. Nakaya, Y. Horiba, and Y. Akasaka, "Three-dunensional ICs for high performance image signal processor", Proc. of the 1987 lEDM Conference, p.l 11 (1987) M.W. Watanabe, A. Tool, "Formation of SiOj fihns by oxygen-ion bombardment", Japan J. Appl Phys, 5, 737, (1966)
226
[79]
[80] [81] [82] [83 a]
[83 b] [84 a]
[84 b] [85] [86 a] [86 b] [87]
[88] [89]
[90] [91] [92] [93] [94]
[95]
[96] [97]
J,L,
Leray
V.M. Gusev, M.I. Guseva, V.I. Kurinnyy, V.V. Titov, V.S. Tsyplenkov, E.K. Baranova, L.P. Strel'tsov, "An investigation of the properties of the oxide fibn produced by atomic oxygen ion bombardment of silicon, and its use as protection for p-n junctions", Radio Eng. & Electron Phys., 16, pp. 1357-1361,(1971) K. Izumi, M. Doken and H. Ariyoshi, "CMOS devices fabricated on buried SiOj layers formed by oxygen implantation into silicon". Electron. Lett., 14, pp. 593-594, 1978 P.L.F. Hemment, "Silicon On Insulator by High Dose Implantation" Proc. of the Material Research Society, Vol. 33 (H.W. Lam, M.J. Thompson Editors, North Holland 1984) pp. 41-51 S. Nakashima, M. Akiya, K. Kato, "Electric-Field-Shielding layers formed by oxygen implantation into silicon". Electron. Lett., 19, pp. 568-570, (1983) B. Aspar, C. Pudda, A.M. Papon, A.J. Auberton-Herv6, J.M. Lamure, "Ultra-thin buried oxide layers formed by low dose SIMOX processes", in: Silicon-On-Insulator Technology and Devices, Proc. of the 6th international Symp. On Silicon-On-Insulator Technology and devices, edited by S. Cristoloveanu, (The Electrochemical Society, 1994) M.H. Cohen, H. Fritzche, S.R. Ovshinsky, "Simple band model for amorphous semiconducting alloys", Phys. Rev. Lett. 22, pp. 1065-1068, (1969) C O . Tuppen, M.R. Taylor, P.L. Hemment, R.P. Arrowsmith, "Effects of implantation temperature on the purpose of buried oxides layers in silicon formed by oxygen ion implantation", Appl. Phys. Lett., 45, pp. 57-59, (1984) S. Nakashima, Y. Madea, M. Akiya, "High-Voltage CMOS SIMOX technology and its applications to a BSH-LSI", IEEE Transaction on Electron Devices, ED-33, pp. 126-132, (1986) J. Stoemenos, C. Jaussaud, M. Bruel, J. Margail, "New Conditions for Synthesizing SOI Structures by High Dose Oxygen Implantation" Journal ofCryst. Growth 73, pp. 546-550 (1985) M. Bruel, J. Margail, C. Jaussaud, A.J. Auberton-Herv^, J. Stoemenos, "Silicon-On-Insulator by oxygen hnplantation: an advanced technology" Microelectronic Engineering 8, pp. 149-161, (1988) J. Jablonski, M. Saito, M. Imai and S. Nakashima, "Gettering layer in low-dose SIMOX wafers", Proc. of the 1995 IEEE International SOI Conference, pp. 34-35, ISBN 0-7803-2549-4 (1995) S. Masui, T. Nakajima, K. Kawamura, T. Yano, I. Hamagushi, K. Kajiyama and M. Tashimori, "Evaluation of fixed oxide charge and oxide-silicon interface trap densities in low-dose and high-dose SIMOX wafers", 1994 IEEE International SOI Conference, and: Nippon Steel documentation (1995) available at Advanced Technology Materials, 7 Commerce Drive, Danbury CT 06810, USA F. Namavar, E. Cortesi, B. Buchanan and P. Sioshansi, "Low Energy SIMOX (LES)" Proc. of the 1989 SOI Conference, IEEE catalog number 89CH2796-1, p. 117, (1989) B. Aspar, C. Pudda, A. Garcia, C. Guilhamenc, A.J. Auberton-Herv^, J.M. Lamure, "Buried oxides formed by low dose SIMOX processes", presented at the Insulating Fihns on Semiconductors (INFOS) Conference 1995 and Microelectron. Eng 28, p 411 (1995) NTT documentation, Nippon Telegraph and Telephone, 1995 (Japan) SOITEC documentation, 1995 available at 1, Place Firmin Gautier, 38000 Grenoble, France KOMATSU documentation, n° 95061000, (1995), 2612 Shinomiya, Hiratsuka City, Kanagawa 254, Japan G. Zimmer and H. Vogt, IEEE Trans, on Electron Devices, 30 (1983) 1515 W. Skorupa, K. Wollschlager, R. Grotzschel, J. Sch5neich, E. Hentschel, K. Kotte, F. Stary, "Properties of buried insulating layers in silicon formed by high dose implantation at 60 keV", Nuclear Instruments and Methods, B32, pp. 440-445, (1988) K.J. Reeson, P.F.L. Hemment, CD. Meekison, CD. Marsh, G.R. Brooker, R.J. Chater, J.A. Kibier, J. Davis, "Buried layers of silicon oxynitride fabricated using ion beam synthesis". Nuclear Instruments and Methods, B32, pp. 427-432, (1988) A. Revesz and A.J. Auberton-Herv^, private communications P. Roitman and M. Edelstein, Proc. 1990 IEEE SOS/SOI Tech. Conf., Key West, Florida, October 2-4, 1990, ISBN 0-87942-573-3, p. 154, (1990)
A Review of Buried Oxide Structures and SOI Technologies
[98] [99 a] [99 b] [100]
[101] [102] [103] [104 a]
[104 b] [105]
[106] [107] [108] [109] [110]
[111] [112] [113] [114] [115] [116] [117] [118] [119]
227
M J . Anc and W.A. Krull, "Sources of SIMOX buried oxide leakage" Microelectron. Eng. 28, p. 407, (1995) G.A. Brown and A.G. Revesz, Proc. of the 1991 IEEE Int. SOI Conf, Vail Valley, Colorado, October 1-3, 1991, ISBN 0-7803-0184-6, p. 174, (1991) G.A. Brown, A.G. Revesz, "Defect electrical conduction in SIMOX buried oxides" IEEE Trans, on Electron devices, 40, n°9, pp. 1700-1705 (1993) D. Venables, S.J. Krause, J.C. Park, J.D. Lee and P. Roitman, "Effect of Single vs. Multiple Implant Processing on Defect Types and Densities in SIMOX" Proc. of the 1993 IEEE Int. SOI Conf., Pabn Springs, (Ca) USA, October 5-7, 1993, ISBN 0-7803-1346-1, p. 48, (1993) U. Gosele, M. Reiche and Q.Y. Tong, "Properties of SIMOX and bonded SOI material" Microelectron, ^«g. 28, p. 391, (1995) G. Brebeck, R. Seguin, C. Stella, J. Benevot, C. Martin, Acta Metallica 28, p. 327 (1980) A.G. Revesz, G.A. Brown and H.L. Hughes, "Bulk electrical condition in buried oxide of SIMOX structures", J. Electrochem. Soc, 140, pp. 3222-3229 (1993) A.G. Revesz and H.L. Hughes, "The defect structure of buried oxide layers in SIMOX and BESOI structures", in [196] NATO ASI series, 3. High technologies - Vol. 4 (1995), Kluwer, ISBN 0-79233600-3, pp. 133-156 J.H. Yap, T. Maung, J. Nee, E. Simic and J.E. Chung, "Conduction mechanisms through SIMOX buried oxide", Proc. of the IEEE SOI Conference, IEEE catalog. 0-7803-1346-1 (1993) 32 R.A.B. Devine, D. Mathiot, W.L. Warren, P. Paillet, J.L. Leray, "Processing-Induced Degradation in SiSi02 Systems", in "Le Vide : science, technique et applications" supplement n° 275, Mars 1995 following the 2nd International Conference on Space Charge in Solid Dielectrics, 2-7 April 1995, Antibes-Juan-les-pins, France L.F. Giles, A. Nejim, C D . Marsh, P.L.F. Hemment and G.R. Booker, Proc. of the 1993 IEEE Int. SOI Conf., Pabn Springs, (Ca) USA, October 5-7, 1993, ISBN 0-7803-1346-1 (1993), p. 48 C. Tsamis, D. Tsoukalas, N. Guillemot, J. Stoemenos and J. Margail. Proc. of the Insulat. Fihns Int. SOI Conf., Pahn Springs, (Ca) USA, October 5-7, 1993, ISBN 0-7803-1346-1 (1993), p. 54 G.K. Celler, "Future prospects for SIMOX technology" Proc. of the 6* Int. Symp. on Silicon Mat. Sci. and Tech. (The Electrochemical Society, 1990), Vol. 90-7, p. 472 J.M. Lamure, B. Biasse, C. Jaussaud, A.M. Papon, J.F. Michaud, F. Gusella, C. Pudda, A.M. Cartier, A. Soubie and J. Margail, Proc. of the 1990 IEEE SOI Conference, ISBN 0-87942-573-3 (1990) p. 45 H. Gassel, H. Vogt, "Improvements of SIMOX buried oxide breakdown voltage by multistep and multiple energy implantation", in: Silicon-On-Insulator Technology and Devices, Proc. of the 6th Inter. Symp. On Silicon-On-Insulator Technology and devices, edited by S. Cristoloveanu, (The Electrochemical Society, 1994) pp. 357-366 K. Imai, Solid-State Electronics, 24, p. 59, (1981) Morrazzani, These de I'Universit^ Paris VII, February 20**^, 1996 R.L. Smith, S.D. Collins, "Porous silicon formation mechanisms", J. Appl Phys. 71 (8), April 92 J.J. Yon, K. Barla, R. Herino, G. Bomchil, "The kinetics and mechanism of oxide layer formation from porous silicon formed on p-Si substrates", J. Appl Phys., 62 (3), Aug. 1987, pp. 1042-1044 G. Bomchill, R. Herino and K. Barla, "Porous silicon for Silicon-On-Insulator technologies" Proc. MRS Europe (Strasbourg, 1985), Editions de Physique, France, pp. 463-474 (1985) K. Anzai, F. Otoi, M. Ohnishi, H. Kitabayashi, "Fabrication of high speed 1 micron FIPOS/CMOS", lEEEIEDM, pp. 796-799, (1984) S.S. Tsao, T.R. Guilinger, M.J. Kelly, J.A. Knapp, H.J. Stein, J.C. Barbour, "Oxides and oxynitrides of porous silicon", Proc. of the Material Society Fall Meeting, Boston, Vol. 107, p. 429 (Nov. 1988) K. Barla, G. Bomchil, R. Hermo, A. Monroy, Y. Gris, "Characteristics of SOI CMOS circuits made in N/N^/N oxidized porous silicon structures". Electronics Letters, 22, n°24, 20 Nov. 1986, pp. 1291-1293 K. Barla, G. Bomchil, R. Herino, A. Monroy "SOI technology using buried layers of oxidized porous Si", IEEE Circuits and Devices Magazine, Nov. 1987, pp. 11-14
228
[120]
[121]
[122] [123] [124] [125] [126] [127 a] [127 b] [128]
[129] [130]
[131] [132] [133] [134] [135] [136] [137 a]
[137 b] [138] [139 a]
y.L. Leray
L.M. Mercandalli, D. Dieumegard, S. Cristoloveanu, J.L. Leray, A. Umbert, J.J. Aubert, "CMOS/SOZ and CMOS/SOOZ: A device-quality MOS on Insulator made on Zirconia substrates", Proc. of the IEEE Silicon On Sapphire and Silicon on Insulator Workshop, Florida-USA (1984) E. Dupont-Nivet, A. Umbert, J.L. Leray, L.M. Mercandalli, B. Dessertenne, D. Dieumegard, J. Siejka, "MOS/SOI (Sapphire, Yttrya-Stabilized Zirconia) Technology for Radiation-Hardened Devices and Comparative Study of Photocurrent Generation", Proc. of the Electrochemical Society, Electrochemical Society Meeting, Session Electronics-Dielectrics and Insulation, Atlanta, Georgia-USA, 15-20 May 1985 J.P. Colinge, "An SOI voltage-controlled bipolar MOS device", IEEE Trans, on Electron Devices, 34 n°4, 845, (1987) P. Francis, C. Michel, D. Flandre and J.P. Colinge, IEEE Trans, on Nuclear Science, 41, n°2, pp. 402407, (1994) P. Francis, J.P. Colinge and G. Berger, "Temporal analysis of SEU in SOI/GAA SRAMs" IEEE Trans. on Nuclear Science, 42, n°6, pp. 2127-2137, (1995) B.L. Kravitz, "Radiation-Hardened IC's", Solid State Technology, Jan. 1970, pp. 57-60 A. Berezine, O. Motchalkma, "Technologic et construction des circuits int^gr^s" (Technologia i konstructovanie integralnik mikroskem). Edition MIR, 1983, Moscou, p. 104-105 ATT documentation "High performance silicon wafers - dielectric isolation", ATT Microelectronics, 2525 North 12th street, POB 13396, Reading, PA, 19612, USA. "Features of On-Chip Ringmg Relay type HC5506 for Telephone Subscriber Line", Harris Semiconductors Technical Documents (1995) N.I. Koshelev, A.I. Ermolaeva, V.Z. Petrova, "SOI fabrication by silicon wafer bonding with the help of glass-layer fusion", in [196] NATO ASI series, 3. High technologies - Vol. 4 (1995), Kluwer, ISBN 0-7923-3600-3, pp. 87-92 J. Haishma, G.A.C.M. Spierings and T.M. Michielsen, "Framework for direct bonding". Philips. J. Res. 49, \\-\2 {1995) T. Abe, M. Nakano and T. Itoh, "Silicon wafer-bonding process technology for SOI structures", Proc. of the 4th international symposium on Silicon-On-Insulator technology and Devices, Vol. 90-6, The Electrochemical Society (1990), pp.61-71 J.B. Lasky, S.R. Stiffer, F.R. White and J.R. Abemathey, lEDMtech. Digest (1985) p. 684 J.B. Lasky, "Wafer Bonding for Silicon-On-Insulator technologies" Appl. Phys. Lett., 48 (1), p. 78 (1986) M.Mishima, T. Yasui, T. Mizuniwa, M. Abe and T. Ohmi, IEEE Trans, on Semiconductor Manufacturing, 2, n°3, p. 69, (1989) W.P. Maszara, G. Goetz, A. Caviglia and J.B. McKitterick, "Bonding of silicon wafers for Silicon-OnInsulator", J. Appl. Phys. 64, n°10, pp. 4943-4950, (1988) Q.Y. Tong, G. Cha, R. Gafiteanu and U. G5sele, "Low temperature wafer direct bonding" IEEE Journal of Microelectromechanical Systems, 3, n°l, pp. 29-35, (1994) W.P. Maszara, "SOI by Wafer Bonding: a review", Proc. of the 4th international symposium on SiliconOn-Insulator technology and Devices, Vol. 90-6, The Electrochemical Society (1990), pp.l99-212A M. Bruel, B. Aspar, B. Charlet, C. Maleville, T. Poumeyrol, A. Soubie, A.J. Auberton-Herve, J.M. Lamure, T. Barge, F. Metral, S. Trucchi, "Smart cut: a promising new SOI material technology" Proc. of the 1995 IEEE Int. SOI Conf., Tucson, Arizona-USA, October 3-5, 1995, ISBN 0-7803-2547-8 (1995), p. 178 M. Bruel, "Silicon On Insulator material technology". Electronics letters, 31, n°14, July 1995, pp. 1201-1202 M. Bruel, "Application of hydrogen ion beams to Silicon On Insulator material technology", Nucl. Inst. and Methods B 108, pp. 313-319 (1996) W.P. Maszara, "Wafer Bonding SOI, generalized bonding and new structures" Microelectron. Eng. 22, p. 299 (1993). See also references therein
A Review of Buried Oxide Structures and SOI Technologies
229
[139 b] R.K. Lawrence, J.P. Colinge and H.L. Hughes, Proc. of the 1990 IEEE SOI Conference, IBSN 0-78030184-6/91 (1990), p. 80 [140] Q.Y. Tong, U. G5sele, C. Yuan and AJ. Steckl, Proc. of the 1993 IEEE Int. SOI Conf., Palm Springs (Ca) USA, October 5-7, 1993, ISBN 0-7803-1346-1 (1993), p. 60 [141] L. Di Ciocio, Y. Le Tiec, F. Letertre, C. Jaussaud and M. Bruel, "Silicon Carbide On Insulator using the Smart-Cut process" (SICOI) Electronics Lett., 32, (12), pp. 1144-1145 (1996) [142] L. Di Ciocio, Y. Le Tiec, F. Letertre, A.M. Papon, C. Jaussaud and M. Bruel, "Silicon Carbide On Insulator formation by the Smart-Cut^ process". Mat, Sci. Eng. B46, pp. 349-356 (1997) [143] Q.A. Huang, S.J. Lu, Q.Y. Tong, Proc. of the 1989 IEEE SOS/SOI Tech. Conf, Stateline, NevadaUSA, October 3-5 1989, IEEE catalog number 89CH2796-1 (1989), p. 62 [144] G.G. Goetz and A.M. Fathimulla, Proc. of the 1989 SOI Conference, IEEE Catalog number 89CH27961 (1989) p. 125 and A.M. Fathimulla, H. Hier and J. Abrahams, Proc. of the GaAs and Related Compounds Conference, Atlanta, (1988) [145] X. Kanda, "High-performance 19 GHz-band GaAs FET switches using LOXI (Layered-OxideIsolation) MESFETs", Proc. of the 1997 GaAs IC Symposium, the IEEE, p. 62, ISBN 0-7803-40833/97 [146] A. SOderbag, B. Edhohn, J. Olsson, S. Tiensuu, E. Johansson, Proc. of the 1993 IEEE SOI Conference, ISBN 0-7803-1348 (1993) p. 58 [147] A.J. Auberton-Herve, "Special SOI-based devices" Microelectron Eng. 22, p. 339, (1993) [148] D. Bursky, "Single chip performs both audio and video recording" Electronic Design 43, p. 77, (1995) [149] T. Enomoto, "Fabrication process, experimental results and application for an elemental level vertically integrated circuit (ELVIC)" J. Mater. Res. 1, p. 552, (1986) [150] S. Nakamura, H. Horie, K. Asano, Y. Nara, T. Fukano and N. Sasaki, "Giga-bit DRAM cells with low capacitance and low resistance bit-lines on buried MOSFET's and capacitors by using bonded SOI Technology-Reversed Stacked Capacitor (RSTC) cell" lEDMTech. Dig., p. 889, (1995) [151] T. Enomoto, "Fabrication process, application and future for an elemental level vertically integrated circuit (ELVIC)", Mat. Res. Soc. Symp. Proc, Vol. 53, pp. 383-389, (1986) [152] D.G. Hall, "The role of silicon m optoelectronics" Mat. Res. Soc. Symp. Proc, Vol 298, Material Research Society (1993) 367-378 [153] C.K. Tang, G.T. Reed, A.J. Walton and A.G. Rickman, "Simulation of a low loss optical modulator for fabrication in a SIMOX material". Mat. Res. Soc. Symp. Proc. Vol. 298, pp. 247-252 (1993) [154] N.M. Kassim, T.M. Benson, D.E. Davies and A. McManus, INF0S'91 Conference Proceedings (published by the Institute of Physics, U.K., 1992) p. 319 [155] X. Xiao, J.C. Sturm, P.V. Schwartz and K.K. Goel, Proc. of the IEEE SOI Conference, ISBN 0-87942573-3 (1990) p. 171 [156] P.W. Barth," Silicon fusion bonding for fabrication of sensors, actuators and microstructures" Sensors Actuators, A21-A23, pp. 919-926, (1990) [157 a] H.E. Boesch, Jr. and T.L. Taylor, "Time dependent hole and electron trapping effects in SIMOX buried oxides" IEEE Trans. Nucl. Sci. NS-39, p. 2103, (1992) [157 b] D. Herve, Ph. Paillet, J.L. Leray, "Space-charge effects m x-ray irradiated SIMOX oxides", IEEE Trans, on Nucl. Sci., T-NS 41 Vol. 4, pp. 466, June 1994 and IEEE Conference Records, Catalog Number 93TH0616-3, ISBN 0-7803-1793-9, RADECS 1993 International Conference, St Malo, 13-16 September 1993 [158] F.B. McLean, H.E. Boesch and T.R. Oldham in: Ionizing radiation effects in MOS devices and circuits, edited by T.P. Ma and P.V. Dressendorfer, John Wiley & Sons, New-York (1989), Chapter 3 [159] P.S. Winokur in: "Ionizing radiation effects in MOS devices and circuits", edited by T.P. Ma and P.V. Dressendorfer, John Wiley & Sons, New-York (1989), Chapter 4 [160] J.L. Leray, O. Musseau, P. Lalande, R. Gaillard, "Effets des Rayonnements sur les Mat^riaux et Dispositifs Semiconducteurs", L'onde Electrique, 75 n°3, mai-juin 1995, pp. 13-19 [161] J.L. Leray, "Assessments of SOI technologies for hardening". Microelectronic Engineering, 8, n°3-4, p. 187, Dec. 88 , 1st European SOI workshop, Meylan, FRANCE, 1988
230
[162]
/ . L . Leray
J.L. Leray, "Contribution a I'etude des ph^nomenes induits par les rayonnements ionisants dans les structures a effet de champ au silicium et k I'arseniure de gallium utilis^es en micro^lectronique", These de doctorat d'etat es Sciences Physiques, University de Paris XI, U.E.R. Scientifique d'Orsay, n°3576, 8 D6cembre 1989 [163] Ph. Paillet, "Effet du Proc6d6 de Fabrication des Isolants sur la Charge d'Espace Cr^ee par Rayonnement X. Application aux Technologies Silicium sur Isolant", Th^se de I'Universit^ de Montpellier II, 1995 [164] Ph. Paillet, P. Gonon, C. Schwebel, J.L. Leray, "Comparison of X-Ray-Induced Electron and Hole Trapping in Various Materials (YSZ, SIMOX, Thermal Si02)", Journal of Non-Crystalline Solids 187, pp. 170-174 (1995), E-MRS Sprmg Meeting, May 24-27 1994, Strasbourg (France) [165 a] J.L. Leray, P. Paillet, J.L. Autran, "State of the Art Types of Buried Oxides on Silicon, Radiation Effects and Electron and Hole Traps Herein Involved", Revue de Physique Appliquee, 1996 [165 b] J.L. Leray, M. Haond, G. Bomchil, CNET-CEA data on FIPOS and ZMR, unpublished [166] J.L. Leray, E. Dupont-Nivet, P. Lalande, O. Musseau, "Les technologies de circuits int^gr^s silicium sur isolants SOI : ^tat de I'art et perspectives", Annales de Physique, ANPHAJ 14 (6), Dec. 1989. Editions de Physique, following the RADECS 1989 Conference, University des sciences et techniques du Languedoc, Montpellier, 11-14 Sept. 1989 [167] Ph. Paillet, D. Herv^, J.L. Leray and R.A.B. Devine, "Evidence of negative charge trapping in high temperature annealed thermal oxides", IEEE Trans, on Nuclear Science, 4, June 1994, 473 and IEEE Conference Records Catalog Number 93TH0616-3, ISBN 0-7803-1793-9, RADECS 1993 International Conference, St Malo, 13-16 Septembre 1993 [168] X. Wang, E.E. King, P. Leonov, D.H. Huang, P.T. Thompson and D. Godbey, "Bonded substrates for integrated detector arrays", IEEE Trans on Nucl Sci, 40, n°5, pp. 1342-1346 (1993) [169] Ph. Paillet, J.L. Autran, O. Flament, J.L. Leray, B. Aspar, A.J. Auberton-Herv6, "X-radiation Response of Simox Buried Oxides: Influence of the Fabrication Process", IEEE Transaction on Nuclear Science, 43 n°3, Apr. 1996 and IEEE Proceedings, RADECS 95, Arcachon, France [170] Ph. Paillet, D. Herv^, J.L. Leray, R. Devine, "Effect of high temperature processing of Si/Si02/Si structures on theh* response to x-ray irradiation", Appl Phys. Lett.^ 63 (15), pp. 2088-2090, October 11 1993 [171] J.L. Leray, Y.M. Coic, R.A.B. Devine, J. Margail, "Ionizing Effects m Vitreous Silica and S.O.I. SIMOX Buried Oxide by Study of Trapped Charges and Paramagnetic Defect Creation", IEEE Conference Records, Catalog Number 91TH0400-2, RADECS Conference (RADiation Effects on Components and Systems, Montpellier, Sept. 1991) [172] A. Stesman, R.A.B. Devine, A. Revesz, H. Hughes, "Irradiation-induced ESR active defects in SIMOX structures" IEEE Trans. Nucl. Sci., NS-37, p. 2008 (1990) [173] R. Devine, J.L. Leray, J. Margail, "Ultraviolet radiation induced defect creation in buried Si02 layers", Appl. Phys Lett. 59 (18), p. 2275, 28 Oct. 1991 [174] W.L. Warren, M.R. Shaneyfelt, J.R. Schwank, D.M. Fleetwood, P.S. Winokur, R.A.B. Devine, W.P. Maszara and J.B. McKitterick, IEEE Trans. Nucl. Sci NS-40, p. 1755 (1993) [175] J. F. Conley, P. M. Lenahan, P. M. Roitman, "Electron spin resonance of E' trapping centers in SIMOX buried oxides" IEEE Trans. Nucl. Sci., NS-38, p. 1247 (1991) [176] R. E. Stahlbush, G. J. Campisi, J. B. McKitterick, W. P. Maszara, P. Roitman and G. A. Brown, "Electron and hole trapping in irradiated SIMOX, ZMR, and buried oxides" IEEE Trans. Nucl. Sci,, NS-39,p.2086(1992) [177] F. T. Brady, W.A. KruU, S.S. Li "Total dose radiation effects for implanted buried oxides" IEEE Trans. Nucl. Sci. NS- 36, n°6, pp. 2187-2191 (1989) [178] J.L. Leray, J. Margail, R. Devine, "Electric field dependent paramagnetic defect creation in single-step high dose oxygen implanted SIMOX films". Material Science and Engineering, B12, pp. 153-156 (1992)
A Review of Buried Oxide Structures and SOI Technologies [179]
[180] [181] [182] [183] [184]
[185] [186] [187] [188] [189] [190] [191] [192]
[193] [194] [195]
231
O. Flament, O. Musseau, D. Herve, V. Ferlet, J.L. Leray, M. Raffaelli, A.J. Auberton-Herve, J. Margail, B. Giffard, "Field dependent charge trapping effects in SIMOX buried oxide at very high dose", IEEE Transactions on Nuclear Science, 39, n°6, December 1992, following the IEEE International Conference on Nuclear Science and Radiation Effects, New Orleans-USA, July 1992 M. E. Zvanut, R. E. Stahlbush and W. E. Carlos, Appl Phys. Lett 60, p. 2989 (1992) R.A.B. Devine, W.L. Warren, J.B. Xu, I.H. Wilson, P. Paillet and J.L. Leray, "Oxygen gettering and oxide degradation during annealing of Si-Si02-Si structures", J. Appl Phys. 11, p. 175 (1995) K.H. Vanheusden and A. Stesmans, J. Appl Phys. 74, p. 275 (1993) D. Herve, J. L. Leray and R. A. B. Devine, "Comparative study of radiation-induced electrical and spin active defects in buried Si02 layers", J. Appl. Phys. 72, p. 3634 (1992) Ph. Paillet, J.L. Autran, J.L. Leray, B. Aspar, A.J. Auberton-Herve, "Trapping-Detrapping Properties of Irradiated Ultra-Thin SIMOX Buried Oxides", IEEE Trans, on Nucl Sci., 42 n°6, Dec. 1995, pp. 21082113 J. K. Rudra and W. Beall Fowler, Phys. Rev. B-35, p. 8223 (1987) S. Bengtsson and O. Engstrom, "Interface charge control of directly bonded silicon structure" J. Appl. Phys. 66, p. 1231 (1989) C.A. Pennise, H.E. Boesch, Jr., G. Goetz and J.B. McKitterick, "Radiation-induced charge effects in buried oxides with different processing treatments" IEEE Trans. Nucl Sci. NS-40, p. 1765 (1993) H.E. Boesch, Jr. and C.A. Pennise, IEEE Trans. Nucl. Sci. NS-41, p. 2322 (1994) R.K. Lawrence, J.P. Colinge and H.L. Hughes, Proc. of the 1990 IEEE SOI Conference, IBSN 0-78030184-6191 (1990), p. 80 D. Maliniak, International Rectifier Company, "Commercially available optoisolator proves bondedprocess viable". Electronic Design, July 22, p. 38 (1996) "Single Channel Protector ADG465", Analog Devices Company, Data Sheet, p. 6 (1997) L. Parameswaran, V.M. McNeil, M.A. Huff and M.A. Schmidt, "Sealed-cavity microstructures using Wafer Bonding" Tech. Dig. Transducers'93, following the 7th Int. Conf. Solid-State Sensors and Actuators, Yokohama, Japan, (1993) pp. 274-277 M.A. Huff, A.D. Nikolich and M.A. Schmidt, "Design of sealed cavity microstructures formed by silicon Wafer Bonding" Journal of Microelectromechanical Systems, 2, pp. 74-81 (1993) Hyun et al "Presentation of a 1-gigabit dynamic RAM in a 0.17 ^m CMOS/SOI process". International Electron Device Meetmg (lEEE-IEDM), Washington, USA (1997) J.L. Leray, Buried Oxides: "Where we've been and where we're going", Journal of Non-Crystalline Solids 187, pp. 10-22 (1995), E-MRS Spring Meeting, May 24-27, Strasbourg (France)
REFERENCE BOOKS Single - author book [13]
J.P. Colinge, Silicon-On-Insulator Technology: Material to VLSI, Kluwer Academic Publishers, Boston, (2nd edition, 1997) ISBN 0-7923-8007-X
Multi-author books [21]
S. Cristoloveanu, S.S.Li, Electrical characterization of Silicon-On-Insulator materials and devices, Kluwer Academic PubUshers (1995), ISBN 0-7923-9548-4
[196] J.P. Colinge, V.S. Lysenko and A.N. Nazarov, "Physical and technical problems of SOI structures and devices", NATO ASI series, 3. High technologies - Vol. 4 (1995), Kluwer, ISBN 0-7923-3600-3 [197] G.A. Machado, "Low-Power HF Microelectronics", in lEE Ch-cuits and Systems Series 8, Laventham press, Laventham, UK ISBN 0-85296-874-4 (1995),
This Page Intentionally Left Blank
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) © 1999 Elsevier Science B.V. All rights reserved.
233
CHAPTER 3
DIELECTRIC BREAKDOWN IN Si02 A survey of test methods by D.R. WOLTERS(i), J.F. VERWEW), and A.T.A. ZEGERS-VAN DUIJNHOVEN(i)
Key items Dielectric strength Pre-breakdown Degradation Breakdown fields Charge to breakdown Breakdown models Test methods
(1) Philips Research Laboratories Prof. Holstlaan 4 5656AA Eindhoven Pays-Bas (The Netherlands)
(2) Twente University Fac. El. Engineering P.O. Box 217 7500AE Enschede Pays-Bas (The Netherlands)
234
D,R, Walters et al.
Abstract of Chapter 3. Dielectric breakdown in Si02- A survey of test methods This chapter updates Chapter 6 of Volume 1 and reviews the testing methods used for characterizing the breakdown of capacitors with special emphasis on MOS capacitors. The mechanism of breakdown is described by the "Q^,^ model" or "charge-to-breakdown model", based on the continuous degradation and eventual breakdown caused by charge leaking through the capacitor This is explained briefly and the degradation of the stressed capacitors is discussed in more detail. The main proof of the Q^^ model is found by comparing the different testing methods and showing that all the statistical results merge into the same Q^^ distribution law. Apparent differences in various test results are caused by obvious effects such as current confinement and local breakdown. The impact of this unifying model, the physical meaning ofQ^^ and £,^^ and the correlation of the two are studied. Recent literature on other models is briefly discussed. Resume du chapitre 3. Le claquage des oxydes de siliciunL Une revue des methodes de test Dans ce complement au chapitre 6 du volume 7, nous passons en revue les methodes utiUsees pour mesurer la tenue au claquage des capacites MOS. Le mecanisme du claquage peut etre explique par un modele qui prend en compte la charge qui circule dans Voxyde avant claquage (le "Qfj^ model"). Nous expliquons brievement pourquoi, et comment, cette charge provoque une degradation progressive du materiau dielectrique et peut conduire a sa destruction. La validite de ce modele est confirmee en comparant les techniques de test et en montrant que tous les resultats statistiques se fondent dans une seule et meme loi de distribution. Les ecarts observes entre les resultats obtenus avec differentes methodes de test sont dus a des effets evidents tels que le confinement du courant et le claquage premature dufait des conditions locales. Nous etudions Timpact de ce modele unificateur ainsi que la signification physique de Q^^ et de ^^^ et de leur correlation. D'autres mode les, recemment publics sont egalement discutes brievement. Zusammenfassung zu Kapitel 3. Der Dielektrische Durchbruch in Si02' Ein Uberblick iiber die Testmethoden Dieser Artikel bringt eine Ubersicht der Testmethoden fiir die Charakterisierung des Durchbruchs von Kondensatoren mit spezieller Betonung der MOS-Kondensatoren. Der Mechanismus des Durchbruchs wird durch das "Q^^^Modell" oder "Ladung-bis-Durchbruch-Modell" beschrieben, dem eine kontinuierliche Degradation und eventueller Durchbruch zugrunde liegt, die durch Leckladungstransport durch den Kondensator verursacht werden. Dieses wird kurz erkldrt und die Degradation der belasteten Kondensator in genaueren Einzelheiten erkldrt Der Hauptbeweis fiir das Qf^^Modell wird aus dem Vergleich der verschiedenen Testmethoden gefiihrt und aus der Tatsache, dass alle statistischen Ergebnisse in dasselbe Q^^^ Verteilungsgesetz mitnden. Scheinbare Unterschiede bei den verschiedenartigen Testergebnissen sind durch augenscheinliche Effekte wie Stromverengung oder lokaler Durchbruch erkldrbar. Die Auswirkung dieses einheitlichen Modells, die Physikalische Bedeutung von Q^^ - und ^^^ und die Korrelation der beiden werden untersucht. Diejungste Literatur Uber andere Mode He wird kurzfristig diskutiert.
Dielectric Breakdown in Silica Chapter 3 : Dielectric breakdown in Si02 Table of contents List of symbols used 1. Introduction 2. The Qbd model 2.1 Energy dissipation in the pre-breakdown stage 2.2 The charge needed for a breakdown, Q^^j 2.3 Energy dissipation during breakdown 3. The degradation of the capacitor 3.1 Lowering of the effective barrier height 3.2 The space charge evolution 3.3 Inhomogeneous injection 4. A review of recent literature 4.1 The impact ionization breakdown model 4.2 The hole-trapping breakdown model 4.3 Discussion of the hole-trapping model 4.4 Discussion of the Q^d breakdown model 5. The testing methods 5.1 The ramped-voltage test 5.2 The constant-voltage test 5.3 The ramped-current test 5.4 The constant-current test 6. A comparison of the test methods 7. Correlation of Q^^ and ^^d values 8. 4bd and Q^^ values are material properties 9. Conclusions 10. List of References Errata of Chapter 6 of Vol. 1
235
236
D,R, Walters et aL
List of symbols used A C E
cm2
4bd I
F/cm2 J J/cm2 J/cm2 J/cm2 V/cm V/cm V/cm A
Ilimit
A
Etotal Edisp Eieak
^
Sox
Icrit J Jcrit
Q Qbd Qdisp
tbd V
AJcnfi A/cm2 C/cm2 C/cm2 C/cm2 s V
^ox
cm F/cm
Y
-
1/X
1/s
Xox
Capacitor area Capacitance per unit area Energy Total energy dissipated per cm^ of the capacitor Displacement energy stored per cm^ of the capacitor Total energy dissipated per cm^ of the capacitor before breakdown Electric field Electric field in the oxide Value of ^ x at breakdown Current Preset current level above which a capacitor is considered as having broken down Injected current limit above which Q^j drops over several orders of magnitude Current density Injected current limit above which Q^^ drops over several orders of magnitude Charge fluence flowing through the capacitor Charge fluence leading to breakdown for a capacitor Charge density stored on the electrodes of a capacitor Duration of stress until breakdown Voltage applied to the capacitor Oxide thickness Dielectric constant of the oxide Field acceleration factor Instantaneous breakdown rate
Dielectric Breakdown in Silica
Til
1. INTRODUCTION Silicon oxide layers, under bias, display the phenomenon of dielectric breakdown. In the first volume in this series [1], breakdown and wearout phenomena in Si02 films have been described in Chap. 6. These phenomena are studied in capacitors with the aid of different test methods. The basic principles underlying these test methods have already been given in that chapter. However, since Chap. 6 was published (1986), more data have been obtained and more papers have been published in this area, making an update indeed necessary. This update is given in this chapter, with emphasis on the different test methods and their relative advantages and disadvantages. Although in the different test methods the capacitors are stressed under different conditions, the mechanism causing breakdown is the same. The driving force behind this mechanism is the energy dissipated during the stress which degrades the dielectric. We show in Section 2 that the pre-breakdown degradation is intimately related to the dissipation of the electronic charges in the dielectric and at its interface with the electrodes. The more electronic charge the more degradation. Breakdown is then the event following protracted degradation when the energy still stored in the capacitor is released. The total amount of charge to breakdown or Q^j is essential for breakdown. The breakdown model based on accumulative degradation has been called the "Qbd" model or "charge-tobreakdown" model. In Section 3 we show that the pre-breakdown degradation can be explained in terms of several stress-induced phenomena namely: the evolution of the space charge, the generation of interface states and current confinements effects. Other breakdown models exist. Two of them are reviewed in Section 4: the impactionization model and the hole-trapping model. The latter explains just like the Q^j model that pre-breakdown damage is due to a critical chargefluencebut assumes also a large amount of hole trapping rarely observed. We show that the Q^^^j model does indeed seem to better explain experimental data than do other models. Four methods used to measure breakdown in capacitors are reviewed in Section 5: The ramped-voltage test, the constant-voltage test, the ramped-current test and the constant-current test. The relative advantages and disadvantages of these methods are compared. We show in Section 6 that the distributions of Q^^ values obtained with the different testing methods can be readily compared, when the conditions at breakdown i.e. current and voltage at breakdown are not completely different. The reason for this, as explained in Section 7, is that the sets of experimental Q^^ and ^bd values, depend on the confinement and hence on the final current densities at breakdown. We finally emphasize in Section 8 that Q^^^ and ^^d values are both material properties. Material properties such as maximum strain and stress are important factors in mechanical breakdown. We show that Q^^ is analogous to the maximum strain at breakdown whereas, ^^d is analogous to the mechanical stress at breakdown.
238
D,R. Walters et al.
2. THE Q B D M O D E L
Several models have been proposed in the last years to explain the characteristic features of oxide breakdown. Since Vol. 1 of this series was published, the Q^j or charge-to-breakdown model has proven, in our opinion, to be the most successful. Therefore we will describe it in some detail while the other major models will be shortly discussed in Section 4. To cause a breakdown in a capacitor a combination of stress conditions is needed. This means that there is no such thing as a critical field or a critical current below which no breakdown will occur. In most cases, capacitors will breakdown at any voltage or current as long as the stress is sustained long enough. On the other hand, capacitors can withstand extremely high fields or very large currents for a short time [2]. This is due to the fact that a breakdown in a capacitor occurs only after dissipation of a minimum amount of energy [3]. This energy dissipation which occurs in the pre-breakdown stage degrades the dielectric material and forms a low-resistance path between the electrodes. When the low-resistance path connects the electrodes, the breakdown event itself takes place and the residue of the stored energy is then dissipated. The latter energy explosion generates the microscopically visible damage [4] [5] [6]. 2.1 ENERGY DISSIPATION IN THE PRE-BREAKDOWN STAGE
The energy stored and dissipated in the capacitor before breakdown can best be illustrated by a plot of the total charge density, Q, needed to apply a voltage, V, across the capacitor. This is depicted in Figs. 3.1.a and 3.1.b\ At low voltages, Q, is practically equal to the displacement charge density, Qdisph stored at the electrodes of the capacitor. Qdispi varies linearly with V, as visible in Fig. 3.1.a. At high voltages, however, Q > Qdispi since charges leak through the capacitor. The V vs Q curve becomes non-linear, as visible in Fig. 3.Lb. The total area under the V - Q curve, (= J VdQ), is proportional to the total energy consumed by the capacitor. In the linear part, the energy, 1/2 CV^ , is stored by the displacement charge, hence in a completely reversible way. (This is equivalent to the mechanical energy stored in a strained spring.) In the non-linear part, the energy is dissipated by charge leakage through the dielectric and by the irreversible process of charge recombination at the opposite electrode. (This is analogous to the plastic deformation of a spring.) When the stress is performed in the non-linear part of the charging curve and then released, only the energy which is stored reversibly in the capacitor can be recuperated. After the release, a certain amount of charge is lost and the corresponding amount of energy, Eie^k' has been irreversibly dissipated in the capacitor. The dissipated energy, indicated by the nonshaded area, at the left, under the curve in Fig. 3.1.b, can be expressed as: ^ Thesefigurescan be compared with a stress-strain plot obtained for mechanical breakdown [5].
Dielectric
Breakdown
rV Eleak = Etotal " ^disp = J^ ^^Q-
239
in Silica
(3.1)
VQ^isp
where Q is the charge per unit area which has been suppUed by the external circuit to the capacitor and Q^jsp is that fraction of Q which has been stored on the electrodes. The last term of Eq. (3.1), i.e. the displacement energy per unit area, will not be dissipated as long as the capacitor has not experienced a breakdown.
conserved energy
dissipated energy
0) O) CD
o
1/2 CV2
>
dissipated at breakdown a)
total charge
b)
total charge
Fig. 3.1 Stress versus charge plot depicting the energy dissipation during stress and breakdown, a) In the low voltage regime almost all energy can be recovered, b) After substantial charge injection a lot of energy has been dissipated. The stored energy 1/2 CV^ will be dissipated when breakdown occurs. The energy is indicated by the area under the curve. (See text)
The energy lost by leakage, Ei^ak' partly vanishes in the form of heat and is partly stored in the dielectric in the form of degradation energy. The degradation is revealed by the presence of an increased number of interface states and traps. Under stress Ej^ak increases continuously, depending on the rate of charge leakage through the capacitor. The degradation generates a conducting path which grows between the electrodes. The growth continues until the two electrodes are connected and breakdown occurs [5]. 2.2 THE CHARGE NEEDED FOR A BREAKDOWN, Qg^
To degrade a dielectric, energy is needed but there is no energy dissipation without charge flow. When a dielectric is in a degraded state it contains broken bonds and a certain amount of disorder. Therefore a certain amount of energy has to be supplied to bring the dielectric into this disordered state which corresponds to a higher energy content^. ^ To generate a path of atomic dimensions through a dielectric, a large amount of energy is needed. For a single path of 100 X 100 X 1000 A^ = 10"^'^ cm^ about 33.10-1^ Joule = 2.10^ eV is needed, since the formation energy of Si02 is 33 KJ/cm^. The energy dissipation of the total charge Q^^j is, however, orders of magnitude larger.
240
D.R. Walters et al.
The energy of the charge carriers is dissipated in the bulk of the dielectric and at the interface where the charge leaves the dielectric: • In the bulk of the dielectric, electrons can dissipate their energy in two ways: by breaking bonds (and then creating defects) and through phonon interaction. However, the energy required to break a bond is > 4 eV and the electron free path is only about 30 A. Thus for moderate applied fields only a few electrons will gain enough energy to break bonds and most of the electronic energy will be lost through phonon interaction. For a review of the charge transport in Si02, see references [7] [8], and also Chap. 5 of Vol. 1. • At the interface where the injected charges leave the dielectric, the energy is dissipated over a relatively short distance. Electrons which leave the conduction band at the anode have an energy of at least 3 eV. Thus relatively low fields are sufficient to increase their energy to 4 eV or more. Hence, in contrast with the dissipation in the bulk, all charge carriers dissipate at this interface an energy quantum sufficiently large to break bonds. The dissipation of energy is illustrated in Fig. 3.2. A breakdown will occur when a sufficient amount of charge has dissipated its energy. It has been shown experimentally that breakdown will occur at all fields as long as a sufficient charge transport takes place [9]. On the other hand, high fields alone are not sufficient for a breakdown to occur, since the breakdown process needs a certain amount of dissipated energy and hence charge transport. In other words, the value of Q^^ (i.e. the charge fluence that has flowed through the capacitor until breakdown) plays a more critical role for breakdown to occur than does ^b^ (i.e. the value of the oxide field at breakdown).
Si(-)
SiOc
M(+)
Fig. 3.2 Electrons tunnel through the barrier into the conduction band of the oxide. When they drop to the Fermi level of the anode they release an energy > 3.1 eVy which is sufficient to break Si-0 bonds. The dissipation causes a degradation which eventually forms a low-resistance path.
Dielectric Breakdown in Silica
241
2.3 ENERGY DISSIPATION DURING BREAKDOWN
When, by degradation of the dielectric, a low-resistance path is formed, this path will eventually interconnect the two electrodes. The positive and negative displacement charges recombine then and Edjsp, still stored in the capacitor (shadowed area in Fig. 3.1.b), is released in a very fast energy explosion. The displacement energy per area, expressed in [J/cm2], is given by:
/r
- L ^YL _ ^
^disp - 2
^
.2
- 2 ^(^^^bd ^ox
(3.2)
where A is the area of the capacitor, ^bd the applied field at breakdown, Xox the oxide thickness, and e^^ the dielectric constant of the oxide film. It is this energy, E^isp, which causes the visible damage after breakdown. The energy dissipation is so fast that the capacitor partly melts and evaporates and a sort of crater is formed by which the capacitor can be shorted.
Fig. 3.3 The final breakdown of a capacitor leaves a crater, whose dimensions can be calculated fi-om the energy, 1/2 CV^, stored in the capacitor just before breakdown.
For a field of 10 MV/cm and Xox = 300 A and a capacitor with an area of 1 mm^ Edisp is equal to 5.1 x 10-7 joule. When this is used to evaporate silicon, a sphere with a radius of 1 [im can be evaporated, since the heat required to warm up and evaporate silicon is 4.2 105 Joule/cm3. This value of 1 |im is about the diameter of the craters which are observed after a breakdown in MOS capacitors [4]. (See Fig. 3.3.)
242
D.R, Walters et al.
3. THE DEGRADATION OF THE CAPACITOR The degradation during the stress period and the evolution of the low-resistance path through the dielectric are important. This degradation is very fast for high fluxes of electronic charges and slow for very low current densities. Hence, at low applied fields (currents) it can take a very long time, whereas for very high fields (currents) breakdown can occur almost instantaneously. The magnitude of the field and of the current determine the total stress time needed to reach breakdown. Their value can change depending on the stress applied and on three stressinduced effects which change the properties of the dielectric. These effects are: • the modification of the effective barrier height at the interface, • the generation of a space charge in the dielectric, • the confinement of the current caused by inhomogeneous space charge effects. These effects will be discussed briefly below. 3.1 LOWERING OF THE EFFECTIVE BARRIER HEIGHT
By injecting a charge, in excess of 10-5 C/cm^, the effective barrier height can be significantly lowered[10]. This change in the effective barrier height is caused by the generation of interface states. This in turn causes the current to increase with time when a constant voltage measurement is performed, or causes the voltage needed to sustain a constant current to decrease with time. Interface states which are located in the bandgap of Si02, at a small distance from the interface, enhance tunneling into the conduction band of the oxide, as illustrated in Fig. 3.4. This affects the "effective" energy barrier and enhances the injection currents by orders of magnitude. The "lowering" of the barrier by stress is heavily field-dependent.
trap assisted tunneling
Fig. 3.4: Interface states cause enhanced tunneling. Due to the location of the states in the forbidden gap in the oxide, electrons tunnel over a smaller distance into the conduction band. Hence, the effective barrier height is lowered.
Dielectric Breakdown in Silica
243
3.2 T H E SPACE CHARGE EVOLUTION
When dielectrics are stressed, charge trapping or, more generally, an evolution of the space charge in the dielectric can occur. If the space charge is distributed homogeneously over the volume and is of the same type as the charge carriers being injected, it may lower the "effective" field at the interface and hence the current density^. In Fig. 3.5 the broadening of the barrier by trapped electrons and the subsequent longer tunneling path are depicted. For constant-voltage stress conditions, the current decreases and the stress time must be longer for a breakdown to take place. The evolution of the space charge is easier to model if the time evolution of trapping is known. The injection current for fixed voltage conditions often decreases according to the following power-of-time law:
J^Jo{tltof
(3.3)
where J^ is a constant and a can take any real value. The total amount of charge flowing through the capacitor up to time t is then given by"^:
Q=\ljo{t'ltofdt'
(3.4)
Fig. 3.5 Trapping causes a lowering of the field at the interface and hence the electrons have to tunnel over a longer distance. It thus causes the injection current to decrease.
0 space charge
iiiiiiiiiiiiiiiiiitiiiiiiiiiii
Depending on the magnitude of a, Q in Eq. (3.4) saturates to a value Q^^t or increases infinitely. For a < -1 the injection current rapidly decreases and Q{t) for a value of a > - 1 the decrease is much slower and Q{t)
r--^oo
> Q^^f, while
->oo.
' A discussion of how the space charge affects the injection barrier can be found in Chap. 10 A of Vol. 2. ^ It should be noted that only a small fraction of this charge is actually trapped inside the dielectric.
244
D.R. Walters et aL
This means that if a < - 1, the total charge flow may saturate at a value Q^^t < Qbd ^^^ never show a breakdown at all. If a > - 1 a breakdown is, however, bound to occur. Exponent a reflects the efficiency of trapping. Trapping induces a counterfield which reduces the field at the interface. If severe trapping occurs the counterfield becomes so large that the injection drops to zero. 3.3 INHOMOGENEOUS INJECTION
Another effect of the space charge evolution is that it may confine the current flow to a small area of the dielectric. When, for instance, trapping occurs only in one part of the capacitor, the current is forced through the remaining part. If the space charge is of the other sign, an inraiediate confinement of the current to that region will occur. In the case of a confinement of the current, breakdown occurs earlier than for homogeneous injection due to the higher local current density. This relation between the decrease in Q^d and inhomogeneous current injection has been deduced not only from the deviations in the current voltage curves [4], but also from light-emission experiments [11]. Inhomogeneous trapping thus causes breakdown to occur for lower Q^d values.
10'^ b
I = ramped
CO
E o O 10 1
-r-N
JQ
O
V = ramped
10^
I / I I I I ml
-2
10
10"
I
I I I I ml
10"
10^
J [A/cm^] Fig. 3.6 Experimental (2^^ values, from constant current tests, denoted by open squares, are plotted against the injection current density. Lines with arrows in the figure depict how charge and current change during the different test methods. To get similar Q^^^ values for different tests the test conditions should be adjusted in order to let the lines intersect the Q^^ (J) line at approximately the same point.
Inhomogeneous injection is predominantly determined by space charge and hence by the ratio of trapping and detrapping in the dielectric. At low current densities the trapping rate is slow due to the small fluence of electrons and most of the traps are not occupied. In this case the current density will be homogeneous. At large current densities the trapping rates are fast due to the highfluenceof electrons and most of the traps are occupied.
Dielectric Breakdown in Silica
245
In that case, the current will find a region where space charge density is relatively low. This causes a confinement of the current and a breakdown occurs at a small overall Q^j. This decrease of Q^j at high injection current densities is shown in Fig. 3.6. The data points (squares) have been obtained experimentally by stressing capacitors at different current densities, J. At higher current densities, the value of Q^^ tends to decrease. This decrease can also occur when the injection current at edges and comers increases due to field enhancement effects. It will be clear that different test methods e.g. ramped or constant voltage stress or ramped or constant-current stress do not yield similar values of Q^^^ since they all cross the Q^j line of Fig. 3.6 at a different location. This makes it difficult to compare such results. We shall see below that the discrepancies in Q^j values can be avoided by choosing the ramping rates and stress currents (or stress voltages) in such a way that the arrowed lines cross at the same point. The fact that different testing methods give similar results is a strong argument for the idea that a continuous degradation by the charge flow through the dielectric causes its breakdown. Before discussing the different test methods and showing that these indeed coincide let us give a short review of other models proposed in the field. 4. A REVIEW OF RECENT LITERATURE At this point it seems appropriate to review some recent literature on oxide breakdown mechanisms. We make a distinction between a modeling in terms of parameters on the one hand and the underlying mechanism on the other hand. Modeling as such is important for translating oxide stress results into practical use conditions. However, there is as yet no general consensus on how the scaling factors are related to the actual physical mechanisms of degradation, which means that extreme care must be taken in drawing firm conclusions with regard to the scaling factors. At the 1991 Intemational Reliability Physics Symposium two tutorials were given on the mechanisms and modeling of breakdown in Si02 [12] [13]. It is remarkable that each tutorial showed a different opinion about the physical mechanism of breakdown. Therefore we will treat this in the following two sections. 4.1 THE IMPACT IONIZATION BREAKDOWN MODEL
In the work of Shatzkes and Av-Ron the model assumes a stochastic process in which the survival probability is a key element. The latter probability is an exponential function of the instantaneous breakdown rate, l/x [14]. An important part of the mechanism is thought to be impact ionization. However, the charge-transport experiments, also carried out at IBM, clearly indicate that this is an unlikely process [15] [16] [17]. This has been corroborated by the calculations of the ionization coefficient by Ferry [18] and by the injection experiments of Weinberg [19]. Moreover, the work of Shatzkes and Av-Ron assumes that the instantaneous breakdown rate, 1/T, is proportional to the current.
246
D,R, Walters et al.
The survival probability which is a function of j l/xdt, is then itself a function of the charge-to-breakdown, Q^^. This means, in our opinion, that the model of Shatzkes and AvRon and the Q^j model merge; the former is derived from a statistical viewpoint and the latter from a mechanistic viewpoint. 4.2 T H E HOLE-TRAPPING BREAKDOWN MODEL
The modeling of the Berkeley school [13] is based on an earlier publication by McPherson and Baglee [20]. These authors found that: 1) the field acceleration factor, y, is a function of temperature and 2) that the activation energy of breakdown is a function of the field during stress. This needs a few words of explanation. The time-dependent breakdown of oxides is a logarithmic function of time and is also strongly dependent on the applied electric field. The field acceleration factor, y, i.e. the increase of log (t^d), with applied field, where t^j is the time for breakdown, is expressed in decades per MV/cm. The knowledge of this field acceleration and the use of a proper model would facilitate the extrapolation to practical use conditions. Breakdown is also temperaturedependent which is expressed through a simple Arrhenius factor with an activation energy. The researchers of the Berkeley school modeled breakdown in terms of thermodynamic relations between parameters. The model is quite successful in describing the results of different authors with a single set of parameters. The proposed mechanism is still debatable since it assumes that breakdown is induced by trapped holes. There is, at breakdown, a critical charge density in the Si02 which is proportional to the number of electrons injected into the oxide, and to the probability of holes being generated. The current is the Fowler-Nordheim tunneling current Jp^ «= exp(- B/^) and the hole generation has the same type of field dependence: ©c exp (- H/^). The time to breakdown, t^j then becomes tbd = ^o
MG/^)
(3.5)
with G = B + H. Figure 3.7 shows how the model was applied to explain the variations of the field acceleration factor, y, as a function of the electric field, ^. The solid line is fitted to the experimental points by selecting a suitable value for G. The modeling of time-dependent breakdown as a function of temperature needs two more steps. First, it is assumed that all defects can be modeled as an oxide thinning. Second, it is assumed that G and TQ are temperature-dependent, x^ is expressed in an Arrhenius form with an activation energy E^ and G is assumed to have a temperature-independent part, so it is expressed in a Taylor series expansion. The result is a proper description of the temperature dependence of a number of experiments on time-dependent breakdown. It is seen [21] that the activation energy increases with increasing time-to-breakdown.
Dielectric Breakdown in Silica '?
T
I'
I
I
247
I
Crook
'
u o
VO^O-IIOOA)
Bermon
^7
§ E o
I-
6
O
u
2
. \
"* I
Hiroyomo ,^^^
I
(200-400A)
Boglee (100A) *"
^
0)
(>400A)
Abe (110A)
2
Nishioko (75A) \
Yomobe (100-500A)
u
Chen (IIOA)
Yomodo (200A)
0)
4
6 8 10 12 Electric Field [MV/cm]
14
16
Fig. 3,7 The electric field acceleration of the time-to-breakdown, after Moazzami [13] and Lee [25]
From the curve fitting, one finds the temperature dependence of parameter G in Eq. (3.5). It appears that the contribution of parameter H (hole generation parameter) to G is about 5 times that of parameter B (Fowler-Nordheim parameter determined in separate current measurements). This means that hole-trapping contributes substantially to the temperature dependence. 4.3 DISCUSSION OF THE HOLE-TRAPPING MODEL
The model based on hole-trapping and field enhancement, until a critical field is obtained, assumes that a critical amount of charge must be injected. It is therefore comparable to the Qbd model based on the generation of damage and on a critical charge, Q^^j, needed for a breakdown. One of the problems of the hole trapping mechanism is that such high densities of charge should be trapped. This can be elucidated in the following way. In Fig. 3.8 we can see that the time-to-breakdown, %^, decreases with increasing field. If the increase of %^ below ^ ^ = 19 MV/cm is due to the fact that the dielectric must first trap holes, until the field at which breakdown occurs is reached, we can estimate how many holes are to be trapped under an applied field of l^^ = 9 MV/cm. Let us assume that the critical field for breakdown, ^ ^ t ' which is, according to the model, the local field in the dielectric at which the oxide fails, is reached at ^ ^ t ^ 19 MV/cm. Then, it follows that the sample breaking down at 9 MV/cm, must trap at least 2.10^^ charges/cm^ which means that when spread over an oxide layer of 100 A thickness, a volume density of 2.101^ charges/cm^ [22] is needed. This means that holes are packed with a mean distance of 36 A. This is not very realistic since often capacitors on 100 A oxide show no sign of charging at all before breakdown occurs. Moreover, the fact that the damage generated by injection cannot be restored at temperatures up to 400''C (at which holes are easily detrapped) does not support the critical hole-trapping model.
D,R, Walters et al.
248
Another argument against the breakdown model based on hole-trapping is that the activation of hole-trapping [23] [24] with temperature is opposite to the activation of breakdown with temperature. While breakdown is facilitated at higher temperatures (Qbd ^ when T t), positive charges in contrast detrap at higher temperatures. Hence, the injected charge needed for a breakdown by a high field caused by trapped holes should increase with temperature, which is the opposite of what is observed. eox [MV/cm] 12 14 16
.06
.08
20
.1
.12
1/f^ox [cm/MV] Fig. 3.8 The time-to-breakdown r^^ is plotted as a function of the applied electric field, after Moazzami [26]. 4.4 DISCUSSION OF THE QB^ BREAKDOW^N MODEL
The results of Fig. 3.8 can be explained in terms of the Q^d model as well. When charge injection occurs by the Fowler-Nordheim mechanism, it does so according to the equation JpN "^ ^^ ^xp(- B/^). The Qbd model assumes: In QIJJ^ = In (Jpj^ ti^j) = constant
(3.6)
Inthj oc-ln7^^< | - 2 1 n < ^
(3.7)
and thus
where B -- 265 MV/cm can be calculated solving the Fowler-Nordheim equation and assuming an effective barrier height of 3.1 eV. This B value is indeed much less than the value of 350 MV/cm calculated from the slope of Fig. 3.8. This mismatch can be caused by the fact that at high current densities Q^j is substantially less than at low current densities due to local field differences.
Dielectric Breakdown in Silica
249
These can be caused by field enhancement at edges and comers of the capacitors [11]. The higher fields at the comers increase the local injection and lower the overall Q^jHence, instead of an additional mechanism of hole-trapping, the decreasing Q^^ due to field enhancement directly explains Fig. 3.8 and the slope of 350 MV/cm. Some more information on the field acceleration factor of the time-to-breakdown is given in Fig. 3.8. It shows indeed that the field acceleration factor itself is a function of field, ^Qx- This can have several causes. The first one is that the enhancement at comers and edges plays a dominant role. The second obvious reason is that the experimental data included "defective" capacitors, at least at low fields^. This is certainly the case for fields below 5-6 MV/cm for which the measurement of an "intrinsic " breakdown takes an extremely long stress time ( » 10^ s) per capacitor. Therefore the field acceleration factor has to be handled with great care when t^d values at low fields are to be calculated from measurements performed at high fields. The use of Q^d measurements for predicting the end of life of capacitors is also debatable. An easy way might be to divide the measured value of Q^^ ^Y the injection current at the operational field. This method would presume, however, that the injection current stays the same over the whole operational period. The acceleration factors for injection and breakdown are not stable upon degradation and therefore can easily lead to totally wrong predictions. This limits the usefulness of Q^d measurements for lifetime predictions. The distribution of Q^d values does teach us, however, something about the quality of the oxides and/or of the homogeneity of the current injection. High Q^d values often coincide with high quality oxides but the value of the intrinsic Q^d is no guarantee at all for long capacitor life. One must see Q^d values as representative of material properties. (We will elucidate this point in Sect. 8). Low quality material, contamination, local defects, stractural injection points can all be revealed by the measurement of the Q^d value. Predicting the lifetime of a capacitor is, however, a hazardous exercise. 5. THE TESTING METHODS The methods used most often to test breakdown in capacitors are based on: • • • •
increasing the voltage (rather the applied field) in steps until the current suddenly increases, keeping the voltage at a fixed value until the current suddenly increases, increasing the current in steps or by a ramp until the voltage suddenly drops, sustaining a current at a constant value until the voltage suddenly drops. These methods and their relative advantages and disadvantages will be discussed next.
The distinction between "defective" and "intrinsic" failures can be made only after a proper statistical analysis. Plotting distributions on probability paper often reveals the bimodal character of the distributions. We will show distributions for different test methods in Fig. 3.13.
250
D,R. Walters et aL
> limit
t [sec] Fig. 3.9 Voltage and current are plotted versus stress time during a ramped-voltage test. The voltage ramp (dV/dt) and the current limit ln^if should be adapted when comparing different dielectrics. See text. 5.1 THE RAMPED-VOLTAGE TEST
In the classical tests used to measure the dielectric strength, the conditions for breakdown are obtained by increasing the voltage stepwise and by measuring the current at each step until the leakage current exceeds a certain preset value (Iiim)- Instead of steps, a continuously increasing voltage can also be used, which, however, may be rather inconvenient, since the displacement current, CdV/dt can then become significant^ . The test conditions are depicted in Fig. 3.9. When comparing different oxide films, one should adapt the ramp rate of the voltage, so that an identical field ramp is applied to films having different thicknesses. When comparing capacitors with different areas one should adapt the current limit so that the current density levels are the same for all different areas (e.g. 0.01 A/cm^). Very often a capacitor conducts the preset current density without experiencing a breakdown. This is understandable since at high fields the current tunneling through the barrier can be substantial^. This tunneling current is then mistaken as the breakdown current and all non-defective capacitors seem to "fail" at the same "intrinsic" value of the field. Remeasuring shows, however, that the capacitor can be stressed a second time to the same level. Increasing the current limit to higher levels may have the effect that these capacitors really fail and are recorded in the proper way. However, at these high currents, broken down capacitors often cannot reach the high current level when they possess a high series resistance. In such a case a failure is not recorded at all. Therefore it is better not to choose the current limit too high.
^ For instance for a capacitor of 1 nF and a ramp rate of 100 V/s the displacement current is 10'^ A. ^ In an "intrinsic" MOS capacitor a tunneling current of 0.01 A/cm^ flows at a field of about 10 MV/cm.
Dielectric Breakdown in Silica
251
Re-measuring unfailed capacitors can be repeated a number of times. When a capacitor needs a certain charge, Q^^^ to breakdown, and this charge is of the order of 10 C/cm^ this can require a great number of times. When the stress across the capacitor is each time interrupted after a period of 10 ms at 0.01 AJcirfi, less than 10"^ C/cirfi has flowed through the capacitor, which is 10"^ times less than the required Q^^j value. Remeasuring the capacitor 10^ times until a "real" breakdown occurs can be a little cumbersome. The advantages(+)/disadvantages(-) of the field ramp method are sunmiarized in the following: • (+) this method is the conventional way of testing the dielectric strength and it gives information about the number of "defective" capacitors, • (+) it is a fast method. Capacitors take only a few tenths of a second to be measured. It is so fast that a very large array of capacitors can be tested in a reasonable amount of time (e.g. 100 X 100 capacitors within 1.5 hrs at a ramp rate of 20 MV/cm/s), • (-) when capacitors are tested with this method, very often no real breakdown takes place and the tunneling field is mistaken for a dielectric strength. In a distribution it gives the wrong impression that all capacitors fail at the same field, • (-) only the breakdown voltage or the ^\^^ value of the failures are obtained. Unlike other methods which also give information on Q^j the ramped-voltage method is too fast to give a reliable current measurement. Integrating the current through the capacitor at high ramp rates is impractical, if not impossible, and no reliable value of Q^^ can be obtained. 5.2 T H E CONSTANT-VOLTAGE TEST
The constant-voltage test is widely applied for reliability testing. In most cases the voltage is chosen such that testing is performed for applied fields in the range of 4-7 MV/cm. The detection of breakdown occurs by measuring the current flowing through the capacitor. Whenever the current exceeds a certain preset value, Iiimit? the capacitor is considered as having experienced a breakdown. The constant-voltage test is depicted in Fig. 3.10. The tunneling current density J^unn i^ roughly lO-'^ AJcrrfi for a capacitor stressed at 7 MV/cm. The critical current Ij^jj^t must be chosen substantially higher than Area x J^unn- F^^ higher applied fields the value of Iiimit? i^^st be chosen even higher, in order to account for the higher tunneling current. The problem with this method is that, when the stressing field is chosen too low, the "intrinsic" capacitors seldom fail within an acceptable time. For an "intrinsic" capacitor with a Qbd of 10 C/cm^ and a stressing field of 7 MV/cm, which causes a current density of « 10"^ A/cm^, a stress period of 10^ seconds « 3 years would be required. Thus in most cases only the "defective" capacitors will fail. These, indeed, have Q^j values which are orders of magnitude smaller.
D,R, Walters et aL
252
V >
i break down
t [sec] Fig. 3.10 Voltage and current are plotted versus stress time during a constant-voltage test. The current limit should be adapted to give the same density level for capacitors with different areas.
Increasing the field and the temperature can shorten the stress period considerably but even then capacitors may display no breakdown, for instance when, because of severe trapping, the injected current decreases with time. The advantages(+)/disadvantages(-) of the constant-voltage test are: • (+) this method is the conventional way of testing the reliability of capacitors, • (+) the stressing fields used are close to the practical operating conditions. The test can thus often be combined with bum-in tests, • (+) when they are stressed in parallel, a large number of capacitors can be tested simultaneously. This may reduce the total time consumed for the test, • (-) low Qbd or low breakdown voltage values cannot easily be measured, • (-) "intrinsic" capacitors can take an unacceptably long time to reach a breakdown, • (-) when trapping is sufficiently fast and homogeneous, the injected current decreases very rapidly and there may be no breakdown at all. 5.3 THE RAMPED-CURRENT TEST
In this method the current is increased step-by-step in logarithmic increments until breakdown occurs. The breakdown is observed when the voltage, needed to sustain the current, drops suddenly to a lower value. The test signal and the monitor signal are depicted in Fig. 3.11. The method is very similar to the ramped-voltage method but does not have some of its drawbacks. For instance, in the ramped-voltage method the tunneling current is often mistaken for a breakdown current. This is not the case in the ramped-current method. A substantial drop in voltage indicates that a real breakdown has occurred.
Dielectric Breakdown in Silica
1
V
253
^^^J""^"^ break ^ down
>
E^
t[sec]
o
•
Fig, 3.11 Voltage and current are plotted versus time in a ramped-current test. The current is ramped in steps.
Furthermore, it is very easy to integrate the current steps in order to find the value of Q^j. This is almost impossible to acquire in a fast voltage ramp method. The ramped-current method is or can be just as fast as the ramped-voltage method. It yields both the values of ^^j and of Qbj for every capacitor. One advantage is that values of ^^d ^^^ Q^^ can be compared. The correlation of these two parameters can reveal the origin of the statistical spread (See Section 7). Compared to the constant-current method (see next), the ramped-current technique has the drawback that, when the currents are very rapidly ramped, breakdown then occurs above J^nt, and the measured Q^^ values are mostly smaller than those obtained for lower currents. (J^nt has been defined in Chap. 6 of Vol. 1 as the current density above which the value of Q^d decreases substantially). The drop of Q^j at high currents has been discussed in SubSection 3.3 and is illustrated in Fig. 3.6. Another drawback can be that the currents become so high that a capacitor is rapidly recharged after a breakdown, when the breakdown is self-healing^. If the recharge time is shorter than the sampling time of the voltage monitor, the testing system may overlook a breakdown or even a number of breakdowns. This yields exorbitant values of Q^^j. At very high currents a substantial part of the voltage drop may take place over the contact resistances or the series resistance in the substrate. In that case, the voltage drop at breakdown can be overlooked, since it is smaller than expected. The advantages(+)/disadvantages(-) of the ramped-current test are: • (+) this method is very fast and can be as fast as a ramped-voltage method, • (+) at breakdown, both a ^^^ and a Q^d values are measured. Correlations can reveal the origin of the spread. ^ Self-healing occurs when the electrode material is removed at the breakdown spot by the capacitor discharge which consequently removes the electrical short in the capacitor.
254
D,R. Wolters et al.
• (+) the test can measure "defects" and "intrinsic" failures over a very large range of Q^d values, • (+) every breakdown is real and the Q^^ values are measured with a relative precision, • (-) for too fast ramp rates and high Q^d values, the current can increase above 1^^^ and relatively low Q^d values are then measured, • (-) for too high current densities and with self-healing capacitors, a breakdown can be overlooked if the sampling time of the voltage monitor is not very short, • (-) for too high current densities, the series resistance may cause a voltage drop over the test sample, which leads to an overestimation of ^^d5.4 THE CONSTANT-CURRENT TEST
In the constant-current test a constant current is forced through the capacitor, and one records the voltage needed to sustain the current. If the voltage suddenly drops below, for instance, 80% of its value, the capacitor is considered to have had a breakdown [27]. Measurements should be performed in a fast sampling mode since capacitors can restore themselves rapidly after a breakdown. When breakdown occurs at high currents, then the capacitor can be recharged within the sampling time, and the breakdown is then overlooked. The stress current and the monitoring of the voltage are illustrated in Fig. 3.12.
V >
^ ^ ^ break ' down
1 ^
t [sec] Fig. 3.12 Voltage and current are plotted versus stress time in a constant-current test.
This method is the quickest way of forcing a capacitor to breakdown. It is a very convenient test method if, for instance, the quality of a known dielectric has to be monitored on a regular basis. However, for a completely unknown dielectric there are some problems in choosing the correct current density.
Dielectric Breakdown in Silica
255
• If the current density, J, is too high, the value of the intrinsic Q^^j will be small due to the fact that J > J^^i-if This then mostly causes the Q^j values to have a large spread. It could give the wrong suggestion that the overall quality and reproducibility are low. • If J is too small, a single measurement can take a very long time due to the high value of Qbd The best thing to do is to measure first Q^j values at different current densities for a small number of capacitors over a wide range of currents. The result will be as shown in Fig. 3.6. From this result one can take the value of J just at or below J^j-jt- The measurement is then relatively quick, avoiding too small Q^j values. As in the case of the ramped-current test, values of ^5^ and Q^^j can be compared. The correlation of these two parameters can reveal the origin of the statistical spread. A disadvantage of the constant-current method, is that small values of Q^^ are difficult to measure. At relatively high currents they cannot be measured due to the very short time needed for a breakdown. For instance, a current density of 0.1 A/cm^ and a short integration time of 1 msec yield a minimum value of 10~4 C/cm^. "Defective" capacitors often have Qbd values which are below 10"6 C/cm^. The advantages and disadvantages of the constant-current test can be summarized as follows: • (+) this method can be the quickest way to force a capacitor to breakdown, • (+) both Q^^ and ^^^j values are obtained. Correlations can reveal the origin of the spread, • (-) a preliminary knowledge of J^nt is necessary. This is obtained by measuring similar capacitors over a wide range of injection currents, • (-) very small values of Q^d (as occurs for "defective" capacitors) cannot be measured, unless the current density is chosen very low. Then, however, testing "intrinsic " capacitors takes an extremely long measuring time. 6. A COMPARISON OF THE TEST METHODS Historically, the different stress tests have been introduced to measure properties which were assumed to be descriptive for the dielectric. For instance, the dielectric strength in a ramped-voltage test or the lifetime in a fixed-voltage test. Only recently has one reached the conclusion that there are no real differences between the tests [3]. This might sound unrealistic since defects often show up in one test and not in another. This is, for instance, the case when distributions of constant-current tests are compared with a fast ramped-voltage test. In the latter case the ramp is often so fast that "defective" devices do not break down fast enough and are considered as "intrinsic". This effect can also occur for current tests with different currents values. For instance, Olivo et al. [28] have observed a higher defect density for lower current stresses, which suggests that the number of defects is stress-dependent.
D.R. Walters etal
256
Hence, to interpret breakdown results, a "sound" distinction must first be made between intrinsic and defective breakdown. The presence of a defect often confines the current to a local spot and gives rise: to a strong local wearout and, thus, to an early breakdown. This reduces Qbd by orders of magnitude and also reduces ^bj . To make this distinction the fraction of "defective" capacitors must either be known or be reduced to an insignificant level. A statistical approach shows the fraction of "defective" capacitors, while the use of small-area capacitors reduces this fraction. We shall next compare whole distributions and thus account for the difference between the intrinsic values and those of defective capacitors. This is illustrated in Fig. 3.13, which shows the distribution of Qbd values obtained by the four test methods. The figure is a probability plot according to an extreme value distribution where the cumulative probability for failures, F, is a double exponential function of the value of Qbd/Qbd ^^®^® Qbd is the modal value of Qbd- Taking twice the logarithm shows that In [- ln(l - F)] oc Qbd- The probability plots have been discussed in Chap. 6 of Vol. 1. As can be seen, all the different test methods yield the same "intrinsic" Qbd value. Furthermore, approximately the same percentage of "defective" capacitors are observed for the different test methods.
1 2 3 4
= = = =
const, current const, voltage ramped voltage ramped current
0 .I
^
-2h poly-Si 110 Ang oxide p-substrate Si
-4
I lllllJ
10*
10"
10>o
Qbd [C/cm2]
I I IIIM
10 ^
Fig, 3,13 Ramped and constant-voltage and current-test results are compared Note that the intrinsic values and defect values match in the four test methods.
Dielectric Breakdown in Silica
257
7. CORRELATION OF QBD AND ^BD VALUES The intrinsic values of ^^d ^^ Qbd obtained from measured distributions may deviate from the expected normal. They can be too small or too large or have a large spread. Some of the possible deviations will now be discussed. • The ^b^i values are too small: this is possibly caused by a substantial density of positive charges or interface states which are homogeneously distributed over the area. Likewise surface roughening or a very large number of defects, which also lower the "effective" barrier height, yield ^^d values which are too small. In the latter case, Qt,^ values are small too, and both are widely distributed. • The Qi,^ values are too small: this is often caused by structural defects or by a local thinning of the gate oxide at edges (e.g. "bird beaks"). Field enhancement at gate edges or asperities on the injecting interface may cause inhomogeneous injection and small Q^d values. Inhomogeneously distributed traps may also result in current confinement. Note that the inhomogeneity lowers the value of Q^j. When, in contrast, the amount of asperities is very large and homogeneously distributed over the gate area, the injection is fairly spread out, causing relative high values of Q^^. • The ^bd values are too large: this may be due to severe trapping. For instance if M atoms diffuse into Si02 and form electron traps close to the gate, this causes an increase of the barrier width. In that case, the applied field has to be increased to obtain a certain current density. This results in higher ^^d values. Often in these cases the Q^^j values are smaller than without trapping, due to inhomogeneous injection. • The Qb^ values are too large: at very high current densities, the rate of charging of a capacitor is very high. If after a fast charging, a self-healing breakdown takes place, the capacitor may restore before the drop of the voltage has been monitored. In this case exorbitant Q^j values may be observed. It is often useful to draw a plot of Q^^^ versus ^i^^j values for a certain distribution. The possible shapes of such plots are depicted in Fig. 3.14. The correlations between ^^^ and Qbd values are described for the four numbered correlations (1-4). These are discussed below. Differences in the shapes of the Q^d versus ^^^ pl^^s may in the first place be due to the different test techniques used. • When a ramping test technique is used, there is a certain probability that the current will increase above J^nt' ^^ which point the Q^j value decreases due to current confinement effects. • When a constant-current or constant-voltage technique is used, this probability is less. Above J^j-it, the Q^^j distribution will tend to spread out, while the ^^j distribution tends to narrow. Below J^nt the reverse happens: the Q^d distribution is narrow while the ^bd distribution is wide. An example of Qbd(^bd) P^^^s obtained by constant-current and ramped-current measurements is given in Figs. 3.15 and 3.16.
258
D,R, Wolters et aL
C\J
E o
x> JD
o O
^bd [MV/cm]
•
Fig. 3.14 The Qi^^ versus t^i^^ plots can have different shapes. The meaning of the four numbers is discussed in the text.
There are also influences of the manufacturing processing which explain the shape of the Q^^ versus ^^d plots. The shapes of the plots, as depicted in Fig.3.14 and numbered 1-4, are explained next: 1. A spread in Q^^j but not in ^^^ values. The absence of spread in ^^d values reveals that the injecting interfaces of all capacitors have similar properties. It can be concluded from the spread of Q^j values that the growth of the low-resistance path varies. Most probably, the dielectric contains a large density of traps or interface states. 2. A spread in ^^^ but not in Q^^ values. This often occurs for irregular surfaces (e.g. "sacrificially oxidized" surfaces) or surfaces with an irregular distribution of interface-states or traps close to the injecting interface. HCI treatments often reduce a large spread in ^^d [29]. 3. A positive correlation of ^^d ^^th Q^^ values. In this case large Q^j values correlate with large ^^d values. This often indicates that the weakness of the capacitors is due to inhomogeneous injection. Single defects with smaller barrier heights in the capacitor area will confine all the current to one spot. The trapping of carriers may cause the same correlation: for the same current a higher voltage is needed if the stress before breakdown takes longer; i.e. if Qj^^ is larger. 4. A negative correlation of ^^d with Q^j values. Small ^bd values correlate with large Q^^j values and vice-versa. This indicates an evolution of the opposite charge during stress. For instance, the injection of electrons becomes easier through the evolution of the positive space charge during the stress. By this effect the voltage decreases for longer stress; i.e. when Q^j is larger. This effect occurs when A£ electrodes are biased positively [5].
259
Dielectric Breakdown in Silica 14 12 \
10
O c
8
J
•o
o o
6 4
poly-Si 175 Ang oxide \ p-substrate
2 0
0
2
4
6
8
10
12
^bd [MV/cm]
14 ^
Fig. 5.75 GM V5 ^i,ci plot for ramped-current test results
14 12 K
E 10 o O 8 c •o
O O)
o
poly-Si 175 Ang oxide _| p-substrate 0
0
6
8
10
12
14
^bd [MV/cm] Fig. 3.16 Qi,j vs ^i,j plot for constant-current test results
260 8. ^BD AND Q B D
D,R, Wolters et aL VALUES
ARE MATERIAL PROPERTIES
The four stress methods: constant-voltage or current and ramped-voltage or current can all be used to describe the quality of oxide layers. The extracted parameters, Q^d and ^ ^ j , are of practical value, since both can be considered to be material properties. Both can be monitored to check when the quality of the dielectric deviates from its normal value. The values of these two parameters can also give a rough estimate of the expected reliability hazard. However, one must be very careful in using them to calculate a realistic lifetime. A high Q^j, for instance, is not necessarily a guarantee of a long life for a MOS capacitor. Although the injection current may be small in the beginning, the degradation of the dielectric during stress may cause the current to increase by several orders of magnitude. Likewise the reverse situation of a current decreasing by several orders of magnitude may occur. Such extrapolations should therefore be handled with great care. Furthermore, the lifetime depends on the application of the dielectric and its specifications. For instance, for an AC coupler a dielectric needs to have a combination of properties which is different from that needed for a damping device. This can best be understood by considering Q^j and ^5^ as pure material properties. They have their analogs in the maximum strain and yield values for mechanical breakdown. (See intermezzo^*^ below).
^*^ Intermezzo Electrical breakdown has its analog in mechanical breakdown [4], The conservative energy storage occurs in the linear or elastic strain region while the dissipative energy storage is found in the plastic deformation region. Young's modulus is comparable to the reciprocal dielectric constant. The maximum mechanical stress at breakdown (yield) is very comparable to the value of <^^^ and the maximum strain at mechanical breakdown is comparable to Qi^^, The well-known stress-strain plot in mechanics has a shape similar to that of an <^^j - QbdP^ot. For a mechanical structure used in "risky'* conditions, it is very useful to know the strength and the maximum deformation required by the application. For instance, glass has an attractively high strength for static compressive forces but fails very easily under dynamic stress. Rubber has a very attractive maximum strain but can hardly be used under static load when a large creep must be avoided. Each application thus requires a specific combination of material properties. Similar considerations might be important in designing electrical circuits.
Dielectric Breakdown in Silica
KJ
C
r\
261
R
R
o
o
Fig. 3.17 a) An AC coupler needs a very low leakage current, so the |^^ value should be high but the G^^ value is not so important, b) A damping device does not need a very low leakage current but therefore does need a very large Qi,j to be sure of a long life.
In electrical insulation a "brittle" (high ^bd' low Qbd) material and a "tough" material (highQbd, low ^bd) will have different applications. By way of an example, the possible applications for differentiating and integrating RC-networks will be discussed. AC-coupler: for a differentiating RC-device (an AC-coupler), as shown in Fig. 3.17a, a very low leakage current is required. A designer would be better off choosing a capacitor with a dielectric having a large barrier height at its interfaces, since this would suppress the leakage current. He could also consider incorporating a large number of deep traps in the dielectric, since permanent trapping reduces current leakage through the capacitor. Such permanent trapping occurs in A£-gated capacitors which have considerably higher ^bd values than poly-Si capacitors due to Af-related electron traps in the dielectric. An A^-gated capacitor is the best choice for an AC-coupler. The small Qbd value is not a serious handicap since the leakage current is small. Damping device: the capacitor in an integrating RC network, (a damping device) as shown in Fig.3.17b, must be as large as possible. A dielectric with a high dielectric constant or a very thin dielectric layer is therefore the best choice. A thin dielectric means a relatively high leakage current at low voltages. This can be tolerated for a damping device as long as there is no breakdown. A poly-Si-gated capacitor is the best choice for a damping device since it has a very large Qbd value. It is usually orders of magnitude larger than an A£-gated capacitor [5]. The polysilicon gated capacitor is also the best choice for an EEPROM-device since transport through the dielectric to the floating gate is part of the operation of the device. The A^-gated capacitor can be compared with a spring made up of a hard brittle material, and the polysilicon-gated capacitor with one made up of a tough material.
262
D,R. Wolters et aL
9. CONCLUSIONS It makes no difference how capacitors are characterized for breakdown. Any test is as good as another, but it is advisable to choose a method which enables the measurement of both the applied field at breakdown, ^^j and the total fluence of charge at breakdown, Q^^ The most convenient method for a fast monitoring is the ramped-current technique since it yields the values of both Q^j and ^^d ^^ ^ simple way. 10. REFERENCES [I]
D. R. Wolters and J. F. Verweij. Instabilities in Silicon Devices, eds. G. Barbottin and A. Vapaille, North Holland, Amsterdam:315 (1986).
[2]
K. Hamano. Jap. J. ofAppL Phys., 13: 1085 (1974).
[3]
D. R. Wolters. Springer series in Electrophysics. eds. M. Schulz and G. Pensl, 7:180 (1981).
[4]
D. R. Wolters and J. J. Van der Schoot. Philips Journ. of Research, 40:115 (1985).
[5]
D. R. Wolters and J. J. Van der Schoot Philips Journ. of Research, 40:137 (1985).
[6]
D. R. Wolters and J. J. Van der Schoot. Philips Journ. of Research, 40: 164 (1985).
[7]
J. F. Verwey, E. A. Amerasekera, and J. Bisschop. Rep. Progr. Phys., 53:297 (1990).
[8]
D. R. Wolters and A. T. A. Zegers van Duijnhoven. Proc. ofSymp. on Silicon nitride and Silicon dioxide of the Electrochem. Soc. eds. V. Kapoor and K T. Hankins, 87-10:277 (1987).
[9]
T. Poorter and D. R. Wolters. Insulating films on Semiconductors, eds. J. F. Verwey and D. Wolters North Holland, 3 :266 (1983).
[10]
D. R. Wolters and A. T. A. Zegers van Duynhoven. The Physics and Technology of Amorphous Si02; ed. R. A. B. Devine. Plenum Press 1988, p. 391(1988).
[II]
Y. Uraoka, N. Tsutsu, T. Morii, Y. Nakata, and H. Esaki. Private Communication (1989).
[12]
M. Shatzkes and M. Av-ron. I.RP.S. (1992).
[13]
R. Moazzami and C. Hu. I.RP.S. (1992).
[14]
M. Shatzkes and M. Av-ron. Proc. IEEE Int. Reliability Symp., 1984:137 (1984)
[15]
E. Cartier and P. R. McFeely. Proc. Int. Conf Infos 1991. ed. W. Eccleston, :43().
[16]
M. V. Fisschetti and D. J. DiMaria. Appl. Surf. Science, 31:377 (1988).
[17]
D. J. DiMaria and M. V. Fisschetti. Appl. Surf. Science, 30:278 (1987).
[18]
D. K. Ferry. Appl. Surf. Science, 31:37 (1988).
[19]
Z. A. Weinberg. Appl. Surf. Science, 31:427 (1988).
[20]
J. W. McPherson and D. A. Baglee. Proc. IEEE Int. Reliability Physics Symp.'.l (1985).
[21]
R. Moazzami, J. Lee, and C. Hu. IEEE Trans ED-37, 37:1643 (1990).
[22]
N. Klein. Appl. Surf. Science, 31 (1988).
[23]
M. V. Fisschetti, R. Gatstaldi, F. Maggioni, and A. Modelli. J Appl. Phys., 53:3129 (1982).
Dielectric Breakdown in Silica
263
[24]
K. R. Farmer, M. O. Andersson, and O. Engstrom. Appl Phys. Lett, 58:2666 (1991).
[25]
J. Lee, I. Chen, and C. Hu. IEEE Trans ED-35, 35:2268 (1988).
[26]
R. Moazzami, J. Lee, L Chen, and C. Hu. lEDM Techn Dig.
[27]
E. Harari. 7. Appl Phys., 49\2A1% (1978).
[28]
P. Olivo, T. N. Nguyen, and B. Ricco. Appl. Surf. Science, 31:449 (1988).
[29]
F. Vollebregt, J. F. Verwey, and D. R. Wolters. Insulating Films on Semiconductors, eds. J. J. Simonne and J. Buxo, North Holland, Amsterdam, :137, (1986).
This Page Intentionally Left Blank
265
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) 1999 Elsevier Science B.V.
CHAPTER 4
HOT CARRIER INJECTIONS IN SIO2 AND RELATED INSTABILITIES IN SUBMICROMETER MOSFETS byD. VUILLAUME(i)
Key items Hot carrier effects Substrate and gate currents Lucky electron model Static and dynamic injections Carrier trapping in Si02 Interface state and defect creation models Impact ionization Diffusion of hydrogen-related species Device engineering Ultra-small (< 0.1 |im) MOSFETs Floating gate technique Electrically detected magnetic resonance
(1) Institut dElectronique et de Microelectronique du Nord (lEMN), UMR 9929, CNRS Institut Superieur d'Electronique du Nord (ISEN) Departement de Physique 41 Boulevard Vauban 59046 Lille cedex, France
266
D. Vuillaume
Abstract of Chapter 4: Hot carrier injections in Si02 and related instabilities in submicrometer MOSFETs, This chapter aims at reviewing recent results on hot carrier injections, interface state creation, charge trapping and related phenomena in submicrometer MOSFETs. Starting from a phenomenological description of hot carrier injections in MOSFETs, the modeling of hot carrier injection is then discussed, from simple analytical models to more sophisticated ones. Typical examples of simulated substrate and gate currents are given. The damage to electrical performances of MOSFETs, induced by hot carrier injection, is described as a function of the operating voltage conditions. A comparison of damage induced by dynamic (DC) and static (AC) injections is included in this chapter. Accelerated aging experiments are described in order to determine the lifetime of devices. Recent results regarding the microscopic nature of damage induced by hot carrier injection and the physical mechanisms by which these defects are created are reviewed. Ways of reducing instabilities due to hot carrier injections, using device engineering, are presented. Finally, recent results regarding deep submicrometer devices (< 0.1 fxm) are briefly discussed and future trends are sketched. Resume du chapitre 4 : Injections deporteurs chauds dans Si02 et instabilites connexes dans les MOSFET submicroniques. Ce chapitre a pour objectifde presenter une synthese de resultats re cents sur les phenomenes d'injection de porteurs chauds, de creation d'etats d'interface et de piegeage de charges dans les MOSFET submicroniques. On debute par une presentation phenomenologique des injections de porteurs chauds dans les MOSFET. On presente ensuite la modelisation de ces injections en partant de mode les analytiques simples jusqu'a des mode les plus sophistiques. On donne des exemples typiques de simulation des courants de substrat et de grille. On decrit les degradations des performances electriques des MOSFET, induites par les injections de porteurs chauds, en fonction des tensions de fonctionnement. Une comparaison des degradations induites par des injections dynamiques et statiques est incluse dans ce chapitre. On decrit des experiences de vieillissement accelere dans I 'optique de determiner la duree de vie des composants. On passe en revue des resultats recents concernant la nature microscopique des degradations induites par les injections de porteurs chauds, et les mecanismes physiques par lesquels ces defauts sont generes. On presente comment reduire les instabilites dues aux porteurs chauds par Vingenierie des composants. Enfin, on expose brievement des resultats recents sur les transistors fortement submicroniques (< 0. Ijum), et des pistes futures sont esquissees. Zusammenfassung zu Kapitel 4 : Injektion von heissen Elektronen in das Si02 und damit verbundene Unstabilitdten in sub-mikrometer MOSFETs. Das Ziel dieses Kapitels ist es einen Uberblick fiber neuerer Resultate betrefjlich Injektion von heissen Ladungstragern, Schajfung von Grenzfldchenzustdnden, Einfaug von Ladungstrdgern und damit verbundenen Erscheinungen in sub-mikrometer MOSFETs zu geben. Nach einer phdnomelogischen Beschreibung der Injektionen von heissen Ladungstrdgern in MOSFETs, wird die Modellierung dieser Injektionen beschrieben, von einfachen analytischen bis zu hochkomplizierten Modellen. Typische Beispiele von Simulationen der Substrats- und Gitterstrome werden beschrieben und erldutert. Esfolgt eine Beschreibung der Verdnderungen der elektrischen Eigenschaften der MOSFETs, die durch die Injektion von heissen Ladungstrdgern hervorgerufen werden, in Abhdngigkeit von den angelegten Versorgungsspannungen, als auch ein Vergleich der durch statische und dynamische Injektionen hervorgerufenen Verdnderungen. Anschliessend werden die beschleunigten Alterungsexperimente beschrieben, anhand derer man versucht die Lebensdauer eines Bausteins genau zu bestimmen. Es folgt eine Aufstellung der neueren Erkenntnisse bezUglich der mikroskopischen Natur der durch Injektion von heissen Ladungstrdgern hervorgerufenen Verdnderungen, und eine Beschreibung der physikalischen Vorgdnge die zu diesen Strukturfehlern fuhren. Die Moglichkeiten die durch Injektion von heissen Ladungstrdgern hervorgerufenen Unstabilitdten durch Bausteinoptimisierung zu veringern werden detailliert dargestellt. Schliesslich wird ein Ausblick aufdas Verhalten von MOSFETs mit Kanalldngen im tiefen Submikrometerbereich (Kanalldnge unter 0,1 Mikrometer) gegeben.
Hot Carrier Injections in Silica
267
Chapter 4: Hot carrier injections in SIO2 and related instabilities in submicrometer MOSFETs Table of contents List of symbols and abbreviations used 1. Introduction 2. Phenomenological description of hot carrier injection in n-channel MOSFETs 3. Modeling hot carrier injection (HCI) in n-channel MOSFETs 3.1. The importance of injection modeling 3.2. Expression of the substrate current 3.2.1. An analytical approach 3.2.2. An approach based on 2-D simulations 3.3. Expression of the gate current 3.3.1. Typical behavior of the gate current 3.3.2. Models using the "lucky electron" concept 3.3.3. Models using the "effective electron temperature" concept 3.3.4. Advantages and limitations of 2-D simulations 3.3.5. Discussion and more sophisticated approaches 3.4. Relationship between gate and substrate currents 4. Damage induced in n-channel MOSFETs by hot carrier injection (HCI) at low and medium gate voltages (VQ ^ VD/2) 4.1. The main features of HCI-induced degradation 4.2. Damage due to post-aging injection 4.3. Some models proposed to explain HCI-induced degradation 4.3.1. Models based on the generation of interface states 4.3.2. Model including interface states and donor-like oxide traps 4.3.3. Other models 5. Damage induced in n-channel MOSFETs by hot electron injection (HEI) at high gate voltages (VQ « VQ) 5.1. Evidence of HEI-induced damage 5.2. Effect of HEI on the transistor parameters 5.3. Effect of HEI on the gate current 6. Injections and related damage in p-channel MOSFETs 6.1. Effects of HCI on the substrate and gate currents 6.2. Degradation of p-channel MOSFETs stressed at low gate voltages 6.3. Degradation of p-channel MOSFETs stressed at high gate voltages 6.4. Surface channel versus buried channel devices
268
D, Vuillaume
7. Dynamic (AC) versus static (DC) injections 7.1. A brief survey of the literature 7.2. The physical effects involved during AC injections 7.2.1. Hole transport properties in Si02 7.2.2. Detrapping effects 7.2.3. The effects of alternating injections (hole/electron) 7.2.4. Relevance for circuit operation 8. The microscopic nature of the damage induced by hot carrier injection (HCI) 8.1. Nature of the defects created at the Si-Si02 interface 8.1.1. Basic experimental results on fast interface states 8.1.2. Other interface defects (slow interface states) 8.1.3. The creation mechanisms 8.2. Nature of the defects created in the bulk oxide 9. Technology and device engineering 10. Future trends and conclusion Acknow^ledgements Appendix 1: Basic principle of the floating gate technique Appendix 2: The electrically detected magnetic resonance technique List of references
Hot Carrier Injections in Silica
269
LIST OF SYMBOLS AND ABBREVIATIONS USED a ai
(V.cm)l/2
a2
Vl/3.cm2/3
A
-
Ai Bi C
cm-1 V.cm-1 -
CG
pF nm cm-^.eV^ eV eV V.cm-l
d Dit E Ep F(x) '• m
^ ox fREDF
g Git,e Git,h
Sm Agm h H ID
AID IG
lG,e lG,h IQR
linj.e Iiiij,h
ISUB Jinj(x) k
V.cm-1 V.cm-1 A.V-1 A.V-1 J.s mTorG A A A A A A A A A A.cm-2 J.K-l
Fitting parameter in Eq. (4.15) Coefficient associated with the barrier lowering due to the imagepotential at the Si-Si02 interface Coefficient associated with the barrier lowering due to carrier tunneling at the Si-Si02 interface Parameter of the time-power law encountered during accelerated test Pre-exponential factor of the impact ionization coefficient Exponential factor of the impact ionization coefficient. Proportionality factor between gate and substrate current in Eq. (4.17) Capacitance of the gate node Distance of a free flight of carriers without any collision Interface states density Energy Fermi energy Longitudinal electric field in the channel, at a distance x from the source Maximum longitudinal electric field Perpendicular electric field across the gate oxide High energy distribution function Lande factor or g-factor (strictly speaking, g is a tensor) Generation rate of interface states for electron injection Generation rate of interface states for hole injection Transconductance Shift in transconductance Planck constant (6.626x10-34 j.s) Magnetic field Drain current Shift in drain current Gate current Gate current due to electrons Gate current due to holes Generation-recombination current Injected current at the Si-Si02 interface due to electrons Injected current at the Si-Si02 interface due to holes Substrate Current Density of the injected current at the Si-Si02 interface Boltzmann constant (1.38x10-23 j.K-1)
270
£). Vuillaume
L AL
[xm
Leff
|Lim
M n
cm-2
nc(x,y)
|im
N No P
cm"2 cm"2
Pb,PbO,Pbl
-
p
-
-
^Si
-
P
C
AQG
R AR s
c c
s-1 s-1 -
Drawn channel length Length of the degraded portion of the channel Effective channel length Multiplication factor Exponent of the time-power law Bi-dimensional carrier concentration at location (x,y) in the device Concentration of trapped electrons Pre-existing electron trap concentration Probability Symbols representing the various trivalent silicon dangling bonds at the Si-Si02 interface Probability that a carrier overcomes the scattering phenomena caused by the repulsive oxide field at the Si-Si02 interface Probability of a collision-free travel in the silicon from the channel to the interface Probability that a carrier overcomes the Si-Si02 energy barrier Charge of the electron (1.6x10-19 C) Charge stored on the gate Change in gate charge Recombination rate Change in recombination rate Quantum number for the spin momentum of electrons (s = +?/2 or -Vi)
t At T ^ox u VB VD VDfg ^Dsat VQ AVG Vs VT
AVj W X
s s K nm ^im V V V V V V cm/s V V |im ^m
Time Time interval Effective electron temperature Oxide thickness Distance. Also dumb variable in Eq. (4.14) Substrate voltage Drain voltage Drain voltage used during the measurement of the gate current by means of the floating gate technique Saturation drain voltage Gate voltage Shift in gate voltage Saturation velocity (« 10^ cm/s) Threshold gate voltage Shift in threshold voltage Channel width Longitudinal distance along the channel
Hot Carrier Injections in Silica
xo
|am
Xe
|im
^j
|am
y Yo
|im
a
P
cm-1 J/T
^m
eV eV
Oi
X
|im
eV eV nm
X*
|im
K
nm nm nm nm nm cm2 s s GHz
\
a T
AC BC CB CHE CMOS CP C-V CVD DAHC DC DLC DLTS DRAM EDMR EPROM
111
Parameter in Eq. (4.20) Critical distance for electron trapping in p-MOSFETs (Eq. (4.21)) Drain and source junction depth Distance in a direction perpendicular to the channel Depth of the region of repulsive oxide field at the Si-Si02 interface Impact ionization coefficient Bohr magneton (9.274xl0"21 J/T) Relative permittivity (dielectric constant) of the oxide (EQX = 3.9) Effective energy barrier at the Si-Si02 interface Energy barrier at the Si-Si02 interface without electric field in the oxide Impact ionization energy Impact ionization energy for holes Scattering mean free path Effective length of the velocity saturation region Scattering mean free path for electrons in silicon Scattering mean free path for holes in silicon Scattering mean free path in the oxide Mean free path for scattering by optical phonons Re-direction scattering mean free path Capture cross-section Time needed to reach a pre-defined amount of device degradation Energy relaxation time Microwave frequency Alternating Current Buried Channel Conduction Band Channel Hot Electron Complementary Metal Oxide Semiconductor Charge Pumping Capacitance-Voltage Chemical Vapor Deposition Drain Avalanche Hot carrier Direct Current Double LDD Concave Deep Level Transient Spectroscopy Dynamic Random Access Memory Electrically Detected Magnetic Resonance Erasable Programmable Read Only Memory
272
ESR FG GOLD HCI HEDF HEI HRS
n I-V LDD MOS MOSFET RONO RTF RTS SC SCHE SDR SED SEI SHE Si
Si02 SRAM TOPS TTL VB
D. Vuillaume Electron Spin Resonance Floating Gate Gate Overlapped LDD device Hot Carrier Injection High Energy Distribution Function Hot Electron Injection Hydrogen-Related Species Impact Ionization Current-Voltage Lightly Doped Drain Metal Oxide Semiconductor Metal Oxide Semiconductor Field Effect Transistor ReOxidized Nitrided Oxide Rapid Thermal Process Random Telegraph Signal Surface Channel Substrate Current Hot Electron Spin-Dependent Recombination Single Electron Device Short Electron Injection Substrate Hot Electron Silicon Silicon Dioxide Static Random Access Memory Total Overlap LDD with Polysilicon Spacer Transistor Transistor Logic Valence band
Hot Carrier Injections in Silica
273
1. INTRODUCTION In 4-Mbit dynamic RAMs (DRAMs) in use today (1994), the channel length of the MOS transistors (MOSFETs) has typically been reduced to 0.8 |im. For a number of reasons, the nominal supply voltage has been kept at 5 V, in particular to ensure a full compatibility with TTL circuits and/or to avoid a reduction in delay time propagation. In shorter devices (e.g. in 16-Mbit and 64-Mbit DRAMs), the channel length is further reduced (typically down to 0.5 and 0.3 |im), but the voltage is not reduced accordingly (for the same reasons as above and also to preserve a sufficient noise margin in these circuits). This means that the electric fields are increased and that special care must be taken to reduce the hot carrier effects. Because of the need to design reliable technologies which can minimize these effects, the study of hot carrier-induced degradations has become an important topic. This chapter aims at describing the recent understanding of hot carrier injection phenomena in silicon MOSFETs, and the injection-induced instabilities which can disturb a circuit or even lead to its failure. We have based this review on the results published for micrometer and submicrometer devices and technologies in order to present the most relevant features of modern and emerging silicon processes. The basic principles of Hot Carrier Injection (HCI) into silicon dioxide have been given in Chapter 9 of Volume 1 of this book series [200], and we refer the reader to this chapter for a description of the fundamental mechanisms. Chapter 9 dealt mainly with the physics of impact ionization and of hot carrier injection and described how to use and study homogeneous injections throughout the active area of the devices. However, in real working conditions, the MOS transistors are submitted to localized injections near the drain, which turns injection into a two-dimensional problem. When a short-channel MOSFET operates in the saturation regime, hot carriers are injected near the drain which eventually leads to a degradation of the device characteristics, i.e. to an "aging" of the device. We recall in Section 2 that there exist several modes of hot carrier injection, already described in Chap. 15 of vol. 2 of this book series [201]. However, in the remainder of this chapter we shall restrict our study to the two modes which are most important for submicrometer devices, namely CHE (Channel Hot Electron) injection and DAHC (Drain Avalanche Hot Carrier) injection. The modeling of these two injection modes requires, that first the substrate current, then the gate current be modeled. We show in Section 3 that the substrate current can be modeled either by using an analytical expression of the impact ionization phenomenon, or by using 2D simulation softwares. The modeling of the gate current is more complex because all injected electrons do not necessarily reach the gate electrode. The "lucky electron" concept enables one to establish an analytical expression of the gate current (IG) based on the device characteristics and on the mean free path of the injected carrier. The concept of "effective electron temperature" can also be used to derive an expression for IQ.
274
D. Vuillaume
These two approaches, developed in Section 3, show that both electrons and holes may be injected, even for low values of gate voltage VG, and that the nature of the injected carriers varies with the location in the channel. More sophisticated approaches have been developed based on Monte-Carlo techniques used alone, or associated with 2D simulations. We examine in Section 4 how the characteristics of n-channel MOSFETs get degraded by Hot Carrier Injection (HCI) at low and moderate gate voltages (VG < V D / 2 ) . With these bias conditions, electrons and/or holes may be injected. The ensuing changes in device characteristics (AVj, Agm/gm? AID/ID) are shown to follow a time-power law (©« At^) in which t is the stress duration, A depends on V D and n depends on ratio VGA^DWe also show that a short electron injection, following a prolonged HCI carried out at low VG, generates more degradation than if performed alone. To explain HCI-induced degradations, models have been developed. Some models assume that injected carriers generate interface states only. Others assume that, in addition, the injected carriers may get trapped on donor-like oxide traps. Finally, some models even assume that acceptor-like oxide traps are generated by the injection of hot holes at low YQ, When n-channel MOSFETs are stressed for higher gate voltages (VG r VD), hot electrons are injected. We show in Section 5 that Hot Electron Injection (HEI) produces degradations of the device characteristics which are of the same magnitude as those caused by HCI and which follow similar time-power laws (oc At^). In this case, the degradation is mostly due to the creation (by the injected electrons) of acceptor-like oxide traps and to electron trapping in the oxide bulk, which leads to a decrease in IG during stress. Less interface states are generated by HEI than by HCI. The case of stressed p-channel MOSFETs is examined in Section 6. Substrate and gate currents tend to be smaller than in n-MOSFETs because the mean free path of holes (in Si and Si02) and their efficiency in producing electron-hole pairs through impact ionization are smaller than those of electrons. For stress at low VG, an electron injection is observed close to the drain, followed by electron trapping. The ensuing negative space charge may invert the n-substrate and shorten the effective channel length. Just like for n-channel MOSFETs, such parameter modifications as AVT, Agm/gm ai^d AID/ID ^ ^ shown to follow time-power laws or logarithmic power laws. For stress at higher VG, a hole injection is observed (although with difficulty) as well as an increase in interface states. Surface channel p-MOSFETs (obtained by using p+- doped polysilicon gate electrodes) prove to be less sensitive to stress than buried channel p-MOSFETs (which use n"*"- doped polysilicon gate electrodes), although precautions must be taken to avoid the migration of boron atoms.
Hot Carrier Injections in Silica
275
In real working conditions, MOSFETs are submitted to dynamic stresses (rather than to static stresses). The question than arises as to whether it is possible to extrapolate AC stress results from DC stress results. In Section 7 we compare degradations obtained by static and dynamic stresses. Depending on the applied gate and drain voltage waveforms, degradations observed for AC stresses may be more or less important than those observed for DC stresses. The degradation mechanisms are complex since they may include, depending on the respective VQA^D ratio, electron or hole injection, carrier trapping and detrapping, and the generation of interface and bulk Si02 traps. The technology used to manufacture the gate oxide plays also a part in the observed results (nitrided oxide versus pure oxide). In Section 8 we examine the defects created at the Si-Si02 interface, and in the bulk, by HCI. Recently developed techniques such as Spin Dependent Re-combination (SDR) and Electrically Detected Magnetic Resonance (EDMR) show that HCI generates fast and slow interface states. Two models have been proposed. In the first one, injected carriers release hydrogenated species while passing through the oxide. These species diffuse to the Si-Si02 interface and recombine with those hydrogen atoms which passivate the dangling bonds. Socalled Pb centers are thus created. In the second model, holes, generated by impact ionization, get trapped in the oxide and generate interface states. The first model seems to apply for lower electrical fields and larger fluences of injected carriers while the second model seems to apply for higher fields and smaller fluences. Technology plays also a part. The exact nature of bulk traps is difficult to assess experimentally. It is possible to reduce the consequences of HCI-induced aging in short-channel MOSFETs by improving the resistance of the oxides and by decreasing, by design, the injection probability near the drain. In Section 9, we review some LDD (Low Doped Drain) structures which make possible such a decrease. A further reduction in device dimensions (e.g. an effective channel length L^ff below 0.2 micron) and the lowering of drain voltage (down to 3 volts) could lead to believe that channel carriers can no longer gain enough kinetic energy to be injected. We show in Section 10 that this is not true. Deep submicrometer devices (with L^ff - 0.1 micron) not only experience HCI but also display some new phenomena: non-stationary and quantum transport. Coulomb blockade, Random Telegraph Signal, etc.). These phenomena, briefly described, either can be obstacles to further integration or, on the contrary, may give birth to new devices possessing interesting properties. The Floating Gate (FG) technique is very useful to study HCI-induced degradations. We review the basic principles of this technique in Appendix 1. Finally, in Appendix 2 we describe a variant of the Electron Spin Resonance technique called: the Electrically Detected Magnetic Resonance (EDMR) technique. We show that it is a powerful tool to determine the configuration of defects at the Si-Si02 interface directly in small-size MOSFETs.
276
D, Vuillaume
2. PHENOMENOLOGICAL DESCRIPTION OF HOT CARRIER INJECTION IN N-CHANNEL MOSFETS The hot carrier injection which takes place near the drain of a MOS transistor is one of the major problems which must be solved in order to develop a reliable submicrometer technology. This injection, which arises when the MOSFET operates normally in the saturation mode, induces long-term instabilities, also called device "aging". The HCI generates electrically active charges and defects in the MOSFET, mostly in the gate oxide and at the Si-Si02 interface. This leads to a degradation of the main electrical parameters of the transistors, and eventually to a failure of the devices and circuits which use them. A brief description of the injection of channel hot carriers has been given in § 3.3.1 of [200]. Many injection modes have been described in [201]. Figure 4.1 describes these various phenomena. The simplest mode is the substrate hot electron (SHE) injection (Fig.4.1-a) which takes place when electrons are heated by a large electric field in the space charge region undemeath the gate (see also § 3.3 in [200]). The injection is mostly uniform when drain and source biases are equal. This SHE injection dominates when a large bias is applied between gate and substrate (with substrate bias more negative than gate bias in the case of an n-channel MOSFET). Another type of substrate injection is the substrate current-induced hot electron injection (SCHE), which occurs when the substrate bias is strongly negative (for an n-channel MOSFET) and the gate voltage lower than the drain voltage (Fig. 4.1-b). These two injection modes are no longer a problem in the normal operation of a MOSFET, and they will not be discussed in this chapter (for more details see [200] and [201]). In today's MOSFETs, the main source of degradation is due to channel hot carrier injection phenomena: the so-called channel hot electron (CHE) and the drain avalanche hot carrier (DAHC) injections (Figs. 4.1-c and 4.1-d). When the drain voltage is large enough, electrons in the channel are heated by the longitudinal electric field, and electron-hole pairs can be generated by impact ionization near the drain. Depending on the gate voltage, electrons and/or holes with enough energy can overcome the energy barrier at the interface and be injected into the gate oxide. These two phenomena will be discussed more extensively in this chapter. 3. MODELING HOT CARRIER INJECTION (HCI) IN N-CHANNEL MOSFETS 3J. T H E IMPORTANCE OF INJECTION MODELING
The ultimate goal of hot carrier modeling is three-fold: • gain new insights into the injection phenomena to be able to interpret correctly the results of the accelerated aging experiments used to test the reliability of a given technology, • reduce hot carrier damage by choosing an optimal device structure, • optimize EEPROM cells, since HCI is sometimes used in the operation of such devices.
Hot Carrier Injections in Silica
111
VG>0 (b) SCHE
VG>0 D^
VG
VB<0 (a) SHE
HighVB < 0
VG>0 VD«VG
VB<0 (c)GHE
(d) DAHC
VB<0
Fig. 4.1 Illustration of several operating modes leading to hot carrier injection in an n-channel MOSFET. (a) Substrate hot electron (SHE) injection. Electrons coming from the substrate are accelerated by the high electric field prevailing in the space charge region and are injected in the oxide, (b) Substrate current-induced hot electron (SCHE) injection. Holes produced by impact ionization near the drain are accelerated by the electric field in the depleted region and can produce electron-hole pairs by impact ionization. Electrons^ accelerated by the electric fields are injected in the oxide, (c) Channel hot electron (CHE) injection. Some electrons coming from the inversion layer can gain enough energy to be injected in the oxide, (d) Drain avalanche hot carrier (DAHC). Electrons in the channel, accelerated by the longitudinal electric field, can produce electron-hole pairs by impact ionization near the drain. Both electrons and holes can be injected in the oxide depending on the prevailing oxide field.
278
D, Vuillaume
The theoretical analysis and the modeling of hot carrier injections are not straightforward because of the strong two-dimensional nature of such injections. To model HCI, two currents must be taken into account: the substrate current and the gate current. The substrate current is directly related to the impact ionization phenomenon which takes place in the channel and which produces the hot electrons and the hot holes. The gate current is related to the injection of these carriers into the gate oxide. The following sub-sections introduce the basic theories and give the basic equations currently used by engineers in the field of device modeling. 3.2. EXPRESSION OF THE SUBSTRATE CURRENT
In the channel and when the transistor is operating in the saturation mode, the electrons are accelerated by the longitudinal electric field of the space charge region near the drain (Fig. 4.2). They can gain energies larger than the silicon band-gap and generate electron-hole pairs by impact ionization (see [200]). The electrons are mainly collected at the drain, and the holes in the substrate. Thus, the existence of a substrate current IsuB indicates that impact ionization indeed occurs. Figure 4.3 shows that impact-ionization is maximum when the device operates for V Q values between V^/B and VD/2 [1]. 3.2.1. An analytical approach An empirical approach is often used to derive an analytical relationship linking IsuB and ID [2-4]. The substrate current can be analytically expressed as : IsuB=JDJo'^cc(FM)dx
(4.1)
where Leff is the effective channel length, and a(F(x)) is the impact ionization coefficient which depends on the value of the longitudinal electric field F(x) in the channel at a distance x from the source. For the sake of simplicity, one takes a(F )= Ai exp \ \
F)
(4.2-a)
with Bi^^i/qXp (see the Shockley model, in § 2.4.2 of [200]).
(4.2-b)
Hot Carrier Injections in Silica
279
Gate current Drain current ~ Electron O Hole
Substrate current Fig. 4,2 Schematic illustration of electron-hole pairs production by the impact ionization occuring near the drain when electrons coming from the inversion layer are accelerated by the longitudinal electric field in the space charge region.
§10-4t 10"''° E 10-^^ to O
2
4
6
8
10
12
Gate voltage (V) Fig. 4.3 Substrate (ISUB) ^^d gate (IQ) currents due to HCI are plotted as a function of gate voltage (VQ). The curves show experimental values (—) and results given by l-D simulations (—). The biasing conditions are: Vo = 8 V, VB = '2.5 V. The device characteristics are : n-MOSFET, Leff = L8 jum, W = 100 jum, and tox = 42 nm. (After [14], slightly modified).
280
D, Vuillaume
In Eq. (4.2-b), Oj is the impact ionization energy (i.e. about 1.6 eV for electrons and 2.5 to 2.7 eV for holes, as explained in § 3.3 and § 6.1, respectively) and Ap is the mean free path for scattering by optical phonons (i.e. 6.2 ± 0.5 nm for electrons and 4.5 ± 0.5 nm for holes [5]). These values are commonly accepted today for silicon technology. Using Eqs. (4.1) and (4.2), the multiplication factor M can be expressed by ,^ huB A" z7 '1 * I M =— = V" ^m ^ ^^P\ ^D Br^ V
Bi
(4.3)
^mj
The maximum longitudinal electric field Fm in the channel should be calculated from the voltage drop Vo-Vosat over an effective length X* of the velocity saturation region [6] and length A.* is a function both of oxide thickness tox and of junction depth Xj [6]. We can write: F
=
A*
(4.4)
This model is valid for channel lengths down to 0.5 |LLm. An extension of this model (used for the determination of Fm and A*) has been proposed for LDD (Lightly Doped Drain) devices [7]. 3.2.2. An approach based on 2-D simulations The second approach used to calculate the substrate current is carried out in two steps and uses two-dimensional simulations. In the first step one calculates IsuB- This requires an accurate knowledge of the twodimensional (2-D) distribution: of the electric fields, of the carrier concentrations, and of the current densities. This can be obtained using 2-D device simulators (e.g. MINIMOS [8], IMPACT [91 or PISCES [10]). The simulation softwares used should be appropriate for the device technology being considered. This requires that source/drain and channel doping profiles be accurately known. 2-D process simulators, combined with experimental techniques [11] to verify the doping profiles, are available to provide such data (e.g. SUPREM [12] or IMPACT [9]). The 2-D field and carrier distributions are then obtained by solving the Poisson equation, the continuity equations and the drift-diffusion current equations given by the Boltzmann transport equation [13]. The second step is to choose an impact ionization model. The main models are described in § 2.4 of [200]. The Baraff relationship is the most useful one to get the impact ionization coefficient. Typical 2-D simulation results of IguB ^ ^ ^^^ shown in Fig. 4.3 [14].
Hot Carrier Injections in Silica
281
3.3. EXPRESSION OF THE GATE CURRENT
Compared to the substrate current simulation described above, the simulation of the gate current is a more difficult and tedious task. This is because several physical events (described in the following sub-sections) must occur before carriers coming from the silicon substrate can be injected into the gate insulator, and then reach the gate electrode. Therefore, many approaches have been proposed to obtain both a valuable analysis and a simulation of the gate current. 3.3.1. Typical behavior of the gate current The carriers generated by impact ionization near the drain can be injected into the oxide layer if their kinetic energy exceeds the height of the energy barrier at the interface (see [200]). Depending on the vertical component of the oxide field, electrons or holes may reach the gate electrode giving rise to a gate current IQ. Figure 4.4 shows a typical IQ-VQ characteristic. Such an IQ-VG curve reveals that the gate current is predominantly: an electron current for VG larger than VD/2, and a hole current for lower VG- The peak of the electron gate current is obtained when VG - VD- The reason is two-fold: • for V G larger than Vj), the longitudinal electric field decreases (the MOSFET is in the linear mode), and thus less hot electrons can be generated in the channel and then injected towards the gate. It follows that the electron gate current decreases when VG increases, even if the longitudinal field across the gate oxide favors electron injection (Fig. 4.5-a), • for V G lower than V D , the vertical component of the oxide field repels the injected electrons, and less electrons are able to pass through the gate oxide when VG decreases, even if more electrons are generated in the pinch-off region near the drain (Fig. 4.5-b), • for very low VQ values (close to Vj), the oxide field near the drain is strongly favourable to hole injection (Fig. 4.5-b), and a very small hole gate current is indeed measured (Fig. 4.4). The maximum level of the hole gate current is always smaller than that of the electron gate current. This is obviously because the barrier height that the carriers must overcome at the interface is higher for holes than for electrons. Moreover, hole trapping in the oxide is much more effective than electron trapping, and many injected holes cannot reach the gate without undergoing a trapping event. Because these electron and hole gate currents are often lower than 1 pA (Fig. 4.4) in submicrometer technologies, and thus cannot be measured with standard pico-ampmeters, their accurate measurement requires specific measurement techniques based on the so-called "floating gate" method [15,16] (see Appendix 1). These electron and hole gate currents are the main causes of device degradation and instabilities since carrier injection is followed by carrier trapping and charge build-up in the oxide. Moreover, these currents generate new traps in the oxide and at the Si-Si02 interface. The modeling of localized electron and hole injections in submicrometer MOSFETs plays an important role in the understanding of the hot carrier effects, and in minimizing the resulting degradations and instabilities.
D. Vuillaume
282
10"'2 10''
3 E
Vd =7V
NM05
i4
10"' CE
cn
10"'15
Vd =BV
10"'IG
10"17 10"IB 10"'19
Electrons
Holes
0
I
4
5 G Vg (V)
7
8
9
10
Fig. 4.4 Typical gate current measured by the floating gate technique in a 2/uni/50ijm n-channel MOSFET (oxide thickness: 20 nm). The current measured at low gate voltages is due to holes flowing through the oxide from the substrate to the gate electrode. The current measured at higher gate voltages is due to electrons flowing through the oxide ft-om the substrate to the gate electrode. The hole gate current is measured at V^/g = 8 V and the electron current at V^fg = 7V.
Electron Electron
Gate Gate
Silicon
Silicon
(a)
Oxide
(b)
Fig. 4.5 Energy band diagrams near the drain for two biasing situations in an n-channel MOSFET. (a) VG > VD and (b) VQ < VD-
283
Hot Carrier Injections in Silica 3.3.2. Models using the "lucky electron" concept
The most important insights gained about hot carrier injection come from the 2-D simulation of IQ. This simulation is usually based on the "lucky electron" concept (see § 4.4 in [200]). Basically, the lucky electron concept has been introduced to describe the nonequilibrium transport of carriers. It is based on the assumption that the probability that an electron gain a kinetic energy along a single free flight (d) is given by: P oc exp(-d/>.). In this expression, X is the mean free path which accounts for the main energy loss mechanisms. Applied to the case of localized injections near the drain of a MOSFET, the model describes [17] the probability that a carrier reaches the gate as the product of three probabilities illustrated in Fig. 4.6, namely: POB. Psi ^ d Fox-
•>
X
rain
Fig. 4,6 Schematic description of the three probabilities used in the "lucky electron model" to calculate the gate current.
• FOB is the probability that a carrier, located at a distance x from the source, possesses both enough kinetic energy to overcome the Si-Si02 barrier, and a momentum directed towards the interface. This probability can be written [17]:
=1
\
dE F(x)X)F{x)X E
•exp\ -
(4.5)
which is also expressed as Prfi_
=0.25-——exp\ 0B
OB
Fix)X
(4.6)
where E is the kinetic energy of the carrier, F(x) is the longitudinal electric field at a distance X from the source, O B is the effective energy barrier height and X is the scattering mean free path (see typical X values at the end of this sub-section). Equation (4.5) is a generalization of the simple lucky electron probability, P 0= exp(-d/X.), by taking into account that only electrons with a momentum directed towards the Si-Si02 interface can be injected, and integrated over all energies which exceed the barrier height [17]. The effective barrier height has been found to be correctly described by [17]:
284
D, Vuillaume
^=^0
-^l^'^2Fo/^
(4.7)
where FQX is the oxide field, OBO is 3.2 eV for electrons and 3.8 eV for holes, ai = 2.6 X 10"4 (V.cm)^^^ for Si02, and a2 is determined by comparison with experimental results: a2 = 4 x l 0 " ^ Vl/3cm^''^ [17]. The second term on the right hand side of (4.7) represents the barrier lowering effect due to the image-potential, and the third term accounts for the carrier tunneling which takes place through the (almost triangular) barrier at the top of the potential barrier (see Chap. 5 of Vol. 1 of this series). • Psi is the probability that a carrier travels in the silicon, from the channel to the interface, along distance y, in a direction perpendicular to the interface without undergoing any collision (Fig. 4.6). After Ning et al., we have [18]: (4.8)
• Pox is the probability that a carrier overcomes the scattering caused by the repulsive oxide field FQX at the interface. This field corresponds to the potential gradient caused by the oxide image-potential well for the carriers injected with a field attracting them to the gate (Fig. 4.5-a), or to the overall oxide field when the latter is repulsive for the carriers (Fig. 4.5-b). This probability can be expressed as: Pox=exp(-yo/Kx)
(4.9)
where
The first yo expression corresponds to Fig. 4.5-a while the second expression corresponds to Fig. 4.5-b. Parameter e^^ is the oxide permittivity, and XQ^ is the mean free path in the oxide. All these probabilities can be evaluated at each (x,y) location in the device (see Fig. 4.6). The density of the current injected at the interface, Jinj(x), is then calculated by integrating product POBPSI over the depth y of the device. It yields : JinjM = jnJx^y)P^^ y
Psi f-
(4.11)
^
where nc(x,y) is the 2-D distribution of the carrier concentration calculated by a 2-D device simulator, and ^ is the mean free path for elastic momentum scattering (see the end of this sub-section).
Hot Carrier Injections in Silica
285
The gate current can now be expressed in terms of the probability described above, and by taking into account those carriers which are scattered at the interface in the repulsive oxide field. It yields : (x)Po^dx Moh mjy-^^^ ox
(4.12)
where L is the channel length and W is the channel width. In the case most favourable to electron injection (i.e. around V Q = Vp), this integral can be analytically expressed by [17]: In ^0.5
^D^ox
m
P(F^^(L))exp-(^/Fj,)
(4.13)
J where Fm is the maximum longitudinal electric field at the drain, and probability P(Fox(L)) is calculated for the value of FQX at the drain (i.e. at distance L from the source). In this equation, P(Fox) is product PIPQX where Pi is similar to probability Psi weighted by the density of carriers in the inversion layer. In the case of electron injection, this product depends mainly on the oxide field FoxTypical simulations of IG are shown and compared with experimental results in Fig. 4.3. The mean free path X values used in these equations take into account both the optical phonon scattering mean free path and the impact ionization mean free path (see [200]). A typical X value of 9-9.5 nm is used for electrons and a X value of about 4.5-5 nm is used for holes [4]. The re-distribution mean free path A^ is the mean free path for which momentum relaxation takes place without significant energy exchange (elastic collision). A typical X^ value of 60 nm is commonly used for electrons and holes [17,19]. Finally, in the oxide, XQX is in the 3.2 to 3.4 nm range [14,17,20]. 3 3 J . Models using the "effective electron temperature" concept Another approach used to calculate the gate current, is based on the concept of "effective electron temperature" [14] (see § 4.3. in [200]). The electric field distribution near the drain is now used directly to evaluate an "effective electron temperature" distribution Tg(x,y) [21]. It yields: 2q foo c^ _. ( zq 7;W = —J^ E(x-u)exp
3u ^ ^^eVsy
du
(4.14)
where Vg = 107 cm/s is the saturated electron velocity, and T^ = 8 x 10-14 s is the energy relaxation time [14]. In this equation, u is a dumb variable used to calculate the integral. This "electron temperature" is used to calculate the hot-electron distribution. Probability POB is then given by:
286
Z). Vuillaume
P0s=^[kT,f^^w\-^\
(4.15)
where parameter a, determined from IG measurements, is the only fitting parameter. The rest of the model is strictly analogous to the lucky electron model. The above model is more correct than the "lucky electron" model in the case of high fields and strong field gradients, i.e. in the case of submicrometer MOSFETs. Typical Te distribution are shown in Fig. 4.7. 3.3.4. Advantages and limitations of 2-D simulations The above 2-D simulations emphasize the important difference between the current injected at the Si-Si02 interface and the current collected at the gate electrode. The former is made up of all the carriers injected into the oxide at the interface, even if the electric field is directed against injection (see e.g. Fig. 4.5-b for electrons), while the latter is made up of all the carriers that can flow through the oxide layer and reach the gate electrode. Figure 4.8 clearly demonstrates this important understanding of localized HCI in a MOSFET. We note in this figure that for medium and low gate voltages (i.e. V G ^ VD/2), a large density of electrons is injected through the Si-Si02 interface. These electrons, however, cannot reach the gate, due to the presence of a repulsive electric field in the oxide near the drain (Fig. 4.5-b), and are repelled in the channel. Nevertheless, this electron injection plays a key part in the degradation mechanisms induced in a MOSFET when the latter is aged at a low gate voltage (see Sect. 4). The 2-D simulations enable one also to calculate the longitudinal distribution of the injected carriers along the channel length (Eq. (4.11)). Several examples of simulation results are shown in Fig. 4.9 for conventional (Fig. 4.9-a) and LDD (Ligthly Doped Drain) 1 structures (Fig. 4.9-b) [19,22,23]. It is also clearly shown that electrons are injected at low VQ. In these two approaches (lucky electron or electron temperature models), IG is simply the integral of the injected carrier density along that part of the interface where the electric field in the oxide is favourable for carriers reaching the gate. However, a more rigorous approach should take into account the transport of carrier through Si02, and their possible trapping. This latter phenomenon should reduce the estimated IG- Moreover, the feedback effects between the trapped charges and the electric field in the oxide and the silicon should also be accounted for. In fact, any change in the electric field resulting from charge trapping will lead to changes in the number of injected carriers, and in tum lead to changes in IG- Several attempts to include, in 2-D simulations, the kinetics of trapping and defect creation during injection experiments can be found in the literature [14,22,24], but none of them include such feedback effects.
1 In order to reduce the longitudinal electric field near the drain, a smooth junction transition with a reduced doping concentration (n") between the channel and the drain is obtained in the LDD structures (see Sect. 9).
Hot Carrier Injections in Silica
287
Fig, 4.7 Effective electron temperature distribution in an n-channel MOSFET. Biasing conditions are: Vj^ = 5 V;y(j = 5 y, V^ = - 2.5 V. Device characteristics are: L = 1.3 jum, tox = 42 nm. (After [14], reprinted with permission, © 1985 IEEE).
1010-9 10-^ <:^
'c 10-^^
p k. 3
o
10-^2 10-^^ 10-^4
4
6
8
10
12
Gate voltage (V) Fig. 4.8 Results of a 2-D simulation showing the electron (I^e) ^^d hole (Ich) currents at the gate electrode ( ), and the injected electron (Iinj,e) ^^d hole (Iinj,h) currents at the Si-Si02 interface (—). The characteristics of the n-channel MOSFET are: L = 1.8 pm, W = 100 pm, t^x = 42 nm. Bias conditions are: VJ^ = 8V,VB = -2.5 V. (After [14], slightly modified).
D. Vuillaume
288 CM
E o
5
'(0
•o
O (a)
CM
-5
ioi h
Channel
io'a r 12 10-13 10 14 10 15 10 16 10 17
10-18 U Hole 10 19 10 20 10
-0.4
10 18
fe •
-0.2 0.0 0.2 Position (|Lim)
I
'
I
'
I
'
I
'
0.4
I
•
i
Channel Drain
E
lectron 1
0) 0)
-o 0)
1016 t
Hole
8 1 1
I
i'
I
I
1* I
1^ I
10^5 1 . / . -0.12-0.08-0.04 0.00 0.04 0.08 0.12
(b)
Position (urn)
Fig. 4.9 Results of 2-D simulations showing the localized distributions of electron and hole injections in an n-channel MOSFET. Case (a) corresponds to a conventional device, case (b) to an LDD one. Biasing conditions are : (a) VD=7V,VC = 2 V; (b) Vj) = 10.5 V, Vg = 5.25 V, VB = - 3 V. Device characteristics are: (a) L = 1.3 jLim, W = 50 iim, tox = 25 nm; (b) L = 2 /urn, W = 5 /jm, t^x = 20 nm. (After [19] and [22], slightly modified). The zero of the x-axis corresponds to the metallurgical junction of the drain.
Hot Carrier Injections in Silica
289
3.3.5. Discussion and more sophisticated approaches The lucky electron model is based on Shockley's model [25] (described in [200]). It uses a ballistic approach in which the electrons follow the electric field lines, and it assumes that these electrons have reached high energies during one ballistic flight before experiencing a collision. This approach supposes that scattering is weak. This, however, has been contradicted by Monte-Carlo simulations [26]. Several more sophisticated approaches have been developed in order to describe more accurately all the encountered physical phenomena. These models can be discussed and compared, and one convenient way to do so is to compare the energy dependence of the HighEnergy Distribution Function (HEDF) of the electrons generated in the channel by impact ionization. A correct description of the HEDF is key for a careful modeling of the substrate and gate currents. The conventional device simulators (which are based on the resolution of the conventional semiconductor equations) only give information on the spatial distribution of the electric fields and carriers. On the contrary, Monte-Carlo techniques directly provide the HEDF. However, Monte-Carlo simulations are very time-consuming, and analytical solutions are often more suitable in an engineering environment. The lucky electron model leads to a purely exponential dependence of the HEDF with energy E, which can be written:
r fHEDF(E)^^m
qFX
(4.16)
where F is the longitudinal electric field. Compared to the Monte-Carlo simulations, the high energy tail of the HEDF is not correctly reproduced by the lucky electron model nor by a Maxwellian distribution [27] corresponding to an average electronic temperature greater than the lattice temperature. This latter result has often been observed [28-30], and has been experimentally confirmed [31,32]. In fact, one has been able to probe the HEDF by analyzing the spectrum of the light emitted by an n-MOSFET [31,32] biased at elevated drain voltage. Photons in a large energy range (1 to 3 eV) are produced by hot electrons in the channel, and data obtained with these experiments can be used to study the HEDF, even though the interpretation of the data is not straightforward. These photons are produced by the recombination of electrons and holes in the channel, and by the Bremstrahlung of hot electrons interacting with charged centers. The former mechanism accounts for the low energy part (below 1.5 eV) of the photon distribution, and the latter for the high energy part (above 1.5 eV) [32]. Let us note that these photons can be reabsorbed elsewhere in the circuit where they are able to trigger some parasitic effects (such as for instance, a "refresh" degradation of DRAMs).
D. Vuillaume
290
25 E^ 20 c
1 \
1
(0
a. © 10
g 5
L [
1.0
^••••••••••J ^
1
1.5
1
1
1
2.0
1
2.5
1
J
3.0
Energy (eV) Fig. 4.10 The energy-dependent "mean free path" is plotted versus energy. It is used in the lucky electron model to closely match the more accurate Monte-Carlo study describing the high-energy distribution function of hot electrons in the conduction band near the drain ofn-channel MOSFETs. (After [30], slightly modified).
Nevertheless, the lucky electron model is probably the best known analytical model used for hot carrier phenomena, even if it is not devoid of drawbacks. It was recently shown that it can give a closed form of the high energy tail of the Monte-Carlo HEDF using an energydependent "mean free path" [30]. This "mean free path" is plotted versus energy in Fig. 4.10. It should be noted that the commonly used X value, i.e. X - 7.5 nm, occurs near 1.7 eV, and this value is indeed suitable to model phenomena related to impact ionization (such as substrate current). However, electrons participating in gate currents possess an energy near 3 eV and a X value of about 5 nm seems to be more suitable in that case. No physical meaning is given to this energy-dependent "mean free path", but it reconciles the lucky electron model with more sophisticated approaches in an engineering environment. When channel lengths come close to 0.25 |Lim, which is the case of devices now (1994) under development, these improvements of the analytical models reach their limits, and the use of the Monte-Carlo technique to solve the Boltzmann transport equation has gained much more attention [31-36]. It is not the aim of this section to give an extensive review of MonteCarlo simulations, and the reader can find a state-of-the-art review in refs. [35,36] with several applications to the case of Si MOSFET as well as to GaAs devices. However, an appealing approach (from an engineering point of view) is to couple a conventional 2-D device modeling (drift-diffusion formalism) with a Monte-Carlo simulation in order to obtain more accurate information about hot carrier phenomena [37-40]. One method is to develop the Monte-Carlo simulation as a post-processor of the 2-D device simulator, with or without iteration between the two techniques.
Hot Carrier Injections in Silica
291
In the former case, for instance, the electron-hole pairs generated by impact ionization (and calculated by the Monte-Carlo method) can be coupled back with the generation term in the continuity equation. In the latter case (as for instance in ref. [40]), it is assumed that electric fields and carrier densities, calculated by the conventional 2-D simulator, are not drastically perturbed by the hot carrier effects. Another method, proposed by Bandyopadhyay [38], is based on a drift-diffusion formalism in which several parameters (such as diffusion coefficient and mobility) have been computed by a Monte-Carlo technique [41]. 3A. RELATIONSHIP BETWEEN GATE AND SUBSTRATE CURRENTS
In the framework of the analytical model, a relationship between IG and IsuB can be obtained from Eqs. (4.3) and (4.13), namely :
(4.17) ID
where C is a parameter weakly dependent on the oxide field. Figure 4.11 shows plots of such relationships. Using (4.7) to calculate O B , the impact ionization energy 4>i is deduced from the slope of these curves. Typical i values for electrons are commonly found in the 1.3-1.6 eV range by this method.
10-
T—I
I 11 i
m
I
I I i 11
10-
10 •10
10 •11
10-
—•—Device 1 —A— Device 2 3 J
i
i i i i ill
10-
i
i i i I ii
10-2
'SUB^'D Fig. 4.11 Typical relationship found between gate and substrate currents in n-channel MOSFETs (for two different technologies). Measurements have been performed at several VD values between 5.5 and 7.5 V and at constant Vj) - VQ = 1 y. Device characteristics are: L = 0.8 jum, W= lOOjum, tox = 15nm (for both technologies). (After A. Bravaix and D. Vuillaume, unpublished).
292
D, Vuillaume
4. DAMAGE INDUCED IN N-CHANNEL MOSFETS BY HOT CARRIER INJECTION (HCI) AT LOW AND MEDIUM GATE VOLTAGES (VG < VD/2) From Fig. 15.44 in [201], and from Figs. 4.4 and 4.8 in this chapter, it is clear that different carriers (electrons alone, or holes and electrons) are injected into the oxide depending on the value of ratio VQA^D- These different injection conditions lead to different degradation effects which will be described and discussed separately in the following two sections (4 and 5). 4.1. THE MAIN FEATURES OF HCI-INDUCED DEGRADATION
The damage produced by HCI in n-channel MOSFETs is maximum when the biasing conditions are such that the substrate current is maximum [42-45]. This corresponds to V Q values ranging between low (VQ = VD/4) and medium (VQ = VD/2) voltages, (typically to V G = V D / 3 ) . TO be able to observe this degradation within a reasonable time, the HCI must be performed at V D values relatively larger than the nominal value (accelerated lifetime experiments). In this gate voltage range, both hot electrons and hot holes are injected together into the oxide (see Fig. 4.8, § 3.3.4). This makes the interpretation of the experimental results much more difficult than when only one type of carrier is injected. These biasing conditions correspond to the "so-called" DAHC injection phenomena described in Fig. 15.44 of ref. [201] and briefly recalled in Fig. 4.1-d, in Sect. 2 of this chapter. The basic and conmionly observed features of HCI-induced degradations, are described next. i) The degradation (induced by HCI) leads to several changes in the linear-mode ID - VG characteristics: an increase in V T (a Vx-shift), a decrease in ID and in the maximum transconductance gm (see § 9.4.2 in [201], and more details in refs. [42-45]). Figure 4.12 illustrates a good correlation observed between the degradation of Vx and the value of IsuB [50]. These changes in the transistor parameters are mainly due to charge trapping in the oxide and to the creation of interface states. For instance, a net negative charge trapping in the oxide and/or at the interface leads to a shift of the I D - V G curves towards more positive gate voltages and thus to a shift of V^ towards more positive values. This also induces a coulombic repulsive effect on the electrons in the channel, and leads to a decrease in the drain current and in the transconductance. Opposite effects are observed for a net positive charge trapping. Consequently, one could conclude that the study of the degradation, viewed through the sole degradation of the transistor parameters, is a route to determining the physical mechanisms responsible for the aging of MOSFETs. However, reaching this goal is not so obvious. For instance, it has been demonstrated that identical degradations of the I-V curves may be due either to the creation of interface states located above the channel or to the creation of fixed negative charges located above the drain [45]. Therefore, more sophisticated characterization techniques are required to fully determine the nature and the mechanisms of the degradation. These techniques include: charge pumping (CP), described in Chap. 6 of this volume), gate current measurement by the floating gate (FG) technique (described in Appendix 1), structural determination of HCI-induced defects by spin-dependent recombination (SDR) or electrically detected magnetic resonance (EDMR), described in Appendix 2.
Hot Carrier Injections in Silica
100
9
80
E
60
• M l
293
900
T-»-T
^
- • - V T Shift dSOO
1
700 r
600 S 500 I 400 JJ
40 20 0
'
'
'
'
•
'
'
I
1 2 3 4 5 6 7 8 9
300 ro 200 ^ 100^ 0 ^ 10
Stress gate voltage (V) Fig. 4.12 Typical relationship observed between the amount of degradation (as measured by the shift in threshold voltage) and the substrate current in an n-channel MOSFET. Stress conditions are : Vp = 8V during 120 min. Device characteristics are: L:=1.8inm, W = 100 jum, and tox - 35.8 nm. (After [42], slightly modified).
10^
I
B i l l !
i I I I
10^ t I Technology 1 > Technology 2 A Technology 3
O 10^ ^ H
10^ ELifetlme to reach AVy=1 Om>
10^ 10"
10-
10-1
'sUB^b Fig. 4.14 Typical plot of xlj) versus IsuB^ofar different CMOS technologies (n-MOSFET) with gate lengths below 1 fim and oxide thicknesses below 20 nm. (Parameter x is defined in the text). The slope of such log-log plots is typically of the order of 3. (After A. BravaixandD. Vuillaume, unpublished).
294
D. Vuillaume
ii) After HCI, the current characteristics, measured in the saturation mode, are usually not much degraded. This is because that portion of the Si-Si02 interface which is degraded during HCI is located near the drain. This part of the channel is in depletion, and the current is less affected by the defects and the trapped charges located in this damaged region. If after aging, we invert the roles of source and drain for the measurement of the ID - VQ characteristics, a large effect is revealed in the saturation-mode (decrease in ID). iii) The V T shifts and the relative degradations of gm and ID (i.e. Agm/gm^ AID/ID) usually follow a time-power law Atn (Fig 4.13-a), where t is the stress duration and A is a parameter which depends on VD and on the technology [42]. The values of n are of the order of 0.5-0.7 for aging at medium V Q (i.e. for V Q - VD/2) [42,43,46] and 0.2-0.3 for aging at low V G [43,45] (Fig. 4.13-b). Let us note that for LDD devices (see Sect. 9 for a definition of the LDD structure), this time-power law is only found at the beginning of HCI, and that the degradation saturates afterwards [47,48]. This is probably due to electron trapping and to interface state generation above the n- region, leading to an increase in the parasitic series resistance. iv) The extrapolation techniques used to assess the aging lifetime of a device make use of these time-dependent behaviors [42]. One often introduces a time parameter t which is the time needed to reach a pre-defined amount of degradation (e.g.: 10 or 100 mV of AVx or 10% of Agm/gm)- The values of t are extrapolated from curves such as those of Fig. 4.13-a [42]. These accelerated lifetime measurements can be used to compare the hot carrier sensitivity of different technologies. Figure 4.14 shows a log-log plot of T I D versus ISUB/ID for AVx =10 mV. Expression x ID thus represents a charge, expressed in Coulomb, which flows through the channel and triggers a certain amount of degradation. Let us note that the degradation observed during this static (DC) aging may not be fully meaningful for the real operation of transistors in an integrated circuit. For instance, in a real digital circuit, transistors operate under dynamic (AC) conditions (see a detailed discussion of a comparison between DC and AC degradations in Sect. 7). Nevertheless, lifetime extrapolation techniques are often performed under DC injections and under the worst biasing conditions (typically at the maximum of the substrate current in n-channel MOSFETs and at the maximum of the gate current in p-channel MOSFETs, (see Sect. 6 in this latter case). 4.2. DAMAGE DUE TO POST-AGING INJECTION
It is generally observed that a short electron injection (SEI) (typically 100 sec at VQ = VD, see Fig. 4.15) performed after a prolonged HCI is sufficient to induce a significant new degradation of V^ or gj^^ [42,45,49]. Let us note that the same SEI performed on an unstressed MOSFET would be unable to produce the same shift. Thus, this post-aging effect is clearly related to the damage already induced in the MOSFET by the previously performed prolonged aging.
Hot Carrier Injections in Silica
10
9
295
I I iiiiiH| I 111111^—rrnm^j—nrnnii—rmni
10^ 10^
(0
10^
10"-1
I—I IIIIIMI
10^
(a)
•
stress at VQ=VD/4 3
•
Stress at VQ=Vj3/2-i
A Stress at VQ=VP | I iiiiiiJ t iiiiiiil I 11 mill {.Luuii
10^
10^
10"^ 10^ 10®
stress time (s)
I 0.8 I 0.6 R 0.4 E 0.2 0
—A— AIQP from Ref. 43
0.0
—•— AVy from Ref. 43
o -0.2
• 1.
55
0.0
0.2
J
\
0.4
AVy from Fig. 13-a t
\
0.6
t
\
0.8
t
\
1.0
(b) Fig. 4.13 (a) Time-dependent evolution of the threshold voltage shift as a function of stress time for various (stress) gate voltages during HCI (performed at V^^ 8.5 V). The characteristics of the n-channel MOSFET are: L = 1 jjim, W = 100 jum, and t^x = 20 nm. (b) Typical values for slope n, describing the time dependence of the degradation (time-power law, At^) are plotted on a log-log scale as a function of the gate voltage during HCI, and for damage measured by the threshold voltage shift (M and # j , and by the charge pumping technique (A), ((a): after [49], (M) and (A) in (b): after [43], slightly modified, (^)from Fig. 4.13-a).
D. Vuillaume
296
400
9
T—'—r
T—^—I—r
—•— HCI —•— HCI + SEI
300 h
E 200 ^H- 100
0
2
4
6
8
10
Stress gate voltage (V) Fig, 4.15 The threshold voltage shifts, measured immediately after HCI and after a subsequent brief electron injection (SEI), are compared for various gate (stress) voltages. HCI conditions are: Vjy = S.5 V, during 4x10^ s. SEI conditions are: Vg = V^ = 8.5 V, during 100 s. Device characteristics are: n-MOSFET, L = 1 jum, W = 100 /um, and t^x = 20 nm. (After A. Bravaix and D. Vuillaume, unpublished).
CO
Techno. 1 Techno. 21
(0
O
o
2 h 1 Lh Techno. 3
O 10-'' 10° 10^ 10^ 10^ 10"^ 10^ 10^ stress tinfie (s) Fig. 4.16 Time-dependent degradation of n-MOSFETs for three different CMOS technologies stressed by HCI at (a) VQ = V/y2 and (b) Vg = Vj). The relative positions of the curves along the y-axis have been changed for the sake of clarity. (After [55], slightly modified).
Hot Carrier Injections in Silica
297
The additional degradation due to SEI can be erased by various means. A short hole injection (SHI) (e.g. at VQ = Viy4) returns the Ij^ - VQ curve to its post-aging value. Likewise, we have shown [50] that if the MOSFET is turned off (VQ = 0), and if a monochromatic light, with a wavelength corresponding to a photon energy greater than about 3 eV, is focused on the damaged region near the drain side, or if a sufficiently high drain voltage is applied (in the dark), the post-aging situation is restored. Figure 4.15 shows that this SEI effect is only observed if HCI has been performed at low V Q [45]. The amplitude of this additional degradation increases with the value of Vj) used during the HCI and with injection time. It is thus clearly linked to the amount of degradation induced by the HCI. This SEI effect exists for low V Q aging because with these aging conditions both hot holes and hot electrons are injected together in the oxide (see § 3.3.3), and because these hot carriers either create or get trapped by different types of defects in the MOSFET. These defects can be: • interface states, • donor-like oxide traps (positively charged after capturing a hole), also called hole traps, • acceptor-like oxide traps (negatively charged after capturing an electron), also called electron traps. The short injections performed after a prolonged aging can change the charge state of the above-mentioned oxide traps and thus lead to drastic changes in the MOSFET characteristics. The reversibility of these changes in charge state is obtained by injecting carriers of opposite polarity, by detrapping the carriers by means of an illumination (photodepopulation) or by applying a large electric field (field-enhanced emission). The models used to explain (HCI induced) degradation in MOSFETs, at low VQ are detailed next. 4.3. SOME MODELS PROPOSED TO EXPLAIN HCI-INDUCED DEGRADATION
4.3.1. Models based on the generation of interface states For HCI performed at maximum IsuB' the slope n « 0.5-0.7 shown'by the time-dependent degradation behavior (see Fig. 4.13b) has been ascribed to the creation of fast interface states by electrons having energies of 3.7 eV and above [42]. This threshold energy and the observed time dependence could be explained by a physical model involving the breaking of Si-H bonds by hot carriers [42]. Another approach [14,44] suggests a process whereby holes and electrons are both involved, via a so-called "two-step process" [51]. In this model, the holes are trapped very close to the interface (typically within less than 3 nm), and the subsequent electron trapping on trapped holes triggers the generation of interface states (see Sect. 8.). However, this "twostep" model was neither clearly confirmed nor clearly invalidated from hot carrier experiments in MOSFETs.
298
D. Vuillaume
The generation of interface states has been clearly revealed by charge pumping measurements [43] (more detail on charge pumping techniques can be found in Chap. 6 of this volume, and in ref. [52]). The creation of interface states has been shown to follow a timepower law with an exponent n « 0 . 5 [43], similar to that observed for the time-dependent behavior of the MOSFET parameters (§ 4.1). Charge pumping measurements, performed on gate-controlled diodes, have yielded the generation "rates" of interface states (i.e. the number of interface states generated per number of injected carriers) due to the injection of hot electrons and hot holes: G-^^^^ « 10-7-10-6 and Git,h "^ 10-3-10-2, respectively [43]. This shows that hole injections are important features to understand hot carrier degradation, and that they are very efficient in generating interface states. 4.3.2. Model including interface states and donor-like oxide traps At low VQ, hot holes are injected into the oxide, leading to hole trapping on the preexisting donor-like oxide traps. The resulting positive charge reduces the effective V-^ shift induced by the interface states. On the other hand, the formation of interface states is masked by the trapped positive charges. According to this model [43], the positive V^ shift observed after a subsequent SEI (Fig. 4.15) is only due to the interface states because the positive charge has been neutralized by the injected electrons. However, this model is not sufficient to explain the large positive V j shifts often observed after SEI following an HCI performed during a long period (more than 10^ sec) or performed at the highest VQ value [45,49]. The hole trapping probabilities have been estimated from charge pumping measurements on gate-controlled diodes [43]. A crude estimate gives a probability value of 0.1-0.5 for hole trapping, which shows the great efficiency of hole trapping on pre-existing deep hole traps (these traps are probably related to the non-bridging oxygen defect, as explained in Chaps. 2 and 10 of Vols. 1 and 2 and in Chap. 11 of this volume). 4.3.3. Other models In a recent work, it was shown, using two-dimensional (2-D) simulations, that a large density of interface states, of about 10^^ cm-^eV-l, induces, at best, a V^-shift of the order of a few tens of mV [49]. It has therefore been suggested that the largest positive V'p-shifts measured after the SEI could be mainly due to the trapping of electrons on the acceptor-like oxide traps generated during the previous hot hole injection at low VQ [45,53]. These traps are neutral after the HCI and negatively charged after the SEI. The creation of such oxide traps by HCI at low VQ has been revealed by a careful analysis of charge pumping measurements [54]. Two-D simulations (using code IMPACT [9]) including the measured concentrations of these traps, further validate this model [49]. For some technologies, it has been observed that the generation rate of these traps under hot hole injection is as high as 10"^-10"^, i.e. of the same order of magnitude as for interface states (see above) [49]. Moreover, it has been also shown that these acceptor-like oxide traps are essential in explaining the difference between AC and DC stress experiments (described in Sect. 6), and that they must be taken into consideration in the lifetime extrapolation techniques [45].
Hot Carrier Injections in Silica
299
To conclude, the model which includes interface states and donor-like oxide traps may be viewed as the most general model to understand degradation mechanisms in n-channel transistors. But, for some technologies (as for instance for some LDD devices and/or for technologies which are not well-controlled), additional effects such as those due to the creation of acceptor-like oxide traps are of great interest. 5. DAMAGE INDUCED IN N-CHANNEL MOSFETS BY HOT INJECTION (HEI) AT HIGH GATE VOLTAGES (VG « Vj))
ELECTRON
As shown in Fig. 4.12, degradation by hot carrier-injection is maximum when V Q is chosen between VjyS and VD/2 [42-45]. However, some work has also recently reported a large degradation induced by hot electron injection (HEI) which is maximum when the device is working at V Q = Vj) [49,55-58]. This biasing condition corresponds to the so-called CHE effect described in [201], and illustrated in Fig. 4.1-c of Sect. 2. 5-1. EVIDENCE OF HEI-INDUCED DAMAGE
Evidence of HEI-induced damage is clearly visible in Fig. 4.16 [55]. The VT shift observed after HEI is of the same order of magnitude (or even larger for some technologies) than after an aging performed at a medium VG range. This degradation comes from electron trapping on oxide defects which either pre-existed or which are created by the HEI itself. These defects have been observed less frequently than those induced by aging at medium and low V Q range. This is because they are often located deeper above the drain of the MOSFET and therefore are less easily detectable through the measurements of the V j , gjj^ or ID degradations. More sophisticated techniques based e.g. on the measurement of changes in IQ using the Floating Gate (FG) technique are very helpful in this case [49,61] (see Appendix 1 and Sect. 5.3). It has also been shown that these negative charges located above the drain can lead to a negative differential charge pumping (CP) characteristic, i.e. that the difference between the CP curves measured after and before stress is negative [54]. 5.2. EFFECT OF HEI ON THE TRANSISTOR PARAMETERS
The HEI-induced degradation is also characterized by a typical time-power law A=At^ where A can be either the V T shift, or the relative drift of g^ or that of ID- Parameter A depends both on the technology and on VD (see Eq. (15.110) in [201]). In the case of HEI, n is typically equal to 0.2-0.3 (Figs. 4.13-b and 4.16), while it is conmionly equal to about 0.5-0.7 for aging at a medium V Q range (i.e. for VD/3 < VG < VD/2) (Sect. 4). This difference in n values has been attributed to a difference in the nature of the defects generated at the Si-Si02 interface or in the gate oxide. The damage induced by HCI for medium V Q is mainly attributed to the creation of interface states [42-44] (Sect. 4), while the damage induced by HEI is thought to be mainly caused by the negatively charged defects in the oxide [55-58].
D, Vuillaume
300
On the other hand, the oxide traps induced by HEI have also been characterized by the CP technique [49,54] (but generally less easily so than the interface states, due to their being located deeper above the drain side). Nevertheless, the appearance of this negative oxide charge has been clearly correlated, using 2-D simulations, with the large VT shift observed in some technologies [49]. The generation "rate" of these acceptor-like oxide traps by hot-electron injection at VG = VD was found to be of the order of 10-9-10-8 [49]. This means that a prolonged aging is needed to observe a significant creation (typically about lO^^-lO^ s). 5.3. EFFECT OF HEI ON THE GATE CURRENT
The gate current observed during an electron injection into the oxide can be directly measured in a wide-channel MOSFET operating with VD values larger than the nominal value (VD > 5 V) (see Fig. 15.36 in [201]). The observed decrease in IQ with stress time is typical of electron trapping occuring on pre-existing traps or on oxide traps newly created by the HEI itself. For submicrometer MOSFETs, it is also possible to measure the entire I Q - V G characteristic using a very sensitive "floating gate" technique [15,16]. In fact, in submicrometer MOSFETs, IG is always below 1 pA (see Appendix 1). (b)
o
u u
i 6
a)
7
10
8
Gate voltage (V)
b)
20
30
40
50
Stress time (xlO^ s)
Fig. 4.17 (a) Behavior of the I^ - Vg curve, measured at Vo = 6 V by the floating mte technique for different HEI stress times (0, 100, 215, 464, lo\ 2154, 4640, lO"^, 2.154x10^ and 4x10 s). HEI is performed at Vp = VG = 8.5 V. Device characteristics are: n-MOSFET, L = 1 /jm, W = 100 jum, tox = 20 nm. (b) Corresponding evolution of the substrate to drain current ratio. (After [6, 59]).
Hot Carrier Injections in Silica
301
It was recently shown that the advantage of measuring the entire IG - VG curve is that the evolution of its form with aging time (aging due to HEI) can be related to the kind of damage induced by the injections [59,60]. Figure. 4.17 shows a typical behavior of the I Q - V G characteristics after various durations of HEI. The main deformation is a decrease of the whole IG - V G curve with a maximum gate current always peaking at the same VG value [61]. This kind of behavior has been ascribed to an increase in the amount of negative charges in the oxide, acting as a repulsive barrier against a further injection of electrons^. Simple 1-D simulations show that 1012 negative charges/cm2 located within 5 nm from the interface can indeed induce a 3-decade decrease in IG [49]. According to 2-D simulations of the injected current (see § 3.3.3.), the measurement of IG is, in fact, a way of probing locally the damaged region of the MOSFET. Figure 4.9 shows that HEI takes place mostly above the drain in the drain-gate overlap region (especially for LDD devices). In this case, electron trapping is limited to that area and only induces a slight VT shift, since Vx measurements are mainly sensitive to charges located above the channel. On the contrary, since electrons which contribute to the gate current are mainly injected in the drain-gate overlap region, the largest decrease in IG is observed for HEI at WQ = V D [49].
10-8 <
I
Vn=-8V. -7.5V, -7V. -6.5V I
10-^ t
-1
-2
-3
-4
-5
-6
Gate voltage (V) Fig. 4,18 Behavior of the IQ - VQ curve measured in a p-channel MOSFET for various VD values. Device characteristics are: L = 1.3 /jm, W = 100 fim, t^x = 26 nm. (After [78], slightly modified).
2 When only interface states are created, it was shown that the deformation of the IQ-VQ curve is limited to a decrease in IQ in the saturation part of the curve (VQ>V|3), while the linear part is unaffected. This corresponds to a shift of the peak current VQ value, and it is explained by the variation of the charge stored on the interface states as a function of the Fermi level movement during the FG measurement [59,60].
302
D. Vuillaume
6. INJECTIONS AND RELATED DAMAGE IN P-CHANNEL MOSFETS In the past, attention was paid mostly to the degradation of n-channel MOSFETs. Although several results had been reported on the effect of hot carriers in p-channel MOSFETs [62,63], the phenomenon was considered as being less acute than in n-channel MOSFETs. This difference is simply due to the smaller mean free path of holes, and consequently to the higher energy (see Sect. 3) required to induce impact ionization in p-channel devices. This is revealed by the largest peak in substrate current observed in n-channel MOSFETs. As a consequence, LDD n-channel MOSFETs are usually used together with conventional p-channel devices in the 1-1.5 |im CMOS technologies. However, it was clearly reported that p-channel MOSFETs can exhibit degradations which are up to two orders of magnitude larger than those of the corresponding n-channel MOSFETs [64]. Moreover, for submicrometer or deep-submicrometer CMOS technologies, the hot carrier reliability of p-channel MOSFETs becomes increasingly questionable, and has drawn considerable attention these last few years [43,44,65-76]. 6.1. EFFECTS OF HCI ON THE SUBSTRATE AND GATE CURRENTS
In p-channel MOSFETs operating in the saturation mode, substrate current IsuB is made up of the electrons generated by impact ionization near the drain. As in n-channel MOSFETs, IsUB is maximum when VQ«V]y3. Moreover, it is clearly shown that, for the same geometry, the same technology and the same drain and gate voltages, IsuB is smaller in the case of p-channel devices. This is because the generation of electron-hole pairs by hot hole impact ionization is less effective. The mean free path of holes is much smaller than that of electrons (see [200], and § 3.2.), and therefore the energy gained during a ballistic flight is also much lower. The gate current in a p-channel MOSFET behaves in a fashion opposed to that of an nchannel MOSFET. The electron gate current is maximum at low VQ, around VQ = Vjy4 (Fig. 4.18), for which the vertical oxide field favors electron injection. In principle, the injection of holes should be maximized at higher V Q values (for V Q > Vj)). However, a gate current has never been measured for this range of gate bias in devices with channel lengths down to 0.5 |Lim, even when using the very sensitive floating gate technique. Recently, in far shorter devices, and for high Vj) values, a hole gate current of about 10 pA has been detected [67]. This could be linked to the specific degradation behavior observed in ultra-short p-channel devices stressed at high gate voltages (see Sect. 6.2.2. below). As in the case of n-channel MOSFETs (see (4.17)), a relationship exists between IguB ^^^ IQ, but it is slightly modified to take into account the difference in electron and hole mean free paths. It yields:
Hot Carrier Injections in Silica
303
+1
(4.18) K 'D where Ojj^ is now the impact ionization energy for holes, which has been determined to be between 2.5 and 2.7 eV (Fig. 4.8) [77,78] using a ratio V ^ e = 0.724. Zone of trapped electrons Drain implantation (p-type) Channel shortening
Fig. 4.19 Schematic representation of the channel shortening effect due to the trapping of electrons in the oxide near the drain. A sufficiently large negative charge trapped in the oxide may invert the silicon underneath this region regardless of the gate voltage. CD
100
I ' I • i
I ' I ' I ' I ' I «
1.0
C/3
80 h
9 •••••
60 h
—•— Al
40 h
CO
20 0
I I t I I I t ( I I I I I I I
-9 -8 -7 -6 -5 -4 -3 -2 -1 Stress gate voltage (V)
o z
Fig. 4.20 Illustration of the correlation observed between the amount of degradation induced by HCI in a pchannel MOSFET and the stress gate voltage (—). The degradation has been recorded through (M) threshold voltage shift, (^) interface state increase, this latter quantity being measured by charge pumping. Device characteristics are: L = 0.85 pm, W = 100 pm, tox = 20 nm. The samples are stressed at VD = -9 V during 1000 s. (After [78], slightly modified).
304
Z). Vuillaume
0.15
BC p-M05FET's
Vd=-BV
O.l
-G . 5V
o e
0.05 -5.5V
D O
0
i RiRiSmiA aioiiPiPiff ° i ° i iiiiiil
100
10
10^
I iiiriiil
s3
10^
S t r e s s Time
10 4
I I I mill
105
in
10^
(s)
Fig. 4.21 Typical time-dependent behavior for the degradation induced by HCI in a p-channel MOSFET and measured through the shift in transconductance HCI stresses have been performed at VQ = Vr/5for various Vj) values between -7.5 and -5.5 V. Device characteristics are: L = 0.85 /um, W = 20 /jm and tox = 20 nm. (After A. BravaixandD. Vuillaume, unpublished). 6.2. DEGRADATION OF P-CHANNEL MOSFETS STRESSED AT LOW GATE VOLTAGES
For p-channel MOSFETs stressed at low gate voltages and with \VQ\ < |V£)|(around the peak gate current visible on Fig. 4.18), a large amount of electrons is injected in the oxide which mostly results in electron trapping in the oxide [43,63,65,72]. This results in the creation of a net negative charge which induces an increase in drain current and an increase in transconductance, as illustrated in Fig. 4.21. The extrapolated V^ value is only slightly modified. This is explained by the channel shortening effect due to the presence of negative charges in the oxide which increase the hole concentration near the drain. In this region, accumulated holes are no longer dependent on applied gate voltages, and this region may be viewed as an extension of the drain as illustrated in Fig. 4.19. However, interface states are also formed, as revealed by CP measurements, but they are masked by the large amount of trapped electrons.
Hot Carrier Injections in Silica
305
Consequently, the time-dependent laws for AV-^, AIQ/IJ) or Is^g^lg^ never match the law of interface state creation [43]. For all gate voltage stresses, IQ decreases due to electron trapping and the multiplication factor, M = ISUB/IJ)^ decreases due to the reduction in longitudinal electric field. Figure 4.20 shows that all the degradations are correlated with the peak gate current instead of being correlated with the substrate current as is the case of n-channel MOSFETs [64,68,72,78]. This feature has led to define several new techniques to extrapolate the device aging lifetime based on a correlation with the gate current [68,70,72], or with the injected charge [68-70]. It is often observed that a large amount of degradation is reached immediately after the first seconds of electron injection as visible in Fig. 4.21. Then, the degradation proceeds slowly in time and even saturates for long stress time (this saturation is not visible in Fig. 4.21). This behavior has been fitted (at least for the beginning of the stress) by a time-power law (At^) with an exponent n « 0.1-0.2 [43,63]. However, several recent results have shown that a logarithmic time-dependent law enables a better fit of the observed degradation (Fig. 4.21) for a large number of parameters (AVj, AID/ID, etc.) and for several stress conditions [74-76]. A simple model has been suggested to explain this logarithmic time-dependent law. It is based on the fact that the degraded portion AL of the channel extends logarithmically in time towards the source [74]. This results from the filling of pre-existing electron traps following a first-order-rate equation such as: dN
Jini(^^t)
dt
(4.19)
T{NO - N)
where NQ, N , a, and Jjnj are respectively the pre-existing concentration of electron traps, the concentration of trapped electrons, the capture cross-section and the injection current density. The solution of this equation yields N(x, t), the density of trapped electrons at distance x from the drain and at time t: Jinj(^ = 0) N(xj)=No
1 - exp\
(
X
\
a exp\ K
(4.20)
^0 J
Figure 4.22 shows a typical time evolution of N(x,t). In expression (4.20), XQ is a parameter associated with Oi, X^e, A^h and VD - Vosat [74]. The logarithmic time dependence for the growth of the edge of the filled traps is obvious from (4.19) by calculating location x^ at which a fraction 1/e of the traps remain empty: (Jinj(x = 0) X^(t)= XQM
—
\ Ct
«
J
which is valid as long as t remains larger than (JjnjCx = 0)a/q)-^.
(4.21)
D. Vuillaume
306
-0.20 -0.16 -0.12 -0.08 -0.04 0.00 Distance from the drain (^m) Fig. 4.22 Evolution of the occupancy function (for electron trapping in the oxide) as a function of the HCI duration in a p-channel MOSFET (W to lu s, step 1 decade, from right to left). (After [74], slightly modified).
0.15
3
P-MOS W/L-20/lyum Vg/Vd-8.H A Vd^-B V o Vd—7.5V V Vd—7V
P-MOS W/L»l0B/lnm
.5
Vd=-8V
Vg(V)-
E
3
_
2
0.1
t-4xl0
E
X
.5
\
E
C3.
u
LIP
-a
I [
X
0.05
Q)
.5
0.
0 tuitftfi iri>Aitii^iiiiii''i irriirf i nmd, i mitJ si 10" 10' 10' 10" 10' 10' 10"
a)
STRESS TIME ( s )
v^
0 0,5
b)
1 1.5
2 2.5
3 3.5
4
dVcpmax (V)
Fig. 4.23 (a) Time-dependent evolution of the total electron trapping in a p-channel MOSFET, measured through the voltage shift of the charge pumping characteristics, as a function of gate voltage during HCI (b) Correlation between electron trapping and the extension of the degraded portion of the channel, as measured through the change in transconductance. (After [75]).
Hot Carrier Injections in Silica
'iOl
Since the increases in drain current and/or in gj^^ are directly linked to the damaged portion of the channel, this last equation describes the logarithmic time-dependence of the degradation. Further evidence of this model has been obtained from charge pumping measurements [75]. It is shown that the shift of the CP curves, which measures the density of trapped electron, increases logarithmically with time, and that this trapped charge is linearly correlated with the growth of the damaged region (Fig. 4.23) [75]. Typical values of NQ and a are -lO^^cm-^ and ~ IQ-^^cm^, respectively [74-76]. 6.3. DEGRADATION OF P-CHANNEL MOSFETS STRESSED AT HIGH GATE VOLTAGES
For p-channel MOSFETs stressed at V Q - V^, hole injection should, in principle, be favoured, even though no hole gate current has ever been measured for transistors down to 0.5 |Lim. For these stress conditions, only a weak creation of interface states has been observed by CP measurements [78]. This leaves the static parameters of the device unaltered after the stress. It is only very recently that both a significant creation of interface states and a build-up of trapped holes due to hot hole injections, have been revealed in deep-submicrometer devices (of channel length: 0.25 - 0.4 |xm) with very thin gate oxides [67,73]. This causes a decrease in gjjj. This degradation mode could become important for future advanced p-channel devices in CMOS technologies. 6.4. SURFACE CHANNEL VERSUS BURIED CHANNEL DEVICES Usually, p-channel MOSFETs use n+ polysilicon gates and the threshold voltage is adjusted to the desired value by means of a boron implantation. This implantation creates a p-n junction in the surface region and turns the device into a buried-channel (BC) transistor thus called because the current flows at a certain distance from the interface as illustrated in Fig. 4.24 (left). To circumvent the need for a threshold implantation, surface-channel (SC) MOSFETs using a p+ polysilicon gate have been introduced [79]. In this case, the difference in work functions between the p+ gate and the n-type substrate imposes the correct order of magnitude for the threshold voltage. In this SC device, the current is flowing near the interface as illustrated in Fig. 4.24 (right). In general, surface-channel devices are more resistant to hot carrier-induced degradation than buried-channel devices [71,72]. Three reasons can explain this behavior. • The gate current (made up of electrons injected at low VQ) is larger in BC devices than in SC devices, while IsuB' ^^^ therefore, impact ionization is larger in SC p-MOSFETs [71,72]. This smaller IQ value in SC devices is due to the lower vertical electric field which exists across the gate oxide near the drain, which results from the difference in work functions of the gate materials as illustrated in Fig 4.24 (right). Consequently less electrons are trapped in the oxide of SC devices. • Moreover, the I-V characteristics of the SC p-MOSFETs are less sensitive to the negative charges contained in the oxide. In fact, 2-D simulations have shown that the potential distributions in SC devices are quite insensitive to the presence of these charges, while significant changes have been observed in BC p-MOSFETs [71].
308
D. Vuillaume P^ poly gate
IvT poly gate
II
''^^^0^0^y^v^^^vi!^0iwy:
£^^ 1
J
qFoxto
qFoxtox
1
I 4.3 eV
J—
3.2 eV
EF
VG-VD EF
—
>rpoly gate
P^poly gate
P silicon
P silicon
Fig. 4.24 Schematic cross-sections of a buried channel (left) and of a surface channel p-MOSFET (right) showing the current flow as determined by a 2D simulation on a 0.6 fim transistor biased at Vc = - IV and VD = - 6V. The corresponding energy band diagrams at the drain junction are also shown (After [71] slightly modified).
T — » — I — « — r
With nitride passivation
£1
S'
^
a> O) (0
o E CD
J
I
Without passivation I I I I
Fig. 4.25 Examples of behavior under DC (—) and AC (- - -) stresses encountered in n-channel MOSFETs for two different passivation techniques (After [80], slightly modified).
L
8 Stress gate voltage (V) • Finally, a peculiar mode of degradation has been observed in the case of SC p-MOSFETs [71]. It was shown that a hole injection (at low VQ) occurs simultaneously with the electron injection. This hole injection induces a build-up of trapped holes which compensates the effect of the trapped electrons, and hence reduces the degradation of the I-V curve.
Hot Carrier Injections in Silica
309
In conclusion, SC p-channel MOSFETs are probably better candidates for future deepsubmicrometer CMOS technologies. However, p+ gates require the use of boron doping, but boron atoms can easily diffuse across the thin gate oxide especially for gates less than - 10 nm thick, such as those used in advanced CMOS devices. This problem has stimulated a very intense research to develop other suitable insulating thin films such as nitrided oxyde, reoxidized nitrided oxide, and so forth (see Sect. 9 and also Chap. 1 of this volume). These gate insulators act as better barriers against boron diffusion. 7. DYNAMIC (AC) VERSUS STATIC (DC) INJECTIONS In real digital circuits, the MOSFETs operate dynamically. Therefore, the effects caused by dynamic hot carrier injection have generated a greater interest these last few years. The main question is whether the AC degradation can or cannot be predicted from DC experiments. If the answer were yes (quasi-static case) the reliability of a given technology could be correctly analyzed by a set of DC experiments. Giving an answer is not straightforward because in an AC operation, the gate bias continuously switches the transistor (let us consider first the case of n-channel transistors) between configurations in which hot-hole injection (at low gate voltage) or hot-electron injection (at high gate voltage) or both hot-electron and hot-hole injections (at medium gate voltage) are the prevailing mechanisms. We must therefore analyze a large number of DC stress configurations to be able to correctly predict the AC degradation. Moreover, we cannot ignore several specific questions such as: what happens when holes have been injected and get trapped in the oxide, and when electrons are then injected and can recombine with the trapped holes? From ealier studies of MOS capacitors, we know that this can lead to the creation of additional interface states, or that it can leave the Si-Si02 interface unchanged depending on the location of the trapped holes (see Sect. 8). 7.1. A BRIEF SURVEY OF THE LITERATURE
In the literature, several results have been reported which have led to several controversies (see a review in [801). Typically, it has often been observed that AC injection induces a more pronounced degradation than DC injection [81-881. However, some of these highly enhanced degradations have been attributed to measurement difficulties [80,89]. Indeed, several precautions must be taken for the measurement set-up in order to avoid an abnormally enhanced degradation resulting from increased voltages, due themselves to capacitive and inductive overshoots [89]. These precautions are mainly to use extemal capacitors and extra grounding as close as possible to the device under test. On the other hand, some research groups have found that AC injection does not in fact induce a more pronounced degradation (e.g. for cases where the drain voltage is pulsed while the gate voltage remains constant, or when a high drain voltage is only present during the rising front of the gate signal) [81,83,90]. Some authors have even found that AC injections causes less degradation than DC injections [91]. However, in some cases, the time-dependent behavior was found to display a steeper slope under AC stress than during DC injection [92].
310
D. Vuillaume
1 0 fa I iiiiii^ I iiiiii|—rrmni—i iiiiii^ i
IIIIIB
10-^ <
10-2 t
10-3
J-LUilMl—UUilllil
10°
10^
•*
10^
' "•••••!
10^
> « . . UHl
10"*
10^
stress time (s) Fig. 4.26 The degradations produced by DC stress (—) and AC stress (- -) under inverter condition (see Fig. 4.27) are compared. Device characteristics are: n-channel MOSFET, L = 0.9 fim, tox = 20 nm. (After [80], slightly modified).
(a) Inverter
B
T
VG
(b)SRAM
VD
_ /
I
A B
Fig. 4.27 Illustration of the drain and gate voltage waveforms used during an AC stress to replicate the biasing conditions encountered by n-channel MOSFETs in inverter and SRAM circuits. Areas A and B refer to the text.
Hot Carrier Injections in Silica
311
Figure 4.25 illustrates a case where the degradation (measured through Agj^^) is more pronounced for an AC stress than for a DC stress, when applied to a nitride-passivated MOSFET [80]. On the other hand, other technologies do not display this enhanced degradation, for instance, for unpassivated MOSFETs as illustrated also in Figure 4.25 [80]. The role played by the technology is obvious, and part of the above controversies can probably be ascribed to differences in process. For instance, the amount of hydrogen species and/or the amount of mechanical stress at the interface, resulting from the passivation step, must be taken into account. Figure 4.26, illustrates that an n-channel MOSFET, dynamically stressed under invertertype conditions (as illustrated in Fig. 4.27-b), exhibits a time-dependent behavior (AID/ID VS stress time) slightly steeper than the corresponding DC stress at the same drain voltage and at a stress gate voltage adjusted to yield the maximum substrate current (worst case described in Sect. 4) [92]. In p-channel MOSFETs, DC injection often leads to a reduced degradation [80] and thus to an enhanced device lifetime. 7.2. THE PHYSICAL EFFECTS INVOLVED DURING AC INJECTIONS
At first, it was shown by means of 2-D simulations and experiments [93] that nonstationary effects (i.e. intrinsic transient effects due to the fast changes of gate or drain voltages) are not relevant to explain the fact that a more pronounced AC degradation is found for rising and falling edges of the gate signal greater than 10-20 ps [80]. It follows that the intrinsic transient effects are not responsible for the enhanced degradation found when stateof-the-art CMOS technologies are submitted to AC stressing. The physical properties of the gate oxide and of the Si-Si02 interface, have been identified as being responsible for some of the observed transient effects, even if some controversies are not yet resolved [80,94]. These transient effects are briefly outlined next. 7.2.1. Hole transport properties in Si02 Once holes have been injected into the oxide layer during the low gate voltage part of the AC stress waveforms (see in Fig. 4.27, part A of the inverter-like or of the SRAM-like waveforms), they move slowly by hopping between neighbouring oxygen atoms, with a mobility of the order of 2xlO"5 cm^V-ls"! [95]. Thus, for a field of 0.5 MV/cm across the oxide, holes require 10 ns to drift over 1 nm. In the inverter-like case, if the frequency is high and if the holes do not have enough time to drift and get trapped by deep hole traps in the oxide, they are repelled to the interface during the period corresponding to the high gate voltage and low drain voltages (part B of the waveform in Fig. 4.27-a), and they no longer contribute to the device degradation.
312
D. Vuillaume
On the contrary, during SRAM-like conditions, the positive Vj) value (part B of Fig. 4.27b) pushes the holes towards the gate. The holes could be efficiently trapped into the oxide leading thus to an enhanced degradation. 7.2.2. Detrapping effects After being injected and trapped in the oxide, carriers can be detrapped either by tunneling back into the silicon [84] or by field-enhanced emission [50]. This occurs when a gate voltage is applied while the drain voltage is nil (Part B of the inverter-like waveform provides a typical example). Such detrapping effects have been clearly demonstrated in the case of hole injection/trapping in n-channel MOSFETs and electron injection/trapping in p-channel MOSFETs at low gate voltages [92]. The detrapping of trapped electrons seems a very efficient mechanism in prolonging the lifetime of p-MOSFETs under AC stress. 7.2.3. The effects of alternating injections (hole/electron) In n-channel MOSFETs, it has often been observed that if a brief electron injection follows a period of hole injection, it results in an increased degradation (see § 4.2) [43,45,49]. Such a typical situation is often encountered during the AC stress (see the inverter-like and SRAMlike waveforms in Fig. 4.27). Two models have been proposed to explain this behavior but both are still a matter of controversy. In the first model [92], the injected electrons neutralize the previously trapped holes. We know that in n-channel MOSFETs the positive charges in the oxide reduce the longitudinal electric field and slow down further hole injection. When the trapped holes are neutralized by electron injection during the AC stress, the longitudinal field is restored, and an additional hole injection at low gate voltage is again possible during the next period. Compared to the case of DC stress conditions at low gate voltage, for which this neutralization does not occur, this effect leads to an increased degradation. The second model states that neutral electron traps are created by hole injection [45,49,53,86] (see § 4.3.3). These neutral traps are then filled during electron injection at high gate voltages. They could explain the observed enhanced degradation. Let us note that the degradation induced by AC stress for various waveforms (SRAM, inverter) has been recently fully predicted from DC stress experiments (quasi-static approach) by incorporating three mechanisms: • the creation of interface states at low and medium gate voltages (see Sect. 3), • the creation of oxide electron traps at high gate voltages (see Sect. 4) and, • the creation of oxide electron traps at low gate voltages (see Sect. 3) [96]. The altemating injection effect seems very efficient in explaining the enhanced degradation observed in AC stressed n-channel MOSFETs.
Hot Carrier Injections in Silica
313
7.2.4. Relevance for circuit operation From an engineering point of view, we should bear in mind the envisaged circuit applications before performing AC experiments to test the reliability of a given technology. In fact, in many cases, the behaviors of the n-channel and the p-channel MOSFETs compensate one another. The drain current usually decreases in the n-channel device and increases in the p-channel device. The AC injections enhance the degradation in the n-channel device and reduce it in the p-channel device. It follows that the degradation of a ring oscillator is less than the corresponding degradation of the individual n- and p-channel MOSFETs which make up an inverter in the chain, even for working conditions at very high drain voltages [97,98]. For the engineers in charge of technology and of circuit reliability, and considering the inverter operation mode, the main transient effects involved during AC stress experiments are [80]: • the altemating injections of holes and electrons in n-channel MOSFETs, which can lead to a reduction in device lifetime of about one order of magnitude (for a reasonably good technology), • the detrapping of holes in p-channel MOSFETs, which prolongs the device lifetime. If we want to assess the final reliability of the circuits fabricated with a given technology, it is necessary to take into account several well-defined operating conditions (waveforms, rise and fall times) and to define the suitable technique to estimate the product lifetime (duty cycle weighting, for instance) [80,96,97]. 8. THE MICROSCOPIC NATURE OF THE DAMAGE INDUCED BY HOT CARRIER INJECTION (HCI) During the injection of hot carriers into Si02, two basic phenomena can occur. The first one is the trapping of the injected carriers by the pre-existing traps in the oxide. These traps are related to the intrinsic defects of the material or to the process-induced defects. The second one is the creation, by the injected hot carriers, of new defects at the Si-Si02 interface and in the oxide. The traps pre-existing in Si02 have been widely studied and an overview has been given in Chaps. 2 and 10 (Vols. 1 and 2 of this series). This review is updated in Chap. 11 of this volume. The intrinsic defects at the Si-Si02 interface, which are characterized by the socalled interface state density, are also well known (see Chap. 11 of Vol. 2). On the contrary, the exact nature of hot carrier-induced defects is still a subject of controversy. This section aims at reviewing some basic results on this topic.
314
D, Vuillaume
8,1. NATURE OF THE DEFECTS CREATED AT THE S1-S1O2 INTERFACE 8.I.I. Basic experimental results on fast interface states The most important intrinsic defect encountered at the Si-Si02 interface is the so-called P^^ center, i.e. a three-fold coordinated Si dangling bond defect symbolized by: 813 = Si* (see [99] and also Chap. 11 of Vol. 2). Substrate
oxide
gate
neg. stress
pos. stress
Ref.
<100>
wet
poly-Si
n.m.
YES
[104]
<100>
ultra-dry
poly-Si
n.m.
NO
[104]
<111>
CVD
Al
NO
n.m.
[105]
1
<111>
wet
no gate
n.m.
YES
[106]
1
<100>
(not given)
poly-Si
YES
n.m.
<111>
dry
no gate
NO
n.m.
[101] [125]
<111>
wet
no gate
NO
n.m.
[125]
<111>
damp [H2O] = 0.1% [H2O] > 1%
no gate no gate
YES NO
NO n.m.
[125] [125]
dry
Al
n.m.
NO, but " Pb-like "
[102]
<100>
1
Table 4.1 Observation, by means ofESR, of the P^ centers generated by high electric field stresses for various oxides and as a function of the sign of the stress bias applied to the oxide, (n.m. means "not measured").
^10" •E
fc
III mm
I I I iiiiii
t
2 nm from interface
I I I imu
•(0
c
1.5 nm from H interface
•D
B (0
• "•'
10
17
'
10,20 Slow state density (cm"^eV^)
Fig. 4.28 Correlation observed between the densities of fast and slow interface states created at the Si-Si02 interface during HCI in the oxide by homogeneous Fowler-Nordheim tunneling injection. Fast states have been measured by DLTS and slow states by Tunnel-DLTS. The fluence of injected electrons is: 1.6x10' C/cm , tox = 75 nm. (After [118]).
Hot Carrier Injections in Silica
315
This representation is well established for the <111> surface, but the situation at the <100> interface is complicated by the existence of, at least, two P^ centers which are physically and chemically different [100]. The microscopic model for the so-called P^Q center is Si3=Si*, while the Poindexter model ascribed to the P^i center is Si20=Si* [100]. This identification of the Pb centers at <100> interfaces is still a subject of controversy [101], and it is beyond the scope of this chapter to discuss this topic. In state-of-the-art devices, these defects are mainly passivated by hydrogen, yielding a residual interface state density Dj^ ranging from 10^ to 10^^ cm'^eV-l. These states are called fast interface states because the defects are located at the interface (typically within one atomic distance) and because their charge state depends on the position of the Fermi level at the interface. Typically, the exchange of carriers between the defects and the valence or conduction bands take place in less than a few ms. The main question about the nature of HCI-induced defects is: "does the injection of hot carriers de-passivate the P^ centers or does it create new types of defects?". Table 4.1 summarizes extended results [102, 103]. It shows that no clear and final picture can be drawn at the present time. Most of these results have been obtained using homogeneous HCI in large size MOS capacitors or transistors (through avalanche injection, Fowler-Nordheim injection, etc., [201, 202]). This is because the most accurate technique which allows one to determine unambiguously the microscopic nature of the defect, namely the electron spin resonance (ESR) technique3, needs large area devices. Besides, the determination of the nature of the created defects by a single electrical technique is a very difficult task [103]. Only recently, has a new variation of this ESR technique been successfully applied to small geometry MOSFETs [107-110]. This technique named Spin-Dependent Recombination (SDR) or Electrically Detected Magnetic Resonance (EDMR) (and described in Appendix 2), has shown that P^ centers have indeed been created in small transistors by HCI at low V^ [108] and in some cases by homogeneous electron injections, depending on the mechanisms of interface state creation [109, 110] (see § 8.1.3). 8.I.2. Other interface defects (slow interface states) It has been shown that positive charges appear in the bulk of the oxide upon hole injection (due to hole trapping in pre-existing traps [43]). However, positive charges may also appear at the Si-Si02 interface upon electron injection when the oxide field is sufficiently large (over 7 MV/cm) [112,113]. This is especially visible for small fluences of injected charges in the oxide (typically less than 0.001 C/cm^). At higher injected fluences, these positive charges are masked by electron trapping. Profiling techniques have shown that these positive charges are mainly located within 3 nm from the Si-Si02 interface [114].
3 For the readers not familiar with the microscopic identification of defects in semiconductors, see a brief description of the basic principles of ESR in the first Refs. quoted in [99] and in [111]. See [181] for a detailed description.
316
D, Vuillaume a)
Interface trap creation by depassivation of a P^ center
Interface states Substrate
^N(E)
Hydrogen-related species b) Interface trap creation by impact ionization
Interface states Substrate
^N(E)
Fig, 4.29 Schematic description of the two main degradation mechanisms in Si02 and at its interface with Si. (a) Trap creation by the release of hydrogen-related species at the anode (here, the gate), and diffusion across the oxide, (b) Hole generation by impact ionization at the anode, and trapping near the cathode-Si02 interface. Trapped holes lead to the formation of a positive charge and to the generation of interface states (After [112], slightly modified).
Hot Carrier Injections in Silica
317
These positive charges have been related to donor-like defects located in the oxide close to the Si-Si02 interface. They are also called slow interface states (or border traps [115]) since they can exchange carriers with the Si substrate via a tunneling mechanism, with a capture and an emission time constant ranging from a few milliseconds to a few hundred seconds. These slow interface states can also be accurately characterized by Tunnel-Deep Level Transient Spectroscopy (Tunnel-DLTS) [116]. This technique, which is a variant of the standard DLTS technique described in Chap. 12 of Vol. 2, takes into account the tunnel interaction between slow states and carriers from the substrate. It allows one to characterize separately the properties of the fast and the slow interface states (density, capture crosssection). Recently, the charge pumping technique has also been used to characterize these slow states [117]. Figure 4.28 shows that a linear correlation is found between the concentration of slow and fast interface states created by HCI [118]. This result suggests that there exists a similarity in the physico-chemical nature of these defects and in their creation mechanisms. 8.I.3. The creation mechanisms Two basic models have been proposed to explain the creation of defects at the Si-Si02 interface upon carrier injection. They are hereafter called the hydrogen-related species diffusion model and the impact ionization model. The role of hydrogen species in the creation of interface state has been clearly established by several research groups [118-123]. The basic mechanism is described in Fig. 4.29-a. The hot electrons entering the oxide can gain enough energy to cut loose some hydrogenated species in the oxide or at the anode-oxide interface. These species diffuse across the oxide and pile up at the Si-Si02 interface where they are able to create interface defects. One possible explanation is that the incoming species de-passivate the Pb centers according to the following type of chemical reaction : / ^ i / + [ / / ] ^ / ^ + //*
(4.22)
where PbH represents a hydrogen-passivated Pb center, [H] stands for any form of hydrogenated species (H, H+, OH, etc.), and [H*] is any form of combination of [H] with the atomic hydrogen released from the Pb center [124]. This model could also explain the creation of interface states by X-ray and g-ray irradiations (see Chap. 11 of this volume). Chemical reactions more complex than (4.22) have also been suggested [125], but (4.22) should be considered as the simplest specific case. This model has been found to apply whenever the oxide field Fox exceeds about 1.5-2 MV/cm [121]. In the second model, historically the oldest one, the degradation is related to the generation of holes by impact ionization across the 9 eV of the Si02 band gap [126] (see also Sect. 8.5 in [201]). The impact ionization model was first ruled out when it was discovered that the average energy of hot electrons in Si02 never exceeds 5-6 eV [127].
318
D, Vuillaume
However, a high energy tail (in the 9-12 eV range) was later observed in the energy distribution of the hot electrons in thick oxide (tox > 20 nm) at high field (above 7 MV/cm) [112]. Recently, the relationship between defect creation and impact ionization has been reexamined both theoretically and experimentally [112,128], and it has been proved that this model fully accounts for the formation of positive charges and the creation of interface states [112,113]. In this model, the holes generated by impact ionization in the oxide can get trapped Si-Si02 interface as illustrated in Fig. 4.29-b. Several results have shown that interface can be created when the injected electrons recombine with the trapped holes located to the interface (< 3nm) [51,129]. The exact nature of this transformation mechanism clear yet.
at the states close is not
This second model requires a high electric field in the oxide because hole generation is only possible when the injected carriers have reached the threshold energy for intra-band impact ionization. On the contrary, in the first model, the energy required to de-passivate the P^ center is almost the binding energy of the Si-H bond. This energy varies from « 0.8 eV to 3.1 eV [130,131], depending on the oxide process, the lowest value accounting for possible weaknesses induced by local disorder at the interface. Many experiments have been performed in order to check the respective role of these two mechanisms on the degradation of MOSFETs. These experiments deal with the effects of injected fluences, oxide fields, oxide thicknesses and temperature at which injections have been performed [112,113,118,121,122,128]. Table 4.2 sunmiarizes the basic behaviors observed for the hydrogen-related species (HRS) model and for the impact ionization (II) model. The HRS mechanism is active whatever the oxide thickness may be, whereas the n mechanism requires a thicker oxide to let the electrons gain enough energy (E > 9 Ev) to generate electron-hole pairs [112,113,128]. The n mechanism also requires a large oxide field (Fox ^ 7.5 MV/cm), while the HRS mechanism occurs as soon as the oxide field is larger than about 1.5 MV/cm, leading to electrons with energy larger than about 2 eV at the anode [112,121]. It has also been observed that trap creation by the HRS mechanism is strongly temperaturedependent while trap creation by the n mechanism is either weakly temperature dependent or independent of temperature [112,113,118,122]. Activation energies for trap creation by the HRS mechanism in the 50meV to 0.2 eV range have been reported [113,118,121,122]. By studying the trap creation kinetics, it was found that the n mechanism dominates at lower injected fluences (typically for less than 10'^ - 10'^ C/cm^) and that the HRS mechanism appears to be the prevailing mechanism responsible for trap creation at higher injected fluences [112,113]. However, this critical injected fluence depends on the technology, and a lower value is expected for devices with an aluminium gate (as compared to devices with a polysilicon gate) since the A/-gate process is known to introduce much more hydrogen into the gate oxide. Table 4.2 should be viewed as a "road map" helping one to recognize a degradation mechanism when studying the reliability of oxide films on silicon.
Hot Carrier Injections in Silica
319
1013 M 1
c
10^2
E o
"^^mm^
Q. b.
10^^
•o
*^ •o
3
B 10^0
"5. E
(0 0)
O
(0
10^
10-® 10-^ 10"^ 10-^ 10-2 10"'' 10° 10^
Q LU
Injected fluence (C/cm^) Fi'^. 4.30 Generation kinetics of interface states (—) as measured by an electrical technique (CV technique) and of Pho centers ( ) as measured by EDMR on the same device. The stress has been performed by FowlerNordheim injection at 9 MV/cm, with t(,x = 67 nm. (After [109], slightly modified).
CB
1 eV C
B1
D1
D2
2 eV 82
3 eV A Fig. 4.31 Energy levels in the forbidden gap ofSi02 for the 0/- transition of several defects : O^^i^ (levels A, Bl and B2, O vacancy in bulk Si02 (level C) and near the Si-Si02 interface (levels Dl and D2). Level E is from HCI experiments described in [50,133]. Different levels for the same defect correspond to different theoretical studies (see detail in [133]).
320
D. Vuillaume
By using EDMR to analyze the nature of the defects created by the homogeneous injection of electrons in the gate oxide of small-size transistors fabricated on <100> oriented surface, it has recently been shown that only the P^Q center is created when the prevailing trap creation mechanism is the release and diffusion of hydrogen species [109]. However, it was also observed (Fig. 4.30) that the number of created P^o centers was too low to account for the whole interface state density as measured by electrical means (C-V or charge pumping techniques). At fluences below 10" C/cm (Fig. 4.30), for which the creation of traps is dominated by holes generated by impact ionization, no paramagnetic signal has been observed while electrical techniques show the efficient creation of interface states (see Appendix 2 for a discussion of the sensitivity of the EDMR technique). Presently (1994), the creation of the other defect, the Pj^j center, has not yet been observed, even when performing the EDMR measurements in a large range of temperatures [110] in an attempt to take into account the difference in the carrier capture properties of the two defects, P^O ^^d Pbl [132]. However, it is not completely clear at the moment, whether the P^j center is not created or whether it is simply not observable through the EDMR technique. Hydrogen-related species (HRS) mechanism
Impact ionization (n) mechanism
Oxide thickness
No
Yes (larger than « 20 nm)
Temperature
Strong
Weak or independent
Injected fluence('^)
>10"^-10'^C/cm^
< 10"^ - 10"^ C/cm^
Oxide field
> 1.5 MV/cm (or energy larger than « 2 eV)
> 7.5 MV/cm (or energy larger than - 9 eV)
Table 4.2 Dependence of the two main degradation mechanisms on oxide thickness, oxide field, injected fluence and on that temperature at which the carrier injections are performed. This table should be viewed as a "road map" to recognize a degradation mechanism when studying the reliability of oxide films. %.2. NATURE OF THE DEFECTS CREATED IN THE BULK OXIDE
In § 3.2, we showed that the injected electrons can be captured by the oxide traps created by HCI during the long-time aging of MOSFETs. This capture event occurs very rapidly (typically in less than 100 sec) compared with the time needed (up to 10"^ sec) to create a significant concentration (i.e. a few lO^^ cm-^) of these oxide traps [49]. Several results have recently been obtained which allow one to determine the electronic properties of these HCIrelated oxide defects [133].
(4) Should depend on the process, especially on the gate (aluminum or polysilicon) process. See text for details.
Hot Carrier Injections in Silica
321
In the case of HCI at low VQ, the defects exhibit large capture cross-sections, ranging from 10-14 to 10-1^ cm^ [133]. Combining photodepopulation spectroscopy and field-enhanced emission time constant measurements, one has been able to determine that the energy levels of these defects lie between 1.7 and 3 eV. Moreover, it was also found that the oxide traps created by HCI at high VQ (see Sect. 5) exhibit the same electrical properties, thus suggesting a similar nature [50,133]. In the absence of any ESR data (absence due to the preferential localization of these defects near the drain side of the submicrometer MOSFETs), speculations on the nature of HCIinduced defects can only come from a comparison with theoretical results [133]. Figure 4.31 sunmiarizes the most likely energy levels for these HCI-induced defects. These levels are mainly related to the several possible forms of Si dangling bond defects which have been identified in the oxide bulk or near the interface. Recently, EDMR measurements have shown that an E' center, i.e. an oxygen vacancy, 03=Si* •Si=03, with two silicon dangling bonds, should be created by HCI at low gate voltage [108], in agreement with the conclusions of the electrical experiments. However, more complete EDMR experiments are required to confirm this creation. 9. TECHNOLOGY AND DEVICE ENGINEERING In order to reduce the instabilities induced by hot carrier injection, researchers and engineers can try to further improve the quality of the materials (for instance the gate oxide) to make them more resistant against defect creation. They can also improve the design of the devices to reduce the electric field in the channel or to obtain a better control of the parasitic effects (especially in the case of the LDD structures). Concerning the gate material, it was recently demonstrated that reoxidized nitrided oxides (abbr. RONO), described in Chap. 1 are more resistant than both nitrided oxides and standard thermal oxides [91,134]. Some reports have also mentioned the better quality of the fluorinated oxides [135], of the RTP (rapid thermal process) oxides [136], or the benefits of the "irradiation then anneal" cycles [137]. The role of the passivation layer has also been pointed out. Passivation with plasmadeposited nitride was shown to degrade the reliability performances of the gate oxide [138]. It is also known to induce mechanical stresses or to indroduce a large amount of hydrogen. Both effects are detrimental since they increase the generation rate of the interface defects under hot carrier injection(^). However, a double layer (oxide at the bottom and nitride at the top) has been proposed as an efficient passivation layer which combines the good barrier properties of the nitride and the good hot carrier reliability of the oxide [139].
(5) See also the detrimental effect of nitride passivation on the AC enhanced degradation (Sect. 7, Fig. 4.25).
322
D, Vuillaume
Poly-Si Spacers a)
Oxide Silicide
b)
Poly Si
P" substrate Fig, 4.32 Cross-sections illustrating four advanced transistor structures proposed to improve device performances: (a) The inverse T-gate to make a gate-overlapped LDD (GOLD) structure, (b) The total overlapped LDD with polysilicon spacer (TOPS), (c) The biased-spacer LDD structure, (d) The double LDD concave (DLC) structure. (After [146-150], slightly modified).
Low Doped Drain (LDD) structures have been designed to reduce the magnitude of the longitudinal electric field near the drain, by introducing a smooth junction transition with a reduced doping concentration (n") between the channel and the drain [140]. However, this n" region lies underneath a spacer oxide, and the gate-control of the field and carrier concentrations in this region in order to avoid specific parasitic effects (such as charge trapping in the spacer oxide) is difficult to obtain. For instance, a careful optimization is required for the n' implantation dose [141], for the tilt angle during the n" implantation [142], for the shape and the nature of the spacer (oxide, nitride, polysilicon) [143,144]. Consequently, LDD MOSFETs are not suitable for deep submicrometer technologies below 0.35 |Lim [145].
Hot Carrier Injections in Silica
323
To overcome these difficulties, several new structures have been investigated in order to build reliable(6) devices operating at 5 and 3.3 V with channel lengths in the 0.5-0.6 and 0.20.3 |Lim ranges, respectively. It was demonstrated that a precise gate control of the field over the n' region is crucial to achieve both good performance and reliable LDD devices. Four such structures are shown in Fig. 4.32. • The inverse T-gate shape has been proposed to obtain a gate-overlapped LDD structure (GOLD) as illustrated in Fig. 4.32a [146,147]. • The total overlap with polysilicon spacer (TOPS) structure has also been suggested to make sure that the gate fully overlaps the Ughtly doped region [148], (Fig. 4.32b). • The longitudinal electric field can be reduced when polysilicon LDD spacers are independently biased with respect to the gate electrode. Such a structure has been manufactured with polysilicon LDD spacers connected to the source and drain electrodes by titanium silicide [149], as illustrated in Fig. 4.32c. • Three-dimensional structures, such as the double LDD concave (DLC) MOSFETs have also been proposed. There, the silicon substrate is etched to form U-shaped grooves with the source and drain junction depths shallower than the depth of the grooves, and with an impurity profile of n+-n"-p"-p+ along the sidewall of the grooves [150] as illustrated in Fig. 4.32d. For p-channel MOSFETs the gate material (i.e. n+ versus p+ polysilicon) also plays a part. It has been outlined in § 6.3.
10. FUTURE TRENDS AND CONCLUSION The basic features and the degradation mechanisms reported in this chapter have been well established for state-of-the-art devices with a channel length in the 0.5-1 |Lim range. However, in laboratories, the research programs aim at fabricating even shorter devices. Although several reports have demonstrated the feasibility of 0.1 |im MOSFETs [151-155], the hot carrier reliability of these deep-submicrometer devices is questionable and is therefore a subject of interest. As device dimensions shrink below the half-micrometer range, the drain voltages must be reduced. In the case of deep-submicrometer MOSFETs (0.1-0.2 |Lim), operating at drain voltage Vj) lower than 3 V, one would expect (based on the lucky electron model, for which carriers gain all their kinetic energy from the applied extemal potential, i.e. a maximum kinetic energy qV^ without any scattering phenomena) that the carriers in the channel cannot gain an energy larger than the potential barrier, which should turn off the hot carrier injections.
(6) For instance a lifetime greater than 10 years at voltage 10 % greater than the nominal voltage.
324
D. Vuillaume
,21
10^' i
T
1 I I I I I
T
1
1
1 I I I
i
t
I
I
l|
CM
i
\
102° I
\
t
(0
I
19
2 10'^ fc-
\
\
\
11 © 10^^ k-
I
Z 10^5
10'
t
I
J
i i t i i I
10^
i I
10^
Oxide thickness (nm) Fig. 4.33 The number of injected electrons, needed to create the same amount of interface defects (see the text for details), is plotted as a function of the oxide thickness. Measurements have been performed at various oxide fields (8 -12 MV/cm). (After [157], slightly modified).
Moreover, when the oxide thickness reaches the 5 nm range and below (which is the case in 0.1 |im devices), electron fluences greater than 10^ C/cirfi have been shown to flow through the gate oxide without significant defect creation, or destructive breakdown [156]. This is also illustrated by the fact that a roughly linear relationship (using a log-log plot) has been observed between the decrease in oxide thickness and the increase in the number of injected electrons needed to create a given concentration of defectsC^) as illustrated in Fig. 4.33 [157]. This is mainly due to the fact that in such thin oxides, carrier transport is mostly ballistic. Thus one could conclude that deep-submicrometer MOSFETs should be free of phenomena associated with hot carrier injection. However, and unfortunately, the real situation appears less simple. It has been shown that the carrier energy may significantly exceed qVj), allowing hot carriers to be injected in ultra-small MOSFETs.
(7) In the present case, for interface states and for a creation following a first order kinetic, this quantity is defined as the number of injected electrons needed to reach a level of defects of 1/e (with e the Neperian) below the number of defects at saturation.
Hot Carrier Injections in Silica
325
For L = 0.15 |im, one has observed that a substrate current is generated when Vj) exceeds 0.7 V, and that a gate current is measurable for V^ values as low as 1.8 V [155]. It was also shown that a 10^ to 10^ % relative increase in the interface state density is observed after stressing a 0.15 |iim transistor with Vj) values comprised between 2.2 and 2.7 V (VQ = IV) during about 10^-10^ min. From the first experimental reports [153,155], it seems that the behaviors of the substrate and gate currents in deep sub-micrometer MOSFETs, as well as the degradation behaviors look like those of longer channel devices. The longitudinal electric field is still determined by Vj) - V^sat, as in (4.4), and the substrate current is still exponentially dependent on this field, as in (4.3), even if this relationship is no longer independent of the channel length [153]. The gate current is still correlated with the substrate current, as in (4.17). Moreover, the degradation is shown to depend on the gate voltage in a manner identical to that of micrometer MOSFETs [155], i.e. with a maximum degradation obtained for a stress (gate) voltage which corresponds also to the maximum of the substrate current (as illustrated in Fig. 4.12). Several mechanisms have been suggested to explain that the carriers can acquire an energy larger than qV^ in the case of low drain voltages [155]. • Due to the heating from frequent electron-electron and/or electron-phonon collisions, a hotelectron gas could exist at an elevated electron temperature. • Heating due to thermionic emission over the barrier in the presence of a vertical electric field can explain the injection of carriers in the gate [158]. • Auger recombination where an electron-hole pair recombines, transferring its energy to another electron closer to the top of the potential barrier, can also lead to an efficient injection in the gate oxide [159,160]. In conclusion, it seems that the basic hot carrier concepts can continue to be used in lowvoltage deep-submicrometer MOSFETs, even if the physical mechanisms responsible for the hot carrier generation are not clearly established in that case, and need to be further investigated. Futhermore, for MOSFETs in the 0.1 |Lim range, new and interesting physical studies become possible. First of all, since the channel length becomes comparable with the mean free path of carriers (at liquid helium temperature), non-stationary and quantum transport can be studied in these devices. Typical examples are : i) velocity overshoot [161,162], ii) reduction of the electron temperature due to near ballistic transport [163], iii) observation of Shubnikov de Haas oscillations [161]. The reduction in electron temperature should be favorable to a reduction of degradation induced by hot carriers. However, many of these studies need to be carried out at helium temperature and it is difficult to extend their conclusions to room temperature. For instance, the degradation of 0.1 |Lim MOSFETs has been investigated at room temperature with injections performed at maximum substrate current, and it was demonstrated that a significant interface state generation had taken place. Moreover, it was shown that this degradation tends to be uniform, namely that the degraded zone tends to extend over the entire channel length instead of being mostly located near the drain as in larger devices [162].
326
Z). Vuillaume
Reducing both the size of the active gate area and the gate dielectric thickness also leads to opportunities for new devices as pioneered by works on single electron devices (SED) [163166]. These devices are based on the effect known as Coulomb blockade. In devices with gate area less than 0.1x0.1 \ivcfi and gate dielectrics thinner than 5 nm, the gate capacitance is so large that the Coulomb energy associated with the transfer of an elementary carrier charge through the capacitance is of the order of a few tens of millivolt. At low temperature (down to a few Kelvin) this energy is sufficient to prevent the transfer of further charges, thus leading to the concept of SED. On the other hand, deep-submicrometer MOSFETs (e.g. with a gate area smaller than 1 iim^) open the door to studying Si-Si02 interface defects at their ultimate level: capture and emission of a single carrier by a single trap [167]. In such devices with a state-of-the-art quality of interface (e.g. a state density of 10^^ cm-^eV-^), less than 100 traps are present under the gate and only a few of them are active because they have an energy level located near the Fermi level at given bias conditions. Any fluctuation in the occupancy of an individual defect generates a discrete switching in the drain current [168, 169]. This peculiar instability is known as random telegraph signal (RTS) and its amplitude (easily observable) is typically of the order of 0.1% of the channel current. Models explaining the relative amplitude of RTS have been proposed, mainly based on fluctuations in carrier number and mobility [170-172]. Typical time constants of RTS range from a few milliseconds to thousands of seconds, and have been attributed to an exchange of carriers between the traps located in the oxide (a few A from the interface, i.e. within a tunneling distance) [167]. RTS is observable over a large temperature range (from liquid helium temperature to room temperature). The study of RTS due to carrier trapping and detrapping on single defects in small size MOSFETs offers the possibility to analyze and reveal some unexplored aspects of the Si-Si02 interface defects. Some important clues are briefly outlined in the following. • An entropy change associated with the change in the charge state, and the corresponding change in the trap energy level has been analyzed [173,174]. • Complex dependences (which do not follow the Shockley-Read-Hall theory) of the capture and emission time of individual defects with respect to carrier density in the channel have been ascribed to the Coulomb blockade effect with large (a few hundred millivolts) Coulomb energy [175]. • Anomalous (for instance three-level fluctuations) RTS have been observed and explained by the possible existence of two (or more) charge-equivalent metastable states for the same individual trap as a result of the inherently amorphous character of the Si02 [176]. • Effects of electron heating on the capture time of a single trap have been investigated and well described by the general formalism of "carrier capture by multiphonon emission" [111] for the capture of carriers by traps [177, 178].
Hot Carrier Injections in Silica
Zll
• Finally, RTS measurements have been used to analyze single traps induced by hot carrier injections [179]. It was found that HCI-related traps are located closer to the interface, and the traps near the conduction band edge have been identified as acceptor-like for n-channel MOSFETs and donor-like for p-channel MOSFETs [179]. In conclusion, deep submicrometer MOSFETs with a channel length close to the mean free path of carriers, display new and interesting physical features, which can be bothersome for future advanced devices, or on the contrary, which may offer opportunities for new concepts and devices. ACKNOWLEDGEMENTS I wish to thank A. Bravaix and D. Goguenheim for fruitful collaborations and discussions. I am grateful to A. Boudou, J.C. Marchetaux and A. Zylbersztejn for the 2 years of fruitful collaboration we had at the BULL S.A. R&D research center, and to B.S. Doyle (INTEL) for helpful comments and collaborations in this research topic. Special thanks are due to E. Litton and G. Barbottin who have carefully read and improved the English manuscript, and to A. Kaiser who has provided the German translation of the abstract. Note: This chapter was written in 1994, and additional results and data have been published since then, especially on dynamic stress, stress-induced leakage currents in very thin oxides, etc. Some of these new results can be found in [203].
328
D. Vuillaume APPENDIX 1 BASIC PRINCIPLE OF THE FLOATING GATE TECHNIQUE
The basis of the FG technique is described in refs. [15] and [16]. In what follows we point out how we have used this technique (first at BULL S.A. and later at lEMN-ISEN) to characterize damage created by HCI in MOSFETs (i.e. interface states and oxide traps) [49,59,60,61]. Let us first recall the basic principle of the technique. The potential of an isolated gate is modified by the injected carriers which reach it by following the oxide field lines. If we call CQ the capacitance of the gate node (including the constant capacitance of the probe pad), AQQ the electronic charge collected by the gate electrode during time interval At and IQ the corresponding gate current, we can write: ^^-
^
"^^
At
^^^-^^
However, any variation in gate voltage (AVQ) induces in turn a variation in drain current AIj) given by: ^D = gm^yG
(A1.2)
where g^ is the transconductance of the transistor. It follows that the collected gate current can be expressed as :
' - = 1 ^
(A..3)
Moreover, gate voltage V Q is given directly by : VQ^-^
+ VJ
(A1.4)
Sm The transconductance g^^ and the gate capacitance CQ are easily measured at all gate and drain voltages applied to the device during the FG measurement, and the threshold voltage Vx is measured by recording the I^ - VQ curve in the linear mode. The measurements are performed on a single isolated MOSFET (no common source pad nor common gate pad). Let us now consider an n-channel MOSFET (the same applies to a p-channel device with the usual changes in notation and polarity). Before the FG measurement itself, we measure the Ij) - VQ characteristic in the linear regime (Vj) = 50 niV) and the I^ - V Q characteristic in the saturated regime for the drain voltage used during the FG measurement (here V^fg = 6 V) and for the range of gate voltage probed during the FG measurement. The gate is then biased at the upper gate voltage (here 9 V) during 30 sec, after which the gate probe is lifted (the micro manipulator is mounted on an automated step motor). The time variation of the drain current (l£)(t)) is monitored until Ij) reaches a lower value corresponding to the chosen lowest gate voltage (here 5 V) according to the saturated Ij) - V Q characteristic.
Hot Carrier Injections in Silica
329
Since the gate has been positively charged, each injected electron reaching the gate decreases the charge stored in the isolated gate, which corresponds to a decrease in gate voltage and a decrease in drain current (Fig. Al-1). From the l£)(t) characteristic, we calculate the gate current IQ by using the time derivative of the curve according to Eq. (A 1.3) and we deduce the corresponding gate voltage from the ID - VQ curve according to Eq. (A 1.4). Typical IQ - VQ characteristics are given in Fig. A1-2. We must make sure that the drain voltage applied during the FG measurement is sufficiently low to avoid self-degradation during the measurement. This can be verified if after performing repetitive FG measurements, no significant change in the successive I Q - V Q characteristics is noted. Moreover, charge pumping measurements recorded before and after the FG measurement should be strictly similar [59]. For the MOSFET under test (in Figs. Al-1 and A1-2), the typical values of CQ, g^^ and AIjyAt are 0.6 pF, 10 mA.V-1 and 0.1-1 ILIA.S"!, respectively, leading to a minimal detectable gate current of about 6x10"^^ - 6xlO"l'7 A. In order to verify that no oxide leakage current perturbs the gate current measurement, an FG measurement is systematically performed at a low drain voltage (for instance 2-3 V) for which no sizeable hot carrier injection is expected. For instance, we have observed (on a large number of samples) only a very weak change in the drain current after the gate probe has been lifted. This drain current variation has been estimated to correspond to a resistance as high as lO^^-lO^^ Q. This has no influence on the gate current measurement. Moreover, in order to reduce the surface leakage current, the probe station is placed under a flow of dry nitrogen gas. The FG technique has been used to monitor the evolution of \Q induced by HCI [49,59,60,61]. Because the FG technique uses the "source" of the degradation (i.e. the hot carrier injection itself) to probe the IQ - VQ characteristics, this technique can, in fact, be used as a local probe to monitor the effects of localized oxide charges on the behavior of IQ. Figure 4.17 (Sect. 5) shows a typical example of this behavior during a hot carrier stress at VQ = Vj) = 8.5 V. In this case, the aging has been periodically interrupted to perform the FG measurement at V^fg = 6 V. Thus, the FG technique is a direct method to probe localized defects around the site of the electron injection point near the drain or in the gate-drain overlap region of the MOSFET. The creation of acceptor-like oxide traps has been deduced from the study of the evolution of IQ during aging when measured by the FG technique [49, 60, 61]. According to the lucky electron model (see § 3.3), the parameters which can effectively modify IQ are: the maximum longitudinal electric field ¥^ and the effective barrier potential Og which electrons must overcome to be injected into the oxide and collected at the gate. In this model, a simple expression of the gate current is given by Eq. (4.13). From this equation, we see that IQ decreases when Og increases or when Fj^^ decreases. The evolution of Fj^ is experimentally probed by multiplication factor M = IsuB^D- We have shown that M increases with stress time [60, 61], which means that Fj^ increases. This increase is due to a generation of negative charges in the oxide, which induces an increase in the effective barrier potentialO B along the channel as shown by 2-D simulations [24].
330
D. Vuillaume GO
_
50
Ou
—'
Fig. Al-1 Evolution of the drain current with time when the gate is floating. The device characteristics are: n-MOSFET, L = I fim, W = 100 /urn, tox = 20 nm. Drain voltage is 6V during the measurement.
1— 2: UJ
r) 0
10
2: 1—1
cc Vd C3
30
20 BO
100 120 140 IBO IBO 200
TIME ( s e c )
10-12
-13
10 CE
Fig. Al-2 Typical IQ (VQ) curve measured by the FQ technique and deduced from the measurement shown in Figure Al-L
Ld DC
10"
o
cc
-IB
10
G
7
B
GRTE VOLTRGE ( V )
This F^ increase should in turn induce an increase in IQ, in disagreement with our results. We therefore conclude that the observed decrease in IQ is dominated by an increase in the effective barrier potential Og induced by these oxide negative charges. One-D numerical simulations using the lucky electron model have shown that IQl^ negative charge/cm^ over 5 nm from the Si-Si02 interface could induce a decrease of a factor of 102-10^ in the gate current [180] (see also Chap. 10 of Vol. 2). However, a careful 2-D simulation of the hot carrier gate current is required to go further in the quantitative evaluation of the amount of defects linked with the observed decrease in gate current.
Hot Carrier Injections in Silica
331
APPENDIX 2 THE ELECTRICALLY DETECTED MAGNETIC RESONANCE TECHNIQUE
For the fundamental understanding of the physical nature (at a microscopic level) of defects generated during hot carrier injection, the electron spin resonance (ESR) technique is the best tool available to date. A detailed description of the ESR technique can be found in [181]. Basically, one considers an unpaired electron (paramagnetic) trapped on a defect. An electron has a magnetic momentum of rotation (spin) represented by a quantum number s which can be equal to +Vi or -Vi, This means that the energy level of the trap with an unpaired electron is two-fold degenerate. When one applies a static magnetic field H, the energy level is split by the Zeeman effect as shown in Fig. A2-1. Without further perturbation, all paramagnetic electrons have their spins aligned with the magnetic field and they occupy the lowest energy level. If, in addition, a microwave radiation of suitable frequency is applied, some spins of electrons can be flipped, allowing these electrons to occupy the highest energy level (Fig. A2-1). In standard ESR detection, this resonance condition is given by h\) = gPH (see Fig. A21) where \) is the microwave frequency, h is the Planck constant, and p is the Bohr magneton. The g-factor is a tensor which depends on the orientation of the magnetic field with respect to the defect orientation in the material. In well characterized materials, its value and anisotropy can be viewed as a "signature" allowing one to identify the atomic structure of the defect. In the standard ESR technique, this resonance is detected by measuring the absorption of the incident microwave power by the sample under test. Unfortunately, the sensitivity of such a technique is limited to the detection of about lO^^ spins in the sample, and in the peculiar case of defects at the Si-Si02 interface, this requires very large sample areas (typically - 1 cm^). Thus, this technique does not permit the identification of the atomic configuration of defects induced by hot carrier injections in modem MOSFETs whose typical gate areas vary from a few tens to a few hundreds jiim^. However, a variant of this ESR technique has been developed. This variant, the electrically detected magnetic resonance (EDMR) technique, has attracted a great deal of interest for the study of the microscopic nature of defects in small-size devices [107-110,182-197]. EDMR corresponds to a measurement of the change in the carrier recombination rate in semiconductors under the condition of spin resonance. It is analogous to electron spin resonance (ESR) except for the fact that the electrical detection leads to an enhanced sensitivity which makes this technique suitable for the study of defects in small-size devices. It can be applied to defects for which the recombination happens to be spin-dependent. The spin-dependent recombination (SDR) of carrier in semiconductor was first discovered by Lepine in 1970 [182]. It was then applied to the study of defects in bare silicon materials [182,184], amorphous silicon [185], commercial p-n junctions [183,186-190], irradiated p-n junctions [110,191], and irradiated or electrically stressed Si-Si02 MOSFETs [107-110,192195]. The great sensitivity of the EDMR technique was demonstrated through the observation of the 29si hyperfine interactions in MOSFETs of 10-5 cm^ [196] and 4x10-6 cm^ areas [197]. This means that EDMR is up to lO^-lO^ times more sensitive than standard ESR technique.
332
D. Vuillaume
hu = gpHc
^0
Fig. A2-1 Energy level splitting of an unpaired electron (Zeeman effect) as a function of the applied magnetic field. For a given energy hv, spin flipping is allowed for a specific value of the magnetic field.
Magnetic field
ki
CB
m4
•4
A
I I
VB-
o o
Recombination forbidden
o
o
Recombination allowed
Fig. A2-2 Simplified illustration of the principle of the spin-dependent recombination of electron-hole pairs through an energy level in the band-gap.
The basic principle of SDR can be easily understood from the typical situation described in Fig. A2-2. This description is Lepine's original explanation of the SDR effect [182], and although it is an oversimplified one, it is suitable for a didactic purpose. A clear understanding of SDR phenomena is not yet (1994) established, but more elaborate theories and discussions can be found elsewhere [187,198,199]. Let us now assume (Fig. A2-2) that electrons of the Conduction Band (CB) and holes of the Valence Band (VB) recombine through a trap level (recombination center) located in the band-gap and possessing an unpaired electron (paramagnetic defect). As in a standard ESR technique, when a static magnetic field H is applied, the spins of electrons in the CB, the spins of unpaired electrons of the recombination center and the spins of holes in the VB are aligned with the magnetic field. Thus, the capture of electrons coming from the CB by the trap is forbidden.
Hot Carrier Injections in Silica
333
Again, applying a microwave radiation at a suitable frequency allows some spins to be flipped in the opposite orientation, and the trap can now capture electrons from the CB whose spin is the opposite of those of the trapped and unpaired electrons. Then, one of these two electrons can now recombine with a hole from the VB through a spin-independent mechanism since electrons with both spins are available on the recombination center. So, applying this microwave radiation at the resonance condition (i.e. with hx) = gpH as in a classical ESR technique) leads to strengthen the recombination process. This change in the recombination rate AR (where AR is defined as the difference between the recombination rate R with the microwave "on" and the recombination rate with the microwave "off) can easily be measured by electrical means. Likewise, the change in the photoconductivity of the sample, or the change in the current of a p-n junction when this junction is biased under conditions for which the generation-recombination current dominates (e.g. at weak forward bias) can be detected. In the case of interest in this chapter, namely the study of Si-Si02 defects in MOSFETs, the transistor is used as a gate-controlled p-n junction (Fig. A2-3). A slight forward bias is applied to the source-substrate and drain-substrate junctions so that the current measured through the junctions is dominated by a generation-recombination phenomenon. We then apply an appropriate voltage on the gate in order to deplete the channel. Thus, the interface states located near the source and drain junctions and acting as recombination centers dominate the recombination process. This corresponds to the peak observed in the generationrecombination current, IQR, versus gate voltage.
iDetector I iKlvstron K ESP 300 spectrometer
iLock-in
X
Field modulation
PC Field sweep*
'
Voltage amplifier (a)
(b)
Fig. A2'3 Basic principle of the EDMR technique, (a): The MOSFETis used as a gate-controlled p-n junction to study spin-dependent recombination through the Si-Si02 interface states (b): Block diagram of the EDMR apparatus.
D. Vuillaume
334
A typical example of such a current peak is shown in Fig. A2-4(a) for a <100> p-channel MOSFET (with a 20-nm-thick oxide) stressed by homogeneous electron injections from the substrate (5x10"^ cm^) under Fowler-Nordheim conditions at an oxide field of 9 MV/cm, and an injected electron fluence of 1.6x10-2 C/cm^ [HO]. Under these conditions, about 2xl0ll interface states/cm^/eV, as measured by charge pumping, are generated. With the transistor biased at the maximum of IGR (i.e. V Q ==^ -0.4 V), and now placed in a modified ESR apparatus (see a schematic block diagram of an EDMR, spectrometer in Fig. A2-3), one can easily obtain the EDMR spectra shown in Fig. A2-4(b). In the present case, an accurate analysis of the g-factor and of its anisotropy measured by EDMR, reveals that interface defects created by the electrical stress are the so-called Pbo center, previously identified by standard ESR as a trivalent silicon dangling bond Si3=Si* [99,100] (see also Chap. 11 in Vol. 2, and Chap. 11 in this volume). Similar observations (the creation of Pbo center at the <100> interface) have been obtained by different research groups, using similar stress conditions [109,110], but also under irradiation [107,193-195] and hot carrier injections [108]. All these results clearly prove that EDMR is a powerful technique to determine the atomic configuration of defects at the semiconductor-insulator interface in small-size MOSFETs. Moreover, the possibility to perform, on the same MOSFET sample, EDMR measurements and more classical electrical measurements of defects (such as charge pumping, CV techniques, etc.), and to simultaneously measure device performances (such as transconductance, threshold voltage, etc.) is an important breakthrough in the study of the fundamental physics of reliability in MOS devices and technologies. 1000
800 GOO 400 200 -0.7-O.G-0.5-0.4-0.3-0.2-0.1
3270
0
3310
3330
3350
3370
3390
MAGNETIC FIELD (G)
GRTE VOLTAGE (V)
(a)
3290
(b)
Fig, A2-4 (a) Typical generation-recombination current in a gate controlled p-n junction (MOSFET) after a Fowler-Nordheim injection at 9 MV/cm, 1.6x10'^C/cm^. Device characteristics are: p-MOSFET, L = 5 iim, W = 100 jum, tox = 20 nm. Measurement parameters: Vj) = 0.3 V. (b): Typical EDMR spectrum of the Pi,o center, recorded at room temperature (upper curve) and at 195 K (lower curve) for the above stressed transistor. The magnetic field was along the [100] direction. (After [110]).
Hot Carrier Injections in Silica
335
LIST OF REFERENCES [I] [2] [3] [4] [5] [6] [7] [8-a] [8-b] [9]
S.M. Sze, "Physics of semiconductor devices", 2nd edition (Wiley, New York, 1981), Chap 8, p. 482. Y.A. El-Mansy and D.M. Caughey, lEDM Tech, Dig., 31 (1975). P.K. Ko, R.S. MuUer and C. Hu, lEDM Tech. Dig., 600 (1981). S. Tarn, P.K. Ko, C. Hu and R.S. Muller, IEEE Trans. Electron Dev., ED-29,1740 (1982). C.R. Crowell and S.M. Sze, Appl. Phys. Lett. 9, 242 (1966). T.Y. Chan, P.K. Ko and C. Hu, IEEE Electron Dev. Lett. EDL-6, 551 (1985). C. Hu, S.T. Tarn, F. Hsu, P. Ko, T. Chan and K.W. Terrill, IEEE Trans. Electron Dev. ED-32, 375 (1985). A. Schiitz, S. Selberherr and H.W. Potzl, IEEE Trans. Computer-Aided Design, CAD-1, 77 (1982). W. Hansch and S. Selberherr, IEEE Trans. Electron Dev., ED-34, 1074 (1987). D. CoUard, B. Baccus, E. Dubois and D. Morel, "Software tools for Process, Devices and Circuit Modeling", ed. W. Crans (Boole Press, Dublin, 1989), p. 16. [10] M.R. Pinto, C.S. Rafferty, H.R. Yeager and R.W. Dutton, Stanford Electrons Lab, Stanford Univ., Palo Alto, CA, Tech. Rep. (Feb. 1896). [II] R. Subrahmanyan, Microelectronics Engineering 19, 585 (1992). [12] M.E. Law and R.W. Dutton, IEEE Trans. Comp. Aid Design, 7, 181 (1988) [13] W. Hansch, M. Orlowski and W. Weber, J de Physique, 49, C4-597 (1988). [14a] K.R. Hofmann, C. Werner, W. Weber and G. Dorda, IEEE Trans. Electron Dev., ED.32, 691 (1985). [14b] E. Takeda, H. Kume, T. Toyabe, S. Asai, IEEE Trans. Electron Dev., £0-29, 611 (1982) [15] F.H. Gaensslen and J.M. Aitken, IEEE Electron Dev. Lett., EDL-1, 231 (1980). [16] N.S. Saks, P.L. Heremens, L. Van den Hove, H.E. Maes, R.F. DeKeersmaecker and G.J. Declerck, IEEE Trans. Electron Dev., ED-33,1529 (1986). [17] S. Tarn, P. Ko and C. Hu, IEEE Trans. Electron Dev., ED-31, 1116 (1984). [18] T.H. Ning, C M . Osburn and H.N. Yu, J. Appl. Phys. 48, 286 (1977). [19] M. Garrigues, A. Alexandre, P. Rojo, K. Belhaddad and A. Poncet, J. de Physique, 49, C4-673 (1988). [20] D.R. Young, J. Appl. Phys. 47, 2098 (1976). [21] E. Takeda, H. Kume, T. Toyabe ans S. Asai, IEEE Trans. Electron Dev., ED-29, 611 (1982). [22] S. Baba, A. Kita and J. Ueda, lEDM Tech. Dig., 734 (1986). [23] M.K. Orlowski, C. Mazure, A. Lill, H.M. Mulhoff, W. Hansch, A. Schwerin and F. Neppl, Proc. ESSDERC'89, ed. A. Heuberger, H. Ryssel and P. Lange (Springer-Verlag, Berlin, 1989), p. 711. [24] P. Roblin, A. Samman and S. Bibyk, IEEE Trans. Electron Dev., 35, 2229 (1988). [25] W. Schockley, Solid State Electron., 2, 1537 (1958). [26] N.L Tisnek and A.P. Dimitrev, Sov. Phys. Semicond 31, 93 (1987). [27] Th. Vogelsang and W. Hansch, J. Appl. Phys. 69, 3592 (1991). [28] N. Goldsmann and J. Frey, Solid State Electron. 31, 1089 (1988). [29] B. Ridley, J. Phys. C 16, 3373 (1983). [30] N. Golsdmann, L. Henrickson and J. Frey, IEEE Electron Dev. Lett., EDL-11,472 (1990). [31] M. Lanzoni et al., IEEE Electron Dev. Lett., 10, 173 (1989) [32] M. Lanzoni; E. Sangiorgi, C. Fiegna, M. Manfredi and B. Ricco, IEEE Electron Dev. Lett., 12, 341 (1991). [33] C. Jacoboni and L. Reggiani, Rev. Mod. Phys. 55, 645 (1983). [34] C. Hao, J. Zimmermann, M. Charef, R. Fauquembergue and E. Constant, Solid-State Electron. 28, 733 (1985). [35] M.V. Fischetti and S.E. Laux, Phys. Rev. B, 38, 9721 (1988). [36] M.V. Fischetti, S.E. Laux and W. Lee, Solid-State Electron. 12, 1723 (1989). [37] C.G. Hwang, R.W Dutton,J.M.Higman and K. Hess, IEEE Trans. Electron Dev. ED-34, 2385 (1987). [38] S. Bandyopadhyay, M.E. Klausmeier-Brown, C M . Maziar, S. Datta and M.S. Lundstrom, IEEE Trans.Electron Dev. ED-34, 392 (1987). [39] E. Sangiorgi, B. Ricco and F. Venturi, IEEE Trans. Computer-Aided Design CAD-7, 259 (1988). [40] J.M. Higman, K. Hess, C.G. Hwang and W.R. Dutton, IEEE Trans Electron Dev. ED-36, 930 (1989).
336
[41] [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60] [61] [62] [63] [64] [65] [66] [67] [68] [69] [70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] [82]
D, Vuillaume
E. Dubois, P.H. Bricout, R. Fauquembergue and D. Collard, lEDM Tech Dig,, 519 (1991). C. Hu, S.T. Tarn, F. Hsu, P. Ko, T. Chan and K.W. Terril, IEEE Trans. Electron Dev. ED-32, 375 (1985). P. Heremans, R. Bellens, G. Groeseneken and H.E. Maes, IEEE Trans. Electron Dev. 35, 2194 (1988). A. Schwerin, W. Hansch and W. Werner, IEEE Trans. Electron Dev. ED-34, 2493 (1987). B.S. Doyle, M. Bourcerie, C. Bergonzoni, R. Benechi, A. Bravaix, K.R. Mistry and A. Boudou, IEEE Trans. Electron Dev. 37, 1869 (1990). E. Takeda and N. Suzuki, IEEE Electron dev. Lett. EDL-4, 111 (1983). W. Weber and F. Lau, IEEE Electron Dev. Lett. EDL-8, 208 (1987). Q. Wang, W.H. Krautschneider, M. Brox and W. Weber, Microelec. Engineering 15,441 (1991). D. Vuillaume, J.C. Marchetaux, P.E. Lippens, A. Bravaix and A. Boudou, IEEE Trans. Electron Dev., 40, 773 (1993). M. Bourcerie, J.C. Marchetaux, A. Boudou and D. Vuillaume, Appl. Phys. Lett. 55, 2193 (1989). S.K. Lai, Appl. Phys. Lett. 39, 58 (1981), J. Appl. Phys. 54, 2540 (1983). G. Groeseneken, H.E Maes, N. Beltran and R.F. DeKeersmaecker, IEEE Trans. Electron Dev. ED-31,42 (1984). T. Tsuchiya, T. Kobayashi and S. Nakajima, IEEE Trans. Electron Dev. ED-34, 386 (1987). D. Vuillaume, J.C. Marchetaux and A. Boudou, IEEE Electron Dev. Lett. EDL-12, 60 (1991). B. Doyle, M. Bourcerie, J-C. Marchetaux and A. Boudou, IEEE Trans. Electron Dev. ED-37, 744 (1990). R. Annunzianta, G. Dalla Libera, E. Ghio and A. Maggia, Proc. ESSDERC'87, ed. A. Heuberger, H. Ryssel andP. Lange (Springer Verlag, Berlin, 1987), p. 715. G. Reimbold, F. Paviet-Salomon, H. Haddara, G. Guegan and S. Cristoloveanu, Proc. ESSDERC'88, ed. J.P. Nougier and D. Gasquet (Les editions de Physique, Paris, 1988), p. 665. A. Acovic, M. Dutoit and M. Ilegems, IEEE Trans. Electron Dev. ED-37,1467 (1990). D. Vuillaume and B.S. Doyle, Solid-State Electronics 35, 1099 (1992). B.S. Doyle, J. Faricelli, K.R. Mistry and D. Vuillaume, IEEE Electron Dev. Lett. 14, 63 (1993). J.C. Marchetaux, M. Bourcerie, A. Boudou and D. Vuillaume, Electron Dev. Lett. 11,406 (1990). K.K. Ng and G.W. Taylor, IEEE Trans. Electron Dev. ED-30, 871 (1983). J.J. Tsou, C.C. Yao, R. Cheung and H.W. Chan, IEEE Electron Dev. Lett. EDL-7, 5 (1986). W. Weber and F. Lau, IEEE Electron Dev. Lett. EDL-8, 208 (1987). Y. Hiruta, K. Maeguchi and K. Kanzaki; lEDM Tech. Dig., 718 (1986). T. Mizuno, J. Kumagai, Y. Matsumoto, S. Sawada and S. Shinozaki, lEDM Tech. Dig., 116 (1986). Y. Tang, D.M. Kim, Y. Lee and B. Sabi, IEEE Electron Dev. Lett. EDL-11, 203 (1990). B.S. Doyle and K.R. Mistry, IEEE Trans. Electron Dev. ED-37, 1301 (1990). G. Reimbold, P. Saint-Bonnet and J. Gautier, lEEE/IRPS, 270 (1990). B.S. Doyle and K.R. Mistry, IEEE Electron Dev. Lett. EDL-11, 547 (1990). F. Matsuoka, H. Iwai, H. Hayashida, K. Hama, Y. Toyoshima and K. Maeguchi, IEEE Trans. Electron Dev. ED-37, 1487 (1990). T. Ong, P. Ko and C. Hu, IEEE Trans. Electron Dev. ED-37,1658 (1990). T. Tsuchiya, Y. Okazaki, M. Miyake and T. Kobayashi, IEEE Trans. Electron Dev. ED-39,404 (1992). Q. Wang, M. Brox, W.H. Krautschneider and W. Weber, IEEE Election Dev. Lett. 12, 218 (1991). A. Bravaix and D. Vuillaume, Microelectronic Engineering 19,469 (1992). R. Bellens, G. Groeseneken, P. Heremans and H.E. Maes, Microelectronic Engineering 19,465 (1992). T. Ong, P. Ko and C. Hu, Electron Dev. Lett. EDL-8, 413 (1987). A. Bravaix; PhD thesis. University of Paris VII (1990). L.C. Parillo, S.J. Hillenius, R.L. Field, E.L. Hu, W. Fichtner and M. Chen, lEDM Tech. Dig., 418 (1984). W. Weber, M. Brox, G. Groeseneken, A. v Schwerin and H.E. Maes, in "Hot carrier design considerations for MOS devices and circuits", ed. C.T. Wang (Van Nostrand Reihild, New York, 1992), pp. 250-310. W. Weber, C. Werner and G. Dorda, IEEE Electron Dev. Lett. EDL-5, 518 (1984). K. Chen, S. Sailer and R. Shdih, IEEE Trans. Electron Dev. ED.33, 424 (1986).
Hot Carrier Injections in Silica 83] 84] 85] 86] 87] 88] 89] 90] 91] 92] 93] 94] 95] 96] 97]
337
J.Y. Choi, P.K. Ko and C. Hu, IEEE Electron Dev. Lett. EDL-8, 333 (1987). W. Weber, IEEE Trans. Electron Dev. 35, 1476 (1988). R. Bellens, P. Heremans, G. Groeseneken and H.E. Maes, lEDM Tech. Dig., Ill (1988). K.R. Mistry and B. Doyle, IEEE Electron Dev. Lett. 11, 267 (1990). R. Subrahmaniam, J.Y. Chen and A.H. Johnston, IEEE Electron Dev. Lett. 11, 21 (1990). N. Revil, S. Cristoloveanu and P. Mortini, Microelectronics Engineering 19,461 (1992). R. Bellens, P. Heremans, G. Groseneken, H.E. Maes and W. Weber, IEEE Trans. Electron Dev. 37, 310 (1990). J.Y. Choi, P.K.KO and C. Hu, in "Proc. VLSI Symp.", 45 (1987). B.S. Doyle and G.J. Dunn, IEEE Electron Dev. Lett. 12, 63 (1991). M. Brox and W. Weber, IEEE Trans. Electron Dev. 38, 1852 (1991). W. Hansch and W. Weber, IEEE Electron Dev. Lett. 10, 252 (1989). W. Weber and M. Brox, Microelectronics Engineering 19,453 (1992). R.C. Hughes, Phys. Rev. B 15, 2012 (1977). K. Mistry and B. Doyle, IEEE Electron Dev. Lett. 12,492 (1991). W. Weber, M. Brox, T. Kunemund, M. Muhlhoff and D. Schmitt-Landsiedel, IEEE Trans. Electron Dev. 38,1859 (1991). 98] J. Winnerl, A. Lill, D. Schmitt-Landsiedel, M. Orlowski and F. Neppl, lEDM Tech. Dig., 204 (1988). 99] E.H. Poindexter and P.J. Caplan, Prog. Surf. Sci. 14, 201 (1983); E.H. Poindexter, P.J. Caplan and G.J. Gerardi, in "Physics and Chemistry of Si02 and its interface", ed. C.R. Helms and B.E. Deal (Plenum Press, New York, 1988), p. 299; N.M. Johnson, ibid, p. 319. 100] G.J. Gerardi, E.H. Poindexter, P.J. Caplan and N.M. Johnson, Appl. Phys. Lett. 49, 348 (1986). 101] J.H. Stathis and L. Dori, Appl. Phys. Lett. 58,1641 (1991). 102] D. Vuillaume, D. Goguenheim and J.C. Bourgoin, Appl. Phys. Lett. 58,490 (1991). 103] D. Vuillaume, Proc. INFOS'93, Microelectronic Engineering 22, 201 (1993). 104] H. Miki, M. Noguchi, K. Yokogawa, B. Kim, K. Asada and T. Sugano, IEEE Trans. Electron Dev. 35, 2245 (1988). 105] L.P. Trombetta, G.J. Gerardi, D.J. DiMaria and E. Tiemey, J. Appl. Phys. 64, 2434 (1988). 106] W.L. Warren and P.M. Lenahan, Appl. Phys. Lett. 49, 1296 (1986). 107] R.L. Vranch, B. Henderson and M. Pepper, Appl. Phys. Lett. 52, 1161 (1988). 108] J.T. Krick, P.M Lenahan and G.J. Dunn, Appl. Phys. Lett. 59, 3437 (1991). 109] J. Stathis, D.J. DiMaria, Appl. Phys. Lett. 61, 2887 (1992). 110] D. Vuillaume, D. Deresmes and D. Sti6venard,. Appl. Phys. Lett. 64,1690 (1994). I l l ] J.C. Bourgoin and M. Lannoo, "Point defects in semiconductors" (Springer Verlag, Berlin, 1981), Vol. 2, p. 52 112] D.J. DiMaria, D. Arnold and E. Cartier, Appl. Phys. Lett. 60, 2118 (1992); Appl. Phys. Lett. 61, 2329 (1992); J. Appl. Phys. 73, 3367 (1993). 113] A. Mir and D. Vuillaume, Appl. Phys. Lett., 62(10), 1125 (1993); Microelectronics Journal 24, 361 (1993). 114] S.T Chang and S.A. Lyon, Appl. Phys. Lett. 48,136 (1986). 115] D.M. Fleetwood, P.S. Winokur, R.A. Reber, T.L. Meisenheimer, J.R. Schwank, M.R. Shaneyfelt and L.C. Riewe, J. Appl. Phys. 73, 5058 (1993). 116.a] D. Vuillaume, J.C. Bourgoin and M. Lannoo, Phys. Rev. B 34,1171 (1986). 116.b] H. Lakhdari, D. Vuillaume and J.C. Bourgoin, Phys. Rev. B 38, 13124 (1988). 117] R.E. Paulsen, R.R. Siergiej, M.L. French and M.H. White, IEEE Electron Dev. Lett. 13, 627 (1992). 118] D. Vuillaume, A. Mir, R. Bouchakour, M. Jourdain, A. El-Hdiy, and G. Salace, J. Appl. Phys. 73, 277 (1993). 119] D.R. Young, A.E. Irene, D.J. DiMaria, R.F. DeKeersmaecker and H.Z. Massoud, J. Appl. Phys. 50, 6366 (1979). 120] R. Gale, F.J. Feigl, C.W. Magee and D.R. Young, J. Appl. Phys. 54, 6938 (1983). 121] D.J. DiMaria and J.W. Stasiak, J. Appl. Phys. 65, 2342 (1989). 122] D. Vuillaume, Appl. Phys. Lett. 59, 3118 (1991). 123] E. Cartier, D.A. Buchanan and G.J. Dunn, Appl. Phys. Lett. 64, 901 (1994). 124] D.L. Griscom, J. Appl. Phys. 58,2524 (1985); M.L. Reed and J.D. Plummer, J. Appl. Phys. 63, 5776 (1988).
338 [125] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164]
D. Vuillaume G.J. Gerardi, E.H. Poindexter, P.J. Caplan, M. Harmatz and W.R. Buchwald, J. Electrochem. Soc. 136, 2609 (1989). P. Solomon, 7. Vac, Set Technol 14,1122 (1977). M.V. Fischetti, D.J. DiMaria, S.D. Brorson, T.N. Theis and J.R. Kirtley, Phys. Rev, B 31, 8124 (1985). D. Arnold, E. Cartier and D.J. DiMaria, Phys. Rev. B 45, 1477 (1992). S.J. Wang, J.M. Sung and S.A. Lyon, Appl. Phys. Lett. 52, 1431 (1988). G.J. Gerardi, E.H. Poindexter, M. Harmatz, W.L. Warren, E.H. Nicollian and A.H. Edwards, J. Electrochem. Soc. 138, 3765 (1991). C.T. Sah, Solid State Electron. 33, 147 (1990). D. Vuillaume, D. Goguenheim and G, Vincent, Appl. Phys. Lett. 57,1206 (1990). D. Vuillaume and A. Bravaix, J. Appl. Phys. 73, 2559 (1993). T. Hori and H. Iwasahi, IEEE Electron Dev. Lett. EDL-10, 64 (1989). T.P. Ma, J. Vac. Sci. Technol. A 10,705 (1992) and references therein. H. Fukuda, T. Iwabuchi and S. Ohno, Jap. J. Appl Phys. 27, L2164 (1988). J. Hwu and J. Chen, IEEE Electron Dev. Lett. 11, 82 (1990). J. Mitsuhashi, S. Nakao, T. Matsukawa, lEDM Tech. Dig., 386 (1986). S. Yoshida, K. Okuyama, F. Kanai, Y. Kawate, M. Motoyoshi and H. Katto, lEDM Tech. Dig., 22 (1988). J.J. Sanchez, K.K. Hsueh and T.A. DeMassa, IEEE Trans. Electron Dev. 36, 1125 (1989). M. Orlowski and C. Werner, IEEE Trans. Electron Dev. 36, 382 (1989). T. Hori, J. Hirase, Y. Odake and T. Yasui, IEEE Trans. Electron Dev. 39, 2312 (1992). T.Y. Chan, A.T. Wu, P.K. Ko and C. Hu, IEEE Electron Dev. Lett. EDL-8, 326 (1987). I. Chen, C.C. Wei and C.W. Teng, IEEE Electron Dev. Lett. 11, 78 (1990). A.F. Tasch, H. Shin, T.J. Bordelon and C. M. Maziar, IEEE Electron Dev. Lett. 11, 517 (1990). T.Y. Huang, W.W. Yao, R.A. Martin, A.G. Lewis, M. Koyanagi and J.Y. Chen, IEEE Electron Dev. Lett. EDL-8, 151 (1987). R. Izawa, T. Kure and E. Takeda, IEEE Trans. Electron Dev. 35, 2088 (1988). J.E. Moon, T. Garfinkel, J. Chung, M. Wong, P.K. Ko and C. Hu, IEEE Electron Dev. Lett. 11, 221 (1990). L.C. Parrillo, J.R. Pfiester, M.P. Woo, B. Roman, W. Ray, J. Ko and G. Gunderson, IEEE Electron Dev. Lett. 12, 542 (1991). K. Hieda, K. Sunouchi, H. Takato, A. Nitayama, F. Horiguchi and F. Matsuoka, IEEE Trans. Electron Dev. 39, 671 (1992). G.G. Shahidi, D.A. Antoniadis and H.I. Smith, IEEE Electron Dev. Lett. EDL-9, 94 (1988). G.A. Sai-Halasz, M.R. Wordeman, D.P. Kern, S. Rishton and E. Ganin, IEEE Electron Dev. Lett. EDL-9,464 (1988). G.G. Shahidi, D.A. Antoniadis and H.I. Smith, IEEE Electron Dev. Lett. EDL-9,497 (1988). J.P. Mieville, J. Barrier, Z. Shi, M. Dutoit, Y. Oppliger, J.M. Moret and A. Perret, Microelectronics Engin. 13, 189 (1991). J.E. Chung, M. Jeng, J.E. Moon, P. Ko and C. Hu, IEEE Trans. Electron Dev. 37, 1651 (1990). K.R. Farmer, M.O. Andersson and O. Engstrom, Appl. Phys. Lett. 58, 2666 (1991). A. El-Hdiy, G. Salace, C. Petit, M. Jourdain and D. Vuillaume, /. Appl. Phys. 74, 1124 (1993). K. Brennan and K. Hess, IEEE Electron Dev. Lett. EDL-7, 86 (1986). E. Sangiorgi, B. Ricco and P. Olivo, IEEE Electron Dev. Lett. EDL-6, 513 (1985). R. Shirota and T. Yamaguchi, lEDM Tech. Dig., 123 (1991). J.-P. Mieville, T. Ouisse, S. Cristoloveanu, L. Forro; N. Revil and M. Dutoit, / Appl. Phys. 75,4226 (1994). N. Revil, J.-P. Mieville, S. Cristoloveanu, M. Dutoit and P. Mortini, Microelectronics Engineering 22, 293 (1993). K.K. Likharev, IBM J. Res. Dev. 32,144 (1987). T.A. Fulton and G.J. Dolan, Phys. Rev. Lett. 59, 109 (1987).
Hot Carrier Injections in Silica
339
[165] P.J.M. Van Bentum, H. Van Kempen, L.E.C. Van de Leemput and P.A.A. Teunissen, Phys. Rev. Lett. 60, 369 (1988). [166] H. Pothier, P. Lafarge, C. Urbina, D. Esteve and M. Devoret, Europhys. Lett. 17, 249 (1992); M. Devoret, D. Esteve and C. Urbina, Nature 360, 547 (1992). [167] M.J. Kirton and M.J. Uren, Adv. Physics 38, 367 (1989). [168] K.S. Ralls et al., Phys. Rev. Lett. 52, 228 (1984). [169] M.J. Uren, D.J. Day and M.J. Kirton, Appl. Phys. Lett. 47, 1195 (1985). [170] K.K. Hung, P.K. Ko, C. Hu and Y.C. Cheng, IEEE Elec. Dev. Lett. 11, 90 (1990). [171] O. Roux, G. Ghibaudo and J. Brini, Solid-State Electron. 35, 1273 (1992). [172] E. Simoen, B. Dierickx, C.L. Claeys and G.J. Declerck, IEEE Trans. Electron. Dev. 39,422 (1992). [173] M.J. Kirton and M.J. Uren, Appl. Phys. Lett. 48,1270 (1986). [174] D.H. Cobden, M.J. Uren and M.J. Kirton, Appl. Phys. Lett. 56, 1245 (1990). [175] M. Shulz, J. Appl. Phys. 74, 2649 (1993). [176] M.J. Uren, M.J. Kirton and S. Collins, Phys. Rev. B 37, 8346 (1988). [177] Z.M. Shi; J.-P. Mi6ville and M. Dutoit, IEEE Trans. Electron. Dev., in press (1994). [178] D. Vuillaume, Z.M. Shi, J.-P. Mieville and M. Dutoit, 24th Semiconductor Interface Specialists Conference (SISC 1993), unpublished results. [179] P. Fang, K.K. Hung, P.K. Ko and C. Hu, IEEE Electron. Dev. Lett. 12, 273 (1991). [180] J.C. Marchetaux, private communication. [181] J.E. Wertz and J.R. Bolton, " Electron Spin Resonance. Elementary theory and practical applications " (Chapman and Hall, New York, 1986). [182] D.J. Lepine, Phys. Rev. B 6,436 (1972). [183] I. Solomon, Solid State Comm. 20, 215 (1976). [184] G. Mendz and D. Haneman, J. Phys. C: Solid St. Phys. 13, 6737 (1980). [185] I. Solomon, D. Biegelsen and J.C. Knights, Solid State Comm. 22, 505 (1977). [186] F.C. Rong, E.H. Poindexter, M. Harmatz, W.R. Buchwald and G.J. Gerardi, Solid State Comm. 76,1083 (1990). [187] F.C. Rong, W.R. Buchwald, E.H. Poindexter, W.L. Warren and D.J. Keeble, Solid State Electron. 34, 835 (1991). [188] F.C. Rong; G.J. Gerardi, W.R. Buchwald, E.H. Poindexter, M.T. Umlor, D.J. Keeble and W.L. Warren, Appl. Phys. Lett. 60, 610 (1992). [189] P. Christmann, C. Wetzel, B.K. Meyer, A. Asenov and A. Endros, Appl. Phys. Lett 60, 1857 (1992). [190] B. Stich, S. Greulich-Weber, J.M. Speath and H. Overhof, Semicond Sci. Technol. 8, 1385 (1993). [191] D. Kaplan and M. Pepper, Solid State Comm. 34, 803 (1980). [192] B. Henderson, Appl. Phys. Lett. 44, 228 (1984). [193] M.A. Jupina and P.M. Lenahan, IEEE Trans. Nucl. Sci. 36, 1800 (1989). [194] M.A. Jupina and P.M. Lenahan, IEEE Trans. Nucl. Sci. 37, 1650 (1990). [195] J.H. Stathis, Microelectron. Engineering 22, 191 (1993). [196] B. Henderson, M. Pepper and R.L. Vranch, Semicond. Sci. Technol. 4, 1045 (1989). [197] J.W. Gabrys, P.M. Lenahan and W. Weber, Microelectron. Engineering 22, 273 (1993). [198] M. Lannoo, D. Vuillaume, D. Deresmes, D. Stievenard, Microelectronic Engineering 22, 143 (1993). [199] M. Lannoo, D. Stievenard, D. Deresmes, D. Vuillaume, Proc. ICDS 17, ed. H. Heinrich and W. Jantsch, (Trans Tech Publications, Aedermannsdorf, 1994), Materials Science Forum, Vols. 143-147, p. 1359. [200] G. Barbottin and A. Vapaille, "Instabilities in Silicon Devices", Chap. 9 of Vol. 1, (North Holland, 1986). [201] G. Barbottin and A. Vapaille, "Instabilities in Silicon Devices", Chap. 15 of Vol. 2, (North Holland, 1989). [202] G. Barbottin and A. Vapaille, "Instabilities in Silicon Devices", Chap. 10 of Vol. 2, (North Holland, 1989). [203] D. Vuillaume, A. Bravaix, D. Goguenheim, Microelectron. Reliab, 38, 7 (1998).
This Page Intentionally Left Blank
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) © 1999 Elsevier Science B.V. All rights reserved.
341
CHAPTER 5
MULTILAYER DIELECTRICS FOR MEMORY APPLICATIONS by P. GENTIL(i)
Key items Two-layer dielectrics MNOS non-volatile memory Charge storage models Voltage switching models Charge retention models Silicon Dangling Bond trap Nitrogen Dangling Bond trap Three-layer dielectrics MONOS and SONOS memories ONO dielectric for DRAM capacitor
(1) Laboratoire PCS UMRCNRS5531 ENSERGINPG 23 Avenue des Martyrs 38016 Grenoble Cedex 1 France
342
P. Gentil
Abstract of Chapter 5: Multilayer dielectrics for memory applications This chapter presents the applications of two-layer and three-layer thin films to memory devices. The behavior of silicon dioxide and silicon nitride films as well as the behavior of the oxide/nitride interface are specifically analyzed. We first recall some generalities on how an MNOS structure, used as a non-volatile memory cell, works, i.e. how data can be written, read and erased. We then recall the basic phenomena which underlie this "memory" behavior. We also recall the basic equations which link the charge distribution, the potential distribution and the currents in such a structure. We then briefly present several models used to explain and quantify how the charge is injected in the nitride and how this leads to a variation in threshold voltage of any transistor possessing an MNOS structure instead of a regular gate structure. Various new results on the origin and the characteristics of nitride traps are then presented. Silicon nitride is shown to contain amphoteric traps possessing three states of charge, whose origin is mainly attributed to Silicon Dangling Bonds, not linked to a Nitrogen atom. These traps are shown to be widely distributed throughout the nitride and possess numerous energy levels. Recent models describing the switching and/or the retention behavior of MNOS structures are mentioned next In all these models one considers that the traps are distributed uniformly in the nitride bulk, although in some of them, an additional trap density is introduced at the nitride/oxide interface. MNOS memory devices are now proposed as commercial products. However, the fact that the stored charge is spread throughout the nitride, limits the possibilities of scaling down these devices. This limitation can be circumvented by introducing a third insulating layer, made of silicon oxide, between the nitride and the gate electrode. These new devices are called MONOS or SONOS, depending on the electrode material (metal or polysilicon). Their behavior can be modeled and the models take into account the presence of amphoteric traps. The ONO (Oxide/Nitride/Oxide) structure is also studied for its applications as a storage capacitor for dynamic random access memory and as an inter-gate insulator for floating gate memories. The physics and the modeling of the ONO insulator are presented. Resume du chapitre 5: Les dielectriques multi-couches pour application aux memoires Ce chapitre presente les applications des double et triple couches isolantes aux composants a effet memoire. Le comportement des films d'oxyde de silicium et de nitrure de silicium ainsi que celui de leur interface sont plus particulierement analyses. Les principes d'utilisation de structures MNOS comme memoires non volatiles sont rappeles pour les differentes phases d'ecriture, de lecture et d'effacement de Vinformation. Les phenomenes de base ainsi que les equations s 'y rapportant sont rappeles afin de presenter brievement les nombreux modeles qui decrivent la variation de charge dans les isolants et la variation de tension de seuil des transistors utilisant la structure MNOS a la place d'une structure MOS classique. Les recents et nombreux travaux sur I'origine et la nature des pieges dans le nitrure de silicium sont ensuite analyses afin d'introduire de nouvelles hypotheses dans la modelisation des structures memoire de type MNOS. Le nitrure contient des pieges amphoteres, a trois etats de charge dont I 'origine serait une liaison pendante du silicium, non liee a un atome d'azote. Ces etats sont largement distribues dans le volume dufilm de nitrure. Tous les travaux recents sur la modelisation des memoires MNOS incluent une distribution volumique de pieges memoires avec, dans certains cas, une distribution excedentaire liee a I 'interface oxydenitrure. Les memoires non volatiles MNOS sont aujourd'hui des produits commerciaux. Toutefois, la reduction de I'epaisseur du nitrure est soumise a des limites en raison de I'etendue de la charge (memoire) piegee dans le volume du nitrure. Une solution proposee est I'introduction d'une troisieme couche isolante, constitute d'oxyde de silicium, entre le nitrure et la grille. Ces nouvelles structures, appelees MONOS ou SONOS selon que le materiau de grille est en metal ou en silicium polycristallin, sont presentees et comparees aux structures MNOS. Leur modelisation inclut des pieges amphoteres distribues dans le volume du nitrure.
Multilayer Dielectrics for Memory Applications
343
La meme combinaison d'isolants : Oxyde-Nitrure-Oxyde (ONO), est egalement etudiee comme dielectrique de la capacite de stockage des memoires dynamiques DRAM et comme dielectrique intergrille des memoires a grille flottante. Les avantages des structures ONO et le principe de leur modelisation sent presentes en relation avec les sections precedentes sur les memoires MNOS et MONOS. Zusammenfassung zu Kapitel 5: Dielektrische Vielfachschichtenfiir Speicher-Anwendungen, Dieses Kapitel beschreibt die Anwendung von Zwei- und Dreifach-Schichten fur Speicheran- wendungen. Besonders wird das Verhalen von Silizium-Oxid- und Silizium-Nitrid-Schichten und das Verhalten der Oxid/Nitrid-Phasengrenze untersucht. Zundchst wird an einige allgemeine Zusammenhdnge erinnert, wie eine MNOS-Struktur als Permanentspeicher-Zelle arbeitet, z.B. wie Daten geschrieben, gelesen und geloscht werden konnen. Dann erinnern wir uns an die grundsdtzlichen Prozesse, die diesem, "Speicher" - Verhalten zugrunde liegen. Ebenso erinnern wir uns an die Grundgleichungen, die den Zusammenhang zwischen Ladungsverteilung, Potentialverteilung und Stromen in dieser Struktur liefern. Dann werden einige Modelle beschieben, die erkldren und berechnen, wie die Ladung in das Nitrid injiziert wird und wie dies zur Verschiebung der Schwellenspannung eines Transistors fuhrt, der anstelle eines Ublichen Gates eine MNOS-Structur besitzt. Zahlreiche neue Ergebnisse fiber den Ursprung und das Verhalten der Traps im Silizium-Nitrid werden vorgestellt. Wie gezeigt wird, sind die im Silizium-Nitrid enthaltenen Traps amphotdrer natur mit drei Ladungszustdnden, deren Ursprung Hauptsdchlich auf nichtabgesdttigen Silizium-Bindungen beruht und nicht auf solchen mit Stickstoff Diese Traps sind, wie gezeigt, in Silizium-Nitrid verteilt und besitzen eine Vielzahl energetischer Niveaus. Anschliessend werden neuere Modelle, die das Schaltverhalten und die Bestdndigkeit des Speicherverhaltens beschreiben, vorgestellt. Allen diesen Mode lien gemeinsam ist die Annahme einer gleichformigen Vereilung dieser Traps, jedoch wird in einigen auch eine zusdtzlich Trapdichte an der Nitrid/Oxid-Phasengrenze eingefurht. MNOS-Speicher werden heute als kommerzielle Produkte vorgeschalgen. Die Tatsache jedoch, dap die gespeicherte Ladung im gesamten Nitrid verteilt ist, begrenzt die Skalierbarkeit dieser Bauelemente. Diese Begrenzung kann durch die EinfUhrung einer dritten isolierenden Schicht aus Silizium zwischen der Nitrids chicht und Gate-Elektrode umgangen werden. Diese neuen Bauelemente werden MONOS oder SONOS genannt, abhdngig vom Elektrodenmaterial (metall oder poly-Silizium). Ihr verhalten kann siumliert werden und die hierfur verwendeten Modelle gehen von der Anwesenheit amphotdrer Traps aus. Die ONO-(Oxid/Nitrid/Oxid-) Struktur wird ebenfalls fiXr die Anwendung als Speicherkondensator fiXr dynamische Speicher und als Zwischen-Gate-Isolator fur Floating-Gate-Speicher untersucht. Die Physik und die Simulation des ONO-Isolators werden vorgestellt.
344
P, Gentil
Chapter 5: Multilayer dielectrics for memory applications Table of contents List of symbols and abbreviations used 1. Introduction 2. Generalities on MNOS memory devices 2.1. The discovery of the MNOS memory effect 2.2. How a two-layer dielectric memory cell works 2.2.1. Writing of the memory cell 2.2.2. Data reading 2.2.3.Data erasure 2.3. Deviations from the ideal working of an MNOS device 2.4. The desirable characteristics of a practical MNOS memory device 3. The basic equations of an MNOS memory cell 3.1. The band diagram of the MNOS structure 3.2. Relationships linking charges and voltages 3.3. Relationships linking currents and charges 3.4. Expression of the various currents during the "write" and "erase" operations 3.4.1. Basic assumptions 3.4.2.The band-to-band currents 3.4.3.The band-to-traps currents 3.4.4.Carrier motion in the nitride 4. A brief review of the early models describing how MNOS cells operate 4.1. Models describing threshold voltage switching 4.1.1. Analytical models which assume that the memory traps are located at or near the oxide-nitride interface 4.1.2. Models which do not assume direct transitions towards memory traps 4.1.3. Analytical models which assume that the memory traps are widely distributed in the bulk of the nitride 4.1.4. Model proposed by Arnett 4.2. Models describing the retention of the stored charge 4.3. Conclusion 5. The nature and properties of silicon nitride traps 5.1. The structure and composition of silicon nitride 5.1.1. Stoichiometry and dangling bonds 5.1.2. The presence of hydrogen 5.1.3. The presence of oxygen 5.2. The origin of the nitride memory traps 5.2.1. The various possible defects 5.2.2. The important role of silicon dangling bonds 5.3. Some properties of the nitride traps 5.3.1. Some usual hypotheses 5.3.2. Volume distribution
Multilayer Dielectrics for Memory Applications 5.3.3. State of charge 5.3.4.Energy levels 5.4. The study of nitride traps using thick oxide MNOS devices 5.4.1. Why use thick oxide MNOS structures? 5.4.2. The results of Park et al 5.4.3. The results of Martin et al 5.5. Evidence of hole trapping in the nitride of a thin oxide MNOS device 5.6. Conclusions 6. Shortcomings and limitations of MNOS structures 6.1. The role of hole injection during MNOS switching 6.1.1. The carrier injection mechanisms caused by negative biases 6.1.2. The usefulness of MONOS structures 6.1.3. Charge separation measurements 6.2. Limitations to the scaling down of memory devices due to the space charge distribution 6.2.1. Limitations due to charge storage possibilities 6.2.2. Limitations due to charge retention and endurance possibilities. 7. Theory and modeling of the MONOS structure used as a non-volatile semiconductor memory 7.1. Two reasons to introduce an additional oxide layer 7.2. Some properties of the blocking oxide 7.3. Relationships linking charges and potentials 7.4. Relationships linking currents and charges 7.5. Charge trapping by amphoteric traps in silicon nitride 7.6. Modeling of the memory behavior of MONOS and SONOS devices 7.6.1. The main assumptions of White's models 7.6.2. A simplified model of the switching behavior considering amphoteric traps 7.6.3. A more complete model of the switching of MONOS/SONOS memories 7.7. Modeling of the retention behavior of MONOS/SONOS devices 7.7.1. Discharge of the nitride by back tunneling of trapped carriers 7.7.2. A more complete model of the nitride discharge 7.8. Conclusions 8. Using the ONO structure as a DRAM capacitor 8.1. Two other possible applications of the ONO structure 8.2. The main advantages of the ONO structure 8.3. The modeling of the ONO structure 8.4. Perspectives of the ONO structure 9. Conclusions and perspectives Acknowledgements List of references
345
346
P. Gentil
LIST OF SYMBOLS AND ABBREVLVTIONS USED Ce
F.cm-2
CN
F.cm-2
c cn,p
cm-3s-l
D E
C.cm-2 eV eV
E c (Si,N)
Ep EpM
F.cm-2
eV eV
EtA' EtD
s-1 eV eV
Ev(Si,N)
eV
^N
V.cm-1
^
V.cm-1
Sox
V.cm-l
^s
V.cm-1
®n,p
Et
f+,fo,ffs ft
-
n ID
J.s A
^n'^p
A
J JN
A.cm-2 A.cm-2
•'ox
A.cm-2
k n,nt
eV.K-l Kg cm-3
Nc
cm-^
Nt p*
cm-^
m*N,ox
-
s-l
Capacitance per unit area of the series assembly of the two insulating layers Capacitance per unit area of the nitride layer Trap capture coefficient for electrons and holes respectively Capacitance per unit area of the Si02 layer Electric displacement Energy level Lower edge of the silicon conduction band, of the nitride conduction band respectively Fermi level of the semiconductor Fermi level of the metal Trap emission probability for electrons and holes respectively Trap energy level Energy level of amphoteric traps in an acceptor state and donor state respectively Upper edge of the valence band Electric field in the nitride Characteristic electric field defined by identity (5.12) Electric field in the oxide Electric field at the semiconductor surface Fraction of traps in the D+,D^,D- states respectively Probability that an energy level at the Si/Si02 interface be occupied Probability that a bulk trap in the nitride be occupied by an electron Planck's constant divided by 2 %{% = 1.054 lO-^^j s) Drain current Electron and hole current respectively Current density Current density in the nitride Current density in the oxide Boltzmann's constant (k = 8.619 10-5 eV.K-l) Effective mass of a carrier in the nitride, respectively in the oxide Concentration of free electrons, respectively trapped electrons, in the nitride Effective density of states in the conduction band Trap density per unit volume in the nitride Probability of tunnel crossing, per unit time
Multilayer Dielectrics for Memory Applications
PN.OX
-
347
Probability of tunnel crossing through the nitride layer, respectively through the Si02 layer
AQN
C.cm-2
Variation of Q ^ (due to the capture of injected carriers)
AQON
C.cm-2
Variation of Q Q N (due to the capture of injected carriers)
Q q
C.cm-2
Charge per unit area
C
Electron charge (q = 1.6 10-19 C)
QBOM
C.cm-2
Charge per unit area at the blocking oxide/metal interface
QN
C.cm-2
Charge per unit area in the nitride (i.e. the integral of p ^ over the
QNBO
C.cm-2
nitride thickness) Charge per unit area at the nitride/blocking oxide interface
QNM
C.cm-2
Charge per unit area at the nitride/metal interface
QON
C.cm-2
Charge per unit area at the oxide/nitride interface
Qox
C.cm-2
Charge per unit area in the oxide (i.e. the integral of p^^ over the
C.cm-2
oxide thickness) Charge per unit area in the semiconductor space charge layer
C.cm-2
Charge per unit area at the semiconductor/oxide interface
^N.ox, BO
K s cm
[^
cm
U
^N,ox
eV V V cm.s-1 V V V
Absolute temperature Time Thickness: of the nitride layer, of the tunnel oxide layer and of the blocking oxide respectively _ Distance separating the barycenter (X j^) of the charge trapped in the nitride, from the oxide/nitride interface Correlation energy Flat band voltage variation (e.g. due to a stored charge)
VT VTO,VTI
V V
X
cm
Xjsj XQX
cm cm
Qsc Qso T t
AVpB AVx Vd VFB VG
Threshold voltage variation (e.g. due to a stored charge) Saturation velocity of carriers Flat band voltage Gate voltage Voltage drop across the nitride layer, respectively across the Si02 layer Threshold voltage of an MNOS transistor or of an IGFET Initial threshold voltage, respectively threshold voltage after a writing cycle Space coordinate perpendicular to the interface planes (the origin is the Si-Si02 interface) Coordinate of the nitride/metal interface for MNOS devices Distance between the Si-Si02 interface and the Si02-Si3N4 interface (oxide thickness)
348
Xs ^N,ox
6
P. Gentil
cm cm cm.V-1
^
F.cm F.cm F.cm cm V eV eV
^o
s-1
P PN,OX,BO
C.cm-3 C.cm-3
^t
cm2
Vs
V
% ^N,ox
es
X
Characteristic length of the trap distribution in Eq.(5.30) Barycenter of charges in Si3N4, and in Si02 respectively Poole Frenkel coefficient Permittivity of vacuum (£o=8.85 10-14 F.cm-l) Dielectric constant of the nitride, of the oxide resp. E^=1EQ. SQX'^^^O Dielectric constant of silicon. £S=12£Q Characteristic distance defined by Eq.(5.19) Metal/semiconductor work function difference expressed in Volt Energy difference between E^ and E^ Energy barrier Vibration frequency Volume charge density Volume charge density in the nitride, in the tunnel oxide and in the blocking oxide respectively Capture cross section of the traps Surface potential
A£ APCVD CVD D+,Do,DDRAM EEPROM FAMOS FET FLOTOX IC IGFET LPCVD MNOS MNS MONOS MOS N2O NH3
Aluminum Atmospheric pressure CVD Chemical vapor deposition States of charge of an amphoteric trap: positive, neutral, negative Dynamic random access memory Electrically erasable programmable read only memory Floating gate avalanche injection MOS transistor Field effect transistor Floating gate tunnel oxide MOS transistor Integrated circuit Insulated gate FET Low-pressure CVD Metal-nitride-oxide-silicon (structure) Metal-nitride-silicon (structure) Metal-oxide-nitride-oxide-silicon (structure) Metal-oxide-semiconductor (structure) Nitrous oxide Ammonia
ONO PECVD
Oxide-nitride-oxide three-layer dielectric Plasma-enhanced CVD
Multilayer Dielectrics for Memory Applications Si Si3N4
Silicon Silicon nitride
SiH2Cl2 SiH4
Dichlorosilane
Si02 SiO^Ny
Silicon dioxide
SONOS S/0 0/N Subscript N Subscript ox
Poly silicon-oxide-nitride-oxide -silicon structure Silicon/Oxide (interface) Oxide/Nitride (interface) refers to the nitride layer refers to the Si02 layer
WKB =N* =Si*
Wentzel Kramers Brillouin (model of tunnel effect) NDB: Nitrogen dangling bond SDB: Silicon dangling bond
Silane Silicon oxynitride
349
350
P. Gentil
1. INTRODUCTION When Vol. 2 of this series was first pubUshed (1989), an entire chapter (Chap. 16) was devoted to MNOS (Metal-Nitride-Oxide-Silicon) structures and to their application to nonvolatile memories. Since then, this promising new technology has not been as successful as originally anticipated. In fact, only a few manufacturing plants in the whole world succeeded in mastering the industrial production of this two-layer structure with satisfactory yield and reproducibility. Moreover, during the same period, floating gate memories enabled the same memory applications while being easier to produce. Nevertheless, progresses in technology and a better knowledge of nitride traps have recently made it possible to improve both the performances of MNOS devices and their modeling. Furthermore, multilayer dielectric structures appear today to benefit other applications than non-volatile memories. All these considerations make an update of the original 1989 text indeed necessary. We first recall in Section 2 that in the early days of device manufacturing, an additional nitride layer was first introduced to stabilize IGFET's (Insulated Gate Field Effect Transistors). Although these early attempts failed, they led to the discovery that such twolayer structures possess a memory effect. This memory effect can be described by a simple model in which electrons are injected (and subsequently trapped) during the "writing" phase and re-emitted during the "erasing" phase. The presence (or the absence) of electrons trapped at the oxide/nitride (abbr. 0/N) interface constitutes "stored data". However, carrier injection and carrier trapping phenomena are much more complex than what this simple model suggests. This is described in detail in further sections. In Section 3 we recall the basic equations which link the space charge densities, the current densities and the voltages in an MNOS structure. These equations let us derive the expressions of the many currents which exist in the structure and which are due to the exchange of carriers between: the silicon bands, the O/N interface, the nitride bands, and the nitride traps. Since these equations have already been derived in Vol. 2 of this series, only the major results, to be used later on, are recalled in the section. Numerous analytical and numerical models have been proposed in the literature to explain the behavior of MNOS devices during both the writing phase and the charge storage phase. Since many of these models have been described in Chap. 16 of Vol. 2, we review in Section 4 only the most important ones. Models proposed for the "write" phase differ depending on the hypotheses being made. For example, the memory traps may be considered either as being located near the O/N interface, within or beyond tunneling distance from the oxide, or as being distributed in the nitride. Emphasis is given to the latter hypothesis which appears to be the most likely one as proved by numerous experiments performed since the publication of Vol. 2. Likewise, models proposed for the storage (retention) phase depend on the nature of the carriers and on the nature and characteristics of the transport, trapping and emission mechanisms. In Section 5, we review those defects contained in the nitride which can behave as carrier traps and thus explain the memory effect of MNOS structures.
Multilayer Dielectrics for Memory Applications
351
We show that the two likely candidates are the Silicon Dangling Bond (SDB) and the Nitrogen Dangling Bond (NDB), and that among these two defects, the SDB seems to play the major part. Measuring the physical properties of these defects is difficult because it is always performed indirectly. Both from theoretical and experimental approaches, the SDB is shown to be amphoteric with three possible states of charge (-1, 0, +1). SDB defects are fairly uniformly distributed in the nitride bulk and are widely distributed in energy in the nitride band gap. Unfortunately most of the experimental results are obtained on special test structures which differ markedly from real MNOS devices. Results of recent experiments are also presented. We show that for moderate injection fields and for thick oxides, only electrons can be injected from silicon if the gate voltage is positive. However, for negative gate voltages and thin oxides, hole injection from silicon is also shown to be possible. In Section 6 we try to better understand the switching behavior of an MNOS structure, by taking into account hole injection. Electrons and holes both get trapped in the nitride bulk and at the O/N interface which implies either that the existing carrier traps are amphoteric or that both donor-like and acceptor-like traps are present. Because carriers are trapped throughout the nitride layer, the thickness of this layer cannot be reduced below an optimum value. For practical applications this value varies between 10 and 20 nanometers. The introduction of an additional oxide layer between the metal gate and the nitride not only prevents hole injection from the gate, but brings about many other advantages. This is explained in Section 7. The relationships linking charge distributions, electric fields and potentials in MONOS structures, may be derived in a manner similar to that used for MNOS structures. However, the presence of an additional layer and the fact that the traps are amphoteric introduce many new carrier exchange mechanisms. This renders the modeling of the switching and retention behaviours of MONOS structures quite complex. The three-layer ONO (Oxide/Nitride/Oxide) structure, which improves the switching and retention properties of memory cells, can also be used as a storage capacitor in dynamic RAMs. We show in Section 8 that ONO capacitors have many advantages over simple oxide capacitors but that their modeling is more complex. At the present time, several research teams and manufacturers are studying nitride layers and multilayer dielectrics. Nitride layers are of great interest not only for memory applications but also for thin film transistors. Multilayer dielectrics appear to be promising structures to produce devices such as non-volatile memories and DRAMs. They allow to move towards higher densities, faster access times, lower power consumption, and the use of a single low voltage power supply. 2. GENERALITIES ON MNOS MEMORY DEVICES Let us first review, from a phenomenological point of view, how an ideal MNOS memory device works and then examine how a real structure deviates from this ideal mode of operation. A more thorough description can of course be found in Chap. 16 of Vol. 2 of this book series [1].
352
P. Gentil
2.1, T H E DISCOVERY O F THE MNOS MEMORY EFFECT
As already mentioned in [1], the search for ways to improve the stability of IGFET led to the discovery of the MNOS memory effect. Since the 1960's, the silicon-silicon dioxide system has been recognized as the best combination available to produce solid state devices and integrated circuits (IC's) for many applications. This stems from the compromise reached between the electronic properties of such a system and the ability to develop a full technology from an industrial point of view, including aspects such as large volume production, performance, reliability, low cost, etc. Let us note however that some of the electronic properties of these two basic materials are not the best possible ones. For instance, other semiconductors exhibit higher electron mobility than silicon and therefore enable devices to operate at higher frequency. On the other hand, silica possesses a rather low dielectric constant compared to other insulators. For MOSFET devices, this means that a smaller oxide thickness must be used in order to obtain the same current in given conditions. This reduction in oxide thickness has several consequences: • very small insulator thicknesses can in turn lead to local defects which limit fabrication yield and reliability, • for future generations of MOSFETs, scaling down the thickness of the gate oxide will lead to the direct tunneling of carriers between the gate electrode and the semiconductor, • finally, very thin oxides offer an insufficient protection against the diffusion of elements such as boron (the usual P-type dopant of silicon) or contaminating ions. Alternatives to the Si-Si02 system have thus been topics of research for a long time (see for instance [2]). They continue to be investigated [3-8] for deep submicron technologies. The Si-Si02 system appears however to be the best one yet to fabricate stable devices for advanced IC's. In the search for Si02 substitutes, the Si-Si02 interface has appeared as rather unique because of its high energy barrier, due mainly to the wide band gap of silica, and because of its very low density of fast surface states. It was thus quickly proposed to use a multilayer dielectric consisting of a thin Si02 layer, obtained by the thermal oxidation of Si, covered by a second insulator [9]. Silicon nitride (Si3N4) had first been used in the IC industry to protect the semiconductor from the diffusion of impurities [10] and then for the masking of silicon during oxidation [11]. The use of MNOS structures was thus soon attempted [9] for the production of stable IGFETs. Although it failed at that time for this purpose, the instability, resulting from the trapping of carriers into the multilayer dielectric, was recognized as a phenomena potentially usable for memory applications [12-14].
Multilayer Dielectrics for Memory Applications
353
2.2. H o w A TWO-LAYER DIELECTRIC MEMORY CELL WORKS
Let us consider an n-channel IGFET possessing, as a gate dielectric, a thin layer of thermal Si02 (about 10 to 50 A thick) and a second layer of Si3N4 (about 100 to 500 A thick) deposited on top. At low applied voltages (a few volts) this device behaves like a stable IGFET, displaying the ID(VQ) characteristics shown in Fig. 5.1.a, and possessing a threshold voltage V^po- Such a structure can indeed be used as a logic memory cell by using adequate biasing conditions, as we show next. 2.2.1. Writing of the memory cell Let us apply a high-amplitude voltage pulse to the gate electrode, positive with respect to the silicon substrate (for instance a few tens of volts for a fraction of a second). Let us assume that, in such conditions, Si02 conducts significantly due to electronic injection from the silicon while Si3N4 exhibits negligible conduction. Electrons leaving the silicon accumulate then at the O/N interface (see Fig. 5.1.b). If traps of appropriate characteristics exist at this interface, electrons get trapped. *G
0
1
ll|U
Sis N4
Sis N4
loA
A
Si02
lU^
rKF Si P
Si P a)
initial state /
T
b)
'TO final state
c)
flm
VT,
p
fio
Sis N4
Sis N4
TNJ mr r^>of!:fmm± M
-^SiO;
Nl Si P d)
0
VTOVGVT. read
e)
V G « 0
ilm
Si P
f)
nrn
Fig. 5.1. - Illustration of how a two-layer memory cell works: a) Initial Ij^iVo) curve of an MNOS transistor, b) Writing operation. Electrons are injected from Si to the traps of the Si02/Si^N^ interface, c) Storage of the negative charge at the O/N interface after the writing pulse has ended, d) Illustration of the shift in the IJ)(VQ) curve due to charge storage, e) Read operation of the memory cell, f) Erase operation
354
P. Gentil
If V Q is brought back to a low value (e.g. V Q = 0 ) , the trapped electrons remain stored at the interface (see Fig. 5.1c). Compared with the initial state, an additional negative charge, due to the trapped electrons now exists at the 0/N interface. The IGFET exhibits now a new threshold voltage, V'^j, whose value is given by [1]: Vr/=Vr<,-^
(5.1)
where C^ is the nitride capacitance per unit area and AQQN is the increase in interface charge (expressed per unit area). C^ is given by:
2.2.2. Data reading If we assume that under moderate gate voltages, the "leakage" currents in the insulators remain negligible, the IGFET is stable after writing and exhibits a new IE)(VG) curve with the corresponding threshold voltage V^i (see Fig. 5. Id). If the gate is biased between Vjo ct V^i (see Fig. 5.1e) the resulting drain current remains negligible (e.g. less than l|xA), whereas the same gate voltage would have led to a significant drain current in the previous state (i.e. that corresponding to threshold voltage V^o without stored electrons in the insulators). This difference in current reading allows us to check (read) whether "data" (i.e. electrons) have been stored or not. 2.2.3. Data erasure Let us now apply a high-amplitude negative voltage pulse to the gate (see Fig. 5.If), ff the assumptions used for writing apply, the electrons will now go back to the silicon thus enabling the device to retum to its initial state. The stored "data" have been erased. 2.3. DEVIATIONS FROM THE IDEAL WORKING OF AN MNOS DEVICE
In the above discussions, we made several assumptions which are not fully verified in real MNOS devices. • When the high-amplitude gate voltages are applied during the write and erase operations, conduction in the nitride is not always negligible. Furthermore, as the number of trapped electrons varies, the resulting space charge modifies the electric field in the insulators. For instance, during the writing phase, the magnitude of the electric field in the oxide (^Q^) decreases with time while the magnitude of the nitride field (^N) increases. Due to these field variations, currents vary in such a way that the oxide current decreases while the nitride current increases. This phenomena may limit the quantity of stored electrons.
Multilayer Dielectrics for Memory Applications
355
• The simplified phenomenological model also assumes that only one type of carrier is injected into the insulators. In practice, this is not always true. For example, the application of a positive gate bias (VQ > 0) favors the injection of electrons from one electrode (silicon) and the injection of holes from the other electrode (the metal gate). The stored charge is reduced if both types of carriers are injected. Assuming that AQQN is only due to the conduction of one insulator (e.g. Si02) after a write pulse allowing electrons to be stored at the interface, there still exist two possibilities for data erasure. Erasure can be performed either by letting the electrons leave the traps and return to the silicon or equivalently by injecting holes from the silicon and letting them recombine with the trapped electrons. In the latter case, excess holes can also be trapped giving rise to a positive charge and to a negative V^ shift. • Trapping has been supposed to occur only at the 0/N interface. However, it has been known for a long time that traps are also present in the bulk of most insulators. While Si02 has a low trap density, large densities of electron and hole traps exist in the bulk of Si3N4. If the electric charge is stored in the nitride, far from the Si02 interface, its influence on the silicon surface will be less. Equation (5.1) is then no longer valid. For a given stored charge, the deeper into the nitride the charge is, the smaller the threshold voltage variation. • It has also been assumed that under low voltage biases, an IGFET exhibits a stable V^ i.e. that there is no charge motion in the insulators. In fact, the stored charge itself induces an internal electric field that favors a retum to equilibrium by various mechanisms. There is no true threshold below which no current flows in the insulator. The retention of the stored charge may be long but it is not infinite. • Finally a cycle has been described in which a charge has been stored after writing and then nullified after erasure. Even if the same initial V^ value is measured after a full cycle, there is no guarantee that the device is again in its initial state. First, different carrier distributions in the insulators can give the same V j . Secondly, the injection of carriers into the insulators involves high electric field and high energy carriers which may modify the electronic properties of the structure. Thus, cycle after cycle, Si-Si02 interface states as well as nitride traps can be created. In actual devices, a drift of V j and a lower retention are observed after many switchings. This limits the number of write/erase cycles. 2.4. THE DESIRABLE CHARACTERISTICS OF A PRACTICAL MNOS MEMORY DEVICE
It must be stated that although practical MNOS devices are not ideal, and that quite complex phenomena occur in the memory cell, an acceptable compromise can be obtained. This leads to industrial products which have the following satisfactory characteristics: • the insulators can be charged or discharged, during the writing or erasure cycles under relatively low switching voltages (10-20V) and within a short period of time (a few hundred ms), • a difference of a few volts between the two threshold voltages (called the memory window) can be obtained and is easily usable in MOS circuits.
P, Gentil
356
• the electric charge stored in the insulators is sufficiently stable under low electric field. This gives rise to the non-volatile memory property and to the non-destructive reading of the data. Retention of the data for ten years is possible without any significant power consumption, • more than 10^ cycles can be applied before the memory degrades and becomes unusable. 3. THE BASIC EQUATIONS OF AN MNOS MEMORY CELL Let us now consider an MNOS structure and try to establish the basic equations which will allow us to derive expressions for the various currents. Since these calculations have already been performed in Vol. 2 of this series, only the major results will be repeated. 3.1. THE BAND DIAGRAM OF THE MNOS STRUCTURE If we assume that the electric charge is nil everywhere and that the difference in work function between the metal and the semiconductor is also nil, then the band diagram of the structure, without any applied voltage, is that of Fig. 5.2. Since the typical thickness of the Si02 layer is around 20 A, it is not certain whether the oxide layer can be considered as a uniform material with properties comparable to those of much thicker layers. One or more oxide parameters (thickness, barrier heights, dielectric constant) may have to be adjusted when comparing theoretical and experimental results. SiOo
Si
Si3N4
Al
3,1
2,1 UX
5,1
^FM
Fig. 5.2 - Band diagram of an MNOS structure. Energies are given in eV. 3.2. RELATIONSHIPS LINKING CHARGES AND VOLTAGES
Let us now consider an MNOS structure under bias. Voltage VQ is applied to the gate electrode, e.g. positive with respect to the silicon substrate. Generally speaking, a volume distribution p of charges exists within each insulator and a surface density Q of charge exists at each interface as illustrated in Fig. 5.3. The relationships linking charges and voltages or charges and electric fields are obtained from the Poisson's equation:
357
Multilayer Dielectrics for Memory Applications O 1
8N
Pox O
H
M —//—
N
1
QJN
M
' ' ^V
'l V
'
J* J*
'
'
/*>
tN
*^ox
11
—i
0
X
-//
,
^
)— X N
XQJ^
ox
x^
F/g. 5.5 - Cross section of an MNOS capacitor and definition of symbols used
AV-^^=0
or
£
divi-^=0
(5.2)
8
Solving this equation leads to the relationships which have been established in Vol. 2 of this series [1] (see Eqs.(16.19-20) p. 683). The result is the determination of useful expressions such as that of the electric field in the oxide at the Si-Si02 interface ^ox(O) ^^^ that of the electric field in the nitride at the 0/N interface ^ N ( X ) • Fields ^x(O) ^^^ ^N(X ) can easily be obtained from the following equations: yG-'(l>MS-¥s=-Qi
yG-Ms-¥s=-Q,
^ox ~ ^ox
^N
^ox
^N
ox
OX
^ox
\
( Xj^ - X ^ I Qgf^ -QN]^
Xfj-X -QN
•
N
e^v
^ox
^N
QON
^
+
^ox ^xiO)
(5.3)
'N
^N^N(0)
(5.4)
^OX
Likewise, the flat band voltage of the structure, which corresponds to that value of VQ which must be applied to obtain \|/s = 0 , ^g = 0 and Q^^ = 0, can be deduced: ypB = 0M5 - Q.ox
^ox
~ ^ox ^OJC
^N EN
^N -QN
^N
^N
-\
Qso
QoN
(5.5)
^N
In the above equations, Q^ and Q^^ are respectively the total charge (expressed per unit area) in the nitride and in the oxide (i.e. the integrals of p^ and p^^ over the insulator thickness). Distances X ^ and X ^^ are respectively the barycenters of the charge distributions in the nitride and in the oxide. Q^Q is the charge at the silicon/oxide (abbr. S/O) interface and QoN the charge at the O/N interface (Q^Q and QQN are also expressed per unit area). Symbols ^ S ' ^ox' ^N ^^^^ their usual meaning.
358
P. Gentil
^ox' CN» Cg are respectively the capacitances per unit area: of the oxide layer, of the nitride layer and of their series association. C^ is given by Eq.(5.1a) while C^^ and C^ are given by: ^OX
-ox
1
(5.5a)
^OX
-
Ce
1
c
1
+
(5.5b)
^ox
During the operation of an MNOS memory, only QQN ^^d Q N may vary. It follows that Eq.(5.5) can be written in a more useful form as:
^FB =
^'FB
-
^N QN
^N
^N
QON
(5.6)
'A^
where V'pg is a constant. The threshold voltage V-j- and the flat band voltage Vpg of the structure only differ by a constant. Therefore, a variation AQN taking place in the nitride bulk and a variation AQQN taking place at the 0/N interface lead to a shift in threshold voltage given by: AVT = AVpB = - ^QN
r
^QON
(5.7)
'AT
If a charge variation occurs only at the 0/N interface then relation (5.7) simplifies further into (5.1). 3.3. RELATIONSHIPS LINKING CURRENTS AND CHARGES
In the case of a one-dimensional MNOS structure, the conservation of the total current (i.e. the sum of the conduction and displacement currents) is expressed as: div i(gf = div I {x, t) + -J^D [x, t)= 0
(5.8)
By using the Poisson equation linking the electric displacement vector D (with D = e^) to the charge volume density, relation (5.8) applied to the nitride becomes:
Multilayer Dielectrics for Memory Applications
d Jj^ \Xyt)
dpjsj [x, t)
^x
^^
359
(5.9)
where p^ is the charge density (expressed per unit volume) in the nitride and J^ is the current density in the nitride. Finally, by using Gauss law at the O/N interface, we obtain from relation (5.8): / \ Jox [^ox, tj^
/ \ ^QON it) JN \^OX, t) = 'J^
(5-10)
Equations (5.9) and (5.10) express that a spatial variation in carrier current causes a temporal variation in the amount of charge, respectively in the bulk and at an interface. Steady state conditions are said to exist when the value of the current density is preserved throughout the structure. In that case, there is no charge variation, neither in the bulk of the insulator (3p/9t = 0) nor at any interface (dQ/dt = 0). Although similar equations can be written for the bulk of the oxide and for the other interfaces of the structures, in practical MNOS devices the corresponding charge variations in these areas can be neglected. 3.4. EXPRESSION OF THE VARIOUS CURRENTS DURING THE "WRITE" AND "ERASE" OPERATIONS
If VQ is large, the energy band diagram takes the form illustrated in Fig.5.4. To be able to derive the expressions of the various currents some assumptions must be made. 3.4.1. Basic assumptions Because of the high field and of the use of a very thin oxide layer, we can assume that carrier tunneling dominates and determines the current value at the S/O interface. Hot carriers (i.e. electrons with energy above the silicon conduction band edge E(;^(si)) are not produced in the normal operation of an MNOS structure and are thus neglected here. Because of the existence of large densities of traps at the O/N interface and in the nitride and because of the possible contribution of both electrons and holes, several types of current components can be expressed, as illustrated in Fig. 5.4. In Fig.5.4, the energy barrier at the interface seems more favorable to hole tunneling (currents J'^ and J'2) than to electron tunneling (currents J^ and J2). However, the value of each current depends also on the number of holes or electrons available for the tunneling transition. It also depends on the number of free states available on the opposite side of the barrier. In practice, whether the electron current (Jj or J2 or J3) or the hole current (J' i or J'2 or J'3) predominates depends on:
360
P. Gentil
• device parameters: i.e. the oxide and nitride thicknesses, the energy and spatial distribution of the traps, the nature of the traps (donor, acceptor, amphoteric), etc, • biasing conditions: positive or negative gate bias, low field or high field in the insulators, • the history of the device which determines the charge already stored in the insulators. In the same way, for the nitride, the predominance in the bulk of an electron current (J^i or 1^2) or of a hole current {T^i or J'N2) depends on device parameters, gate bias and the history of the device. Furthermore, the temperature influences the predominance of either the thermal excited currents (J^i, J ' N I ) ^^ ^^^ tunneling currents (JN2» J'N2)We only give below the relationships obtained in the case of electrons and positive gate bias. Formulae corresponding to holes and negative gate bias can be obtained by an appropriate change in notation. 1,6 eV
Fig. 5.4 - Band diagram of an MNOS capacitor with the following characteristics : tax = 25 A, t^ = 600 i , V^ = + 25 V, xif, = (t>j^s = 0. Qso = Qox = QON=QN
=0
At the S/O interface, two types of electron current must be distinguished: one corresponding to transitions between the silicon conduction band and the nitride conduction band (current Jj in Fig. 5.4, called below the band-to-band current) and one corresponding to direct transitions between both silicon bands and the nitride traps (currents J2 and J3 in Fig. 5.4, called below the band-to-trap currents). 3A.2. The band-to-band currents Electrons in the silicon conduction band must tunnel through a barrier whose form depends on the magnitude of the applied electric field, as indicated in Fig. 5.5. The general relationships, derived in [1], (p. 693), let us express the oxide current density as a function of the electric field:
Multilayer Dielectrics for Memory Applications Oxide
Oxide
Oxide
361 Nitride
X XQX
b)
a)
: ^ . Xy
X
c)
Fig, 5.5 - Illustration of how the conduction band diagram of an MNOS structure varies under the action of an electric field, a) Case of strong fields: q ^QX tox >1 • l^) Case of medium fields: 0/ -
-
JQX
(5.11)
- " Q ^ox ^ox ^N
where constant C^ is independent of b,^^. Parameters P^^ and P^ are the transition probabilities of an electron through the oxide and nitride barrier respectively. Probabilities P^^ and PN can be estimated by the WKB method (Wentzel, Kramers, Brillouin). Depending on the magnitude of the electric fields, several cases may be distinguished. i) strong electric fields. In this case, illustrated in Fig. 5.5a, PN = 1 and the oxide barrier is triangular-shaped. The tunnel current is of the Fowler-Nordheim type. P^^ is given by: 4 Pox = exP
{^mlx) 3qfi^x
3/2
07
= exp
(5.12) hox
where 4o is a negative constant, fi is the reduced Planck's constant, m*ox is the effective mass of the electron in the oxide, and ^i is the S/O barrier height. ii) moderate electric fields. In this case, illustrated in Fig. 5.5b, P N = 1 and the oxide barrier is trapezoidal-shaped. P^^ is given by: \3/2
f Pox = exp
1-
1-
(5.13)
^x
lit) weak electric fields. In this case, illustrated in Fig. 5.5c, P^^ is given by Eq.(5.13) and Pjvj is given by:
362
P. Gentil
/
* \l/2
Pj^ = exp
(5.14)
where m*^ is the effective mass of the electron in the nitride, and ^2 is the O/N barrier height. In the above three equations, we have assumed that the energy bands are linear i.e. that l^^ and ^N ^ ^ constant. This, in turn, supposes that the electric charge is nil in the oxide and in the nitride bulk. 3.4.3. The band-to-traps currents The principle behind the calculation of the band-to-traps currents is the same as that used for the band-to-band currents, but the fact that the number of traps which take part in the tunnel transitions is limited must also be taken into account. Let us call N^ the trap density (expressed per unit volume and energy) in the nitride. The density of the current flowing towards the bulk traps contained in a differential element dx d0t (illustrated in Fig. 5.6) is the sum of the exchanges taking place: between the filled energy states of the silicon and the empty traps, and between the filled traps and the empty states of silicon. This can be written:
dJ = qN, [f^(l-f,)-f^ (1 - / J ] P* dx d(t>,
(5.15a)
where f^ represents the probability that the nitride traps of the differential element be occupied by an electron, f^ is the probability that the levels at the silicon surface be occupied, and P* is the crossing probability. This expression simplifies into: dJ = qN,{f,^f,)p*
dxd(t>,
(5.15b)
The total current density is obtained by integrating Eq.(5.15.b) over space and energy. J=\
\ dJ
where J is either current J2 illustrated in Fig. 5.4 and Fig. 5.6 or J3 (or both), depending on the device parameters and on the biasing conditions. The crossing probability per unit time P* is expressed as: P* = P, P,^ P^
(5.16)
where P^ is a constant, independent of the electric field, and P^^ and P^ represent the probability that an electron tunnel through the trapezoidal-shaped oxide and through the nitride barrier respectively.
Multilayer Dielectrics for Memory Applications
363
q&,,(x-t )
Fig. 5.6 - Illustration of the various components of the band-to-trap current. Carriers tunnel from the semiconductor bands to traps located in the band gap of the nitride.
Probability PQ^ is given by Eq.(5.13) where ^i is replaced by ^i .Quantity ^i is here a function of bias as visible in Fig.5.6. It can be written: 0i* = ^^ox ^ x + ^ (^ - ^ox) ^N +h
(5.17)
+ ^t
(|)t being the "depth" of the trap, counted from EQ^^^ i.e. the lower edge of the nitride conduction band. Probability P^ has a form similar to that of P^^ but with the appropriate notation of the nitride barrier. It yields: \l/2
P/y = exp
4 (2m^)
3hq^N
\3/2 K3/2
^j^^^-^ox)^N V
0r
-i
(5.18)
Depending on the circumstances, several simplifications may be introduced in Eq.(5.16) describing probability P* and consequently in the expressions of J2 and J3. 1) If the traps are located at the interface of the two insulators, then PN = 12) In the case of low fields, the barrier lowering term (i.e. qCx-t^^) ^N) ^^^ be small compared with (^^. Consequently, the term in brackets in the tunneling probability given by (5.18) can be approximated.
364
P, Gentil
3) For very weak electric fields and traps at the interface, the oxide barrier is rectangular and the probability of crossing such a barrier is equal to: (5.19a)
^.;cfe.^0) = expfY' where 1/2
>l =
(5.19b)
8^lx i^t +^2). The above equations can be applied in different ways depending on the trap properties. • If we consider shallow traps of the nitride corresponding to J2 in Fig. 5.4 and Fig. 5.6, the probability that the captured electrons will be re-emitted into the conduction band of the nitride is high. It follows that these traps can be considered as always empty, i.e. f^ = 0 in Eq.(5.15). • On the other hand, if we consider deep traps, corresponding to J3 in Fig. 5.4 and Fig. 5.6, it can be assumed that these traps are those used for the memory effect in the structure and that they retain the captured electrons. Consequently, the number of traps available to capture an electron decreases with increasing time. It follows that in Eq.(5.15), f^ increases with time. 3.4.4. Carrier motion in the nitride Compared to silicon oxide, silicon nitride has a smaller band gap and smaller barrier heights at its electrodes, as illustrated in Fig. 5.2. Like most insulators, silicon nitride exhibits a large density of traps in its bulk (much larger than that of silicon oxide). It possesses shallow traps from which the exchange of carriers with the conduction and valence bands is easy, which can limit carrier motion. In MNOS memories, around room temperature, and in high field conditions, the conduction mechanism in the nitride is controlled by the Poole-Frenkel effect. This effect is based on the emission of an electron from a charged trap (positive when empty) towards the conduction band as shown in Fig. 5.7a. The corresponding current depends strongly on the applied electric field. This field lowers the potential barrier resulting from the attraction of the charged trap and the emitted electron (see e.g. Vol. 1, Chap. 5, § 4.4.). At room temperature and for large fields, the nitride current (J^i in Fig. 5.4) is given by:
JNI
- Q <^^ exp —
He
-(l>t
where £*N is the high frequency dielectric constant of the nitride.
(5.20)
Multilayer Dielectrics for Memory Applications
365
In the case of low temperatures, a tunneling emission process between traps and the conduction band, as shown in Fig. 5.7b, can predominate. Since the barrier is triangular shaped, the equation giving the corresponding 1^2 current (see Fig. 5.4) has the same form as that of the Fowler-Nordheim effect (i.e. Eq.(5.12) with the appropriate changes in notations).
Ec(^N=0)
Ec(^N^^)
a)
b)
Fig. 5.7 - Illustration of the conduction mechanisms in the nitride, a) Poole - Frenkel effect. Jf^i b) Field emission mechanism. Jfs^2.
4. A BRIEF REVIEW OF THE EARLY MODELS DESCRIBING HOW MNOS CELLS OPERATE Numerous simplified models have been proposed in the past to model the switching of the threshold voltage of MNOS memory devices. These models use the basic relations and phenomena from the previous sections. However, such a set of equations does not yield analytical solutions. Furthermore, even in the case of numerical solutions, the value (and sometimes even the importance) of several parameters are quite unknown. For example, even though nitride traps and O/N interface traps are of major importance for the memory behavior, their characteristics remain unknown. It follows that additional hypotheses must be made to derive usable models. Numerous hypotheses have been proposed regarding the trap distribution inside an MNOS memory in order to describe its main behavior during the writing and erasure cycles. 4.1. MODELS DESCRIBING THRESHOLD VOLTAGE SWITCHING
The models proposed in the past can be roughly classified into three families. 4.1.1. Analytical models which assume that the memory traps are located at or near the oxide-nitride interface These models assume that the stored charge is located at the O/N interface or very close to it. It follows that Eq.(5.1) applies. These models also consider that AQQN is due to a transfer of electrons between the silicon substrate and the traps and that there is no charge motion anywhere between the traps and the gate electrode. This implies that JN(x,t) = 0.
P. Gentil
366
Under these assumptions, Eqs.(5.1), (5.10), (5.15) yield:
"^FB-^l",
[\J^^qN,[f,-f,)pUxd(i>^^dt
(5.21)
Equation (5.21) can be solved for various trap distributions and various expressions of the tunnel probability. We list below some of the resulting models proposed in the literature. • Wallmark, Scott and Ross [15,16] use the trap distribution illustrated in Fig. 5.8a. They assume that the electrons tunnel from the filled valence band of silicon (f^ = 1) towards the nitride traps through a barrier which is rectangular-shaped and independent of time. The real time dependence is then given by the variations in f^, i.e. the fraction of traps occupied by an electron: dt where
=
{Nt-n,)p'
(5.22a) (5.22b)
rtt = NJ^.
The above authors consider that the traps are spatially distributed away from the O/N interface. Ferris-Prabhu has extended this model by integrating Eq.(5.21) between any two limits [17,18]. • Pulver and Dorda [19,20] use the trap distribution illustrated in Fig. 5.8b. The tunneling probability is also assumed to be independent of time and constant for all traps. In this model, the time dependence is controlled by the energy domain of the traps facing the silicon valence band. The extension of this domain varies with the oxide field which in turn depends on the stored charge. Ferris - Prabhu [21] has extended this model to traps distributed both in energy and space. Si
Si
Si02
Si3N4 tunneling/* domain X-TL
a)
3 «v^x(o Si02
Si3N4 tunneling ^ domain ^
b)
Fig. 5.8 - Illustration of the two hypotheses used to explain the switching behavior of an MNOS structure for VQ>0. a) The bulk traps are monoenergetic and spatially distributed, b) The interface traps are distributed in energy.
Multilayer Dielectrics for Memory Applications
3 67
• White and Cricchi [22] assume that all traps are monoenergetic and located at the O/N interface. They obtain a time dependence by considering that the tunnel probability varies with ^Qx according to a simplified form of Eq.(5.13). Using the same description for the tunneling probability, Ferris-Prabhu [23,24] introduces in addition both kinetics equation (5.22) and a space distribution of traps [25]. 4.1.2. Models which do not assume direct transitions towards memory traps Unlike the models described in § 4.1.1., the models considered next do not assume that the electrons transit between the silicon bands and the memory traps. The current flowing through the oxide is now due to electrons tunneling from the silicon bands towards: either the nitride or the oxide conduction band or to shallow relay traps. After tunneling, electrons are assumed to be trapped in deep traps located close to the O/N interface which implies that Eq.(5.1) is still valid. The characteristics of memory traps are not described. The traps are only able to store the full charge corresponding to the difference between the oxide and the nitride currents as given by Eq.(5.10). An additional difference between the models of § 4.1.1 and those of the present section is introduced by considering that the nitride current is not nil. The use of simplified current relationships of the form. In J a |^|, has been proposed in Refs. [26,27]. Analytical solutions are then possible and can be applied within a small range of biasing conditions. • Frohman-Bentchkowsky and Lenzlinger [28,29] use the Fowler-Nordheim relation (5.12) in the oxide and relation (5.20) for the nitride current. In such conditions, the equations are numerically solved and applied to the case of the thick oxide MNOS structure. Svensson and Lundstrom [30,31,32,33] and Buguwala and Gunckel [34] apply the same set of equations to thin oxides by considering the appropriate W.K.B. tunneling equations (5.11) to (5.14). • Maes and Van Overstraeten [35,36] explain the working of thin oxide MNOS devices under a weak electric field by considering that tunnel transitions take place between the silicon conduction band and shallow traps of the nitride as described in § 3.4. and illustrated in Fig.5.6. These shallow traps are not the memory traps. An electron trapped on a shallow trap is then emitted towards the nitride conduction band and, after traveling a certain distance in the nitride, is trapped again but on a deep memory trap. Thus f ^ = 0 in Eq.(5.15). The threshold voltage variation can be evaluated numerically or analytically by performing series development. 4.1.3. Analytical models which assume that the memory traps are widely distributed in the bulk of the nitride Some features, among them the measured values of X ^ in MNOS memory devices, clearly show the shortcomings of the models listed above, which all consider that the charge is stored solely at the O/N interface and thus describe threshold voltage shifts by means of Eq.(5.1).
368
P. Gentil
Different methods have been appUed, in order to determine whether the charge in MNOS devices is mainly stored at the 0/N interface or in the nitride bulk. In these methods, experimental results are compared with Eq.(5.6) which can be re-written as follows: FB = yFB-^-^{>N
-%) =V ' ™ - f ^ - f
f
(,„ - . ) p ,
where t ^ is the barycenter of the stored charge measured here from the O/N interface. • Yun [37,38] has performed the simultaneous measurements of Vpg and of the injected charge (by measuring the integral of the "write" current). Assuming that the initial charge is nil and identifying the measured injected charge as Q^, the first form of Eq.(5.23) is verified and allows the determination of t ^ which varies from a few ten A to a few hundred A depending on the charge injected [37,39,40]. A modified version of Yun's method has been proposed by Lehovec et al. [41] by changing the writing procedure and thus approaching conditions of constant current injection. • Maes and Van Overstraeten [35,42] have used a series of identical MNOS structures except for the nitride thickness. Using identical writing conditions and thus assuming that the same Q^ charge has been stored, the Vpg (t^) curves thus obtained verify the first form of Eq.(5.23) and allow the determination of t ^ and Q^. This method has led to the same conclusion: the stored charge is widely spread in the nitride. • Finally, Endo [43] has drawn the same general conclusion from a quite different measurement based on the variation of the conductance of an MNOS transistor as a function of t^ (obtained by successive lapping of the nitride) after a single writing of the device. 4.1.4. Model proposed by Arnett Following the above experimental results and conclusions on the stored charge, a model has been proposed by Amett [44] to explain the build-up of the charge in the nitride and to determine the charge distribution (see also p.714 in Vol.2). The basic relations and phenomena taken into account in the Amett's model are indicated below. • The Poisson equation (5.2) is applied to the nitride. It reads: eN^^
= PN
(5.24)
• The total nitride current is conserved, i.e. Eq.(5.9) holds. • The charge in the nitride is only due to trapped electrons. Holes are neglected. The following formulae thus apply: pN = qn,
(5.25)
JN = q^^d
(5.26)
Multilayer Dielectrics for Memory Applications
369
where n and % are respectively the densities of free electrons and trapped electrons, and v^ is the electron velocity which is assumed to saturate under the strong electric field applied during writing. • The nitride traps are supposed to be shallow, monoenergetic, of the donor-type, and distributed in the nitride bulk. The kinetics of capture and emission of free electrons by traps are described using the Shockley Read Hall theory. The emission probability e^ is fielddependent as described in the Poole-Frenkel effect. We can thus write: - ^ = ^ ^rA ^t [Nt ''n,)-n,e^
(5.27)
-^An.r
(5.28)
with e^ = % ^^P
kT
where i^th is the average thermal velocity of the electrons, at the capture cross section of the electrons by traps and DQ a vibration frequency. Even when the above simplifying hypotheses are used, this model must be solved numerically [45,46]. Approximate analytical solutions have been obtained by introducing further simplifications. • Amett and Yun [39,44] have obtained a simple exponential distribution of the trapped charge assuming negligible detrapping (c^ = 0 in Eq.(5.27)) and small values of the injected charge. • Lehovec [46,47,48] has obtained other simplified and analytical solutions by considering the case of strong detrapping ( n ^ « N^). To compare the solutions of these models with experimental results obtained through the writing of an MNOS memory is not straightforward. The approximate solutions of the models assume as a limit condition that the injection current entering the nitride is constant. However, for all practical purposes, the writing and erasure of MNOS memories are performed under a constant gate voltage bias. In that case, the injected current decreases with time due to the build up of the stored charge. 4,2. MODELS DESCRIBING THE RETENTION OF THE STORED CHARGE
It is of great interest to evaluate to what extent the stored data in a practical memory is permanent. In the general case, the charge stored in the insulator of a non-volatile memory after applying a writing or an erasure pulse causes the device to be in a non-equilibrium state. This state cannot be permanent. The device tends to return to its equilibrium state through a loss of the stored charge. This phenomenon has been described in Vol. 2, p.721. The main elementary mechanisms and results are briefly recalled below.
370
P. Gentil
Let us assume that a writing cycle has caused an excess of electrons distributed in the nitride or in the vicinity of the 0/N interface. The device is then submitted to a small gate bias for reading, or more frequently to zero bias, as indicated in Fig. 5.9. However, even for V Q = 0 , the stored charge generates an intemal electrical field which makes the detrapping of the stored charge easier. Various elementary mechanisms, illustrated in Fig. 5.9, can contribute to the loss of the stored charge. These are: (1) the back tunneling of electrons towards the silicon conduction band, (2) the back tunneling of electrons towards fast states of the Si - Si02 interface, (3) the detrapping and motion of the electrons within the nitride bulk towards both electrodes. Under the effect of the stored negative charge considered here, the intemal electric field is such that it can also further hole injection which in tum neutralizes the negative charge. Two more mechanisms must thus be considered: (4) hole tunneling from the silicon valence band towards the nitride band or deep traps, (5) hole injection from the metal electrode and their transport through the nitride. ql^J
(X-XQX)
Metal
Silicon 0
xox
X
X
Fig. 5,9 - Illustration of the various mechanisms responsible for a loss of the stored charge.
• Lundkvist et al. [48] have proposed a model of charge loss due to mechanism (1) which can dominate in thin oxide MNOS devices. Assuming low field conditions, the tunnel probabilities through the nitride and the oxide are given by expressions similar to (5.19). The kinetics of detrapping is expressed in a way similar to that used for Eq.(5.27), namely: drif = - HfP* dt
(5.29)
Multilayer Dielectrics for Memory Applications
371
where P* is a function of the trap location (x - x^^) in the nitride. Integrating Eq.(5.29) over time gives n^ as a function of space and time. The solution implies that the initial distribution of trapped electrons, n^ (x, 0), is known. By assuming a uniform initial distribution, Lundkvist has obtained an analytical solution giving the remaining stored charge in the nitride after a retention time t. For more realistic initial charge distributions, the problem can only be solved numerically. • A model explaining charge loss through mechanism (2) has been proposed by White and Cricchi [49]. This model considers that the electrons are trapped at the 0/N interface. FerrisPrabhu [50] has extended this model by considering that the trapped electrons are distributed over a certain distance within the nitride. • For long storage times, mechanism (3) must be taken into account in the evaluation of the stored charge. This was introduced by Lundkvist [55] by assuming that electrons trapped can be thermally emitted into the conduction band of the nitride. Lehovec and Fedotowsky [51] have introduced in their model an emission of the stored electrons, reinforced by a Poole Frenkel barrier lowering effect. Williams and Beguwala [52] have considered both mechanisms (1) and (3) and have proposed numerical solutions to the problem. For thick oxide structures Efimov et al. [53] have considered that both the motion of electrons and that of holes in the nitride is limited by the Poole - Frenkel effect. 4.3. CONCLUSION
All the early models mentioned above are able to describe the behavior of some memory structures for specific conditions of operation. However, a general model, usable for the write, erase and retention operations of every MNOS devices is presently not realistic. Too many elementary mechanisms are present, and there exist too many parameters whose value depends on the fabrication process of the device. The role the traps play in the insulators is of primary importance. Considerable efforts have been made in recent years in order to better know the nature and the distribution of the nitride traps. The main results of these works are presented in the next section. These results have been used to develop better models which are presented in Section 7. 5. THE NATURE AND PROPERTIES OF SILICON NITRIDE TRAPS The memory behavior of an MNOS structure depends strongly on the way the injected carriers are trapped and released. The trapping properties are in turn strongly dependent on: • the interaction processes between traps and free carriers, • the spatial distribution of the traps, • the energy distribution of the traps.
372
P. Gentil
All these properties are themselves dependent on the origin of the traps. Just like in Chap. 11 of this volume, where the chemical and structural origins of the major oxide traps are reviewed, it is of interest to know which chemical bond(s) or defect(s) are responsible for the presence of traps in the nitride. This knowledge is necessary to monitor the total trap density and the trap distribution and to optimize memory properties such as: switching, retention and endurance. 5.1. THE STRUCTURE AND COMPOSITION OF SILICON NITRIDE
The nature and the concentration of nitride traps depend on the deposition process of the nitride film and on the subsequent annealing. The most widely used method to obtain amorphous silicon nitride layers for microelectronics applications is the Chemical Vapor Deposition (CVD). CVD may be performed either at Atmospheric Pressure (APCVD), or at Lx)w Pressure (LPCVD) or may be Plasma-Enhanced (PECVD). These deposition techniques are described in Chap.l of this volume. For non-volatile memories, most of the experiments performed in the past have used an LPCVD nitride. 5.1.1. Stoichiometry and dangling bonds The stoichiometry of nitride films obtained by LPCVD is generally close to ideal. In a near perfect stoichiometric amorphous nitride, the Si atoms are co-ordinated by four N atoms in a distorted tetrahedral arrangement while the N atoms are co-ordinated by three Si atoms in a nearly planar trigonal arrangement. The amorphous structure is due to a random distribution of distances and bond angles around the values found in the crystallized material [20,25]. Depending on the deposition conditions, some of the bonds are broken and give rise to structural defects called dangling bonds. Silicon Dangling Bonds (abbr. SDB) are represented as =Si» and Nitrogen Dangling Bonds (abbr. NDB) as =N». In an almost perfect stoichiometric amorphous nitride, concentrations of SDB and NDB should be roughly identical. 5.1.2. The presence of hydrogen However, Si atoms and N atoms are not the only elements found in nitride films. In the LPCVD process, amorphous silicon nitride is formed by the decomposition and the reaction of ammonia (NH3) and silane (SiH4) or by the decomposition and reaction of anmionia and dichlorosilane (SiH2Cl2). In both cases, there is an abundance of hydrogen during deposition. Hydrogen incorporated in the film is easily bonded to Si and N atoms by forming =Si-H and =N-H units. Consequently, the presence of H atoms reduces the concentration of dangling bonds. In the usual LPCVD process used to manufacture non-volatile memories, the nitride layer contains a few atomic percentage (about 2-8%) of hydrogen [54,55]. In the process using the decomposition of NH3 and SiH2Cl2, the atomic percentages of N, Si and H depend on the gas ratio and on the deposition temperature [56,57]. In the usual ranges of temperature (from 650°C to 850°C) and of NH3 to SiH2Cl2 ratio (from 2 to 30), the Si content remains almost constant while N and H appear to be competing species: • the higher the deposition temperature, the higher the nitrogen content and the lower the hydrogen content.
Multilayer Dielectrics for Memory Applications
3 73
• the higher the NH3 to SiH2Cl2 ratio, the higher the hydrogen content and the lower the nitrogen content. Once a nitride film has been deposited, an annealing can modify its content. Annealing at high temperature in a neutral atmosphere (e.g. in N2) breaks the H bonds. The out-diffusion of H results in a lower atomic percentage of H in the film and in a higher concentration of dangling bonds [55,58]. On the other hand, an additional annealing in hydrogen can, to a certain extent, reintroduce hydrogen atoms in the silicon nitride film and consequently neutralize some dangling bonds. 5.1.3. The presence of oxygen The introduction of oxygen (O) in the nitride layer is possible as determined by Kapoor et al [56,57] by adding gaseous nitrous oxide (N2O) to the ammonia dichlorosilane gas mixture during the LPCVD process. The result of this process is an oxynitride film (SiO^Ny) in which the structure remains similar to the silicon nitride structure, but with O atoms replacing N atoms in some locations. Moreover, it appears that some O atoms tie up some SDBs. The incorporation of oxygen also reduces the hydrogen content of the film. More information on oxynitride films can be found in Chap. 1 of this volume. 5.2. THE ORIGIN OF THE NITRIDE MEMORY TRAPS
Various theoretical and experimental studies have looked into the origin and the state of charge of the traps associated with memory effects in silicon nitride. 5.2.1. The various possible defects Given the structure described above, the following defects are thought to disturb the natural density of states of amorphous LPCVD silicon nitride: • silicon dangling bonds
sSi»,
• nitrogen dangling bonds =N», • silicon-hydrogen bonds
=Si-H,
• nitrogen-hydrogen bonds =N-H. In all studies, it has been shown that =Si-H and =N-H bonds give rise to states which lie outside the nitride gap and thus cannot contribute to the memory effects. Other defects such as =Si-Si= can also be expected. However, according to [59] =Si-Si= is found to give rise to a bonding state lying just in the gap at about 0. leV above the valence band while the antibonding state is in the conduction band. Consequently =Si-Si= centers only give rise to a narrow valence band tail. Silicon dangling bonds and nitrogen dangling bonds are thus the defects most likely to contribute to the memory effects, although authors disagree about their respective role.
374
P. Gentil
Starting from a theoretical point of view, Kirk [60] has proposed that defective nitrogen bonds are mainly responsible for charge trapping effect. From theory, Robertson and Powell [59] and on the other hand from empirical study, Ngai and Hsia [61] have attributed the memory effect to the SDB center. In [59], the NDB center is identified as the hole trap, being negatively charged when empty, enabling hole conduction by Poole-Frenkel effect. 5.2.2. The important role of silicon dangling bonds In a more recent paper, Krick et al. [62] present a direct and strong experimental correlation between the density of SDBs and charge trapping phenomena. The Electron Spin Resonance (ESR) technique allows them to measure the paramagnetic spin density. In silicon nitride, neutral SDB centers are measured by ESR. By performing ESR and capacitancevoltage measurements on the same sample, after selectively charging the nitride film with a positive or a negative space charge, and also by eliminating these charges by UV illumination, the authors show that a paramagnetic neutral SDB defect may capture either an electron or a hole. The capture of either carrier makes the SDB defect diamagnetic and charged. A recent study based on electron-nuclear double-resonance and ESR experiments [63] shows that the SDB center is made up of a silicon atom bonded to nitrogen atoms with the unpaired spin density mainly on the silicon. It must be noted that virgin samples of nitride exhibit lower ESR signal than UV illuminated ones [62,64,65,66]. This feature seems to indicate that in virgin nitrides, most of the SDB centers are not neutral and paramagnetic. The initial state of charge of the traps encountered in an as-grown nitride is presently a subject of discussion as presented below. Although its initial state of charge is not clear, the SDB appears to be that defect which contributes most to memory traps in MNOS devices. It is distributed roughly uniformly in the nitride bulk. This mode of distribution, which could be inferred from the structural origin of the defect, has been confirmed by experiments. 5.3. SOME PROPERTIES OF THE NITRIDE TRAPS
5.3.1. Some usual hypotheses Starting from a well-identified defect, an electronic structure can be calculated. However, various hypotheses must be made which lead to various trap level characteristics. As proposed by Kirk [20], a defective Si-N bond can lead to a more complex situation than a simple couple of one =Si» and one =N» because of defects rearrangements. Furthermore, following a general work on amorphous materials [67], it is assumed in [59] that SDBs outnumber NDBs due to constraints in the random network. NDBs are also more effectively passivated by hydrogen since the bonding energy of N-H is larger than that of Si-H [68]. Different hypotheses can also be made about the donor-like, acceptor-like or amphoteric behavior of the defect. From this latter hypothesis. Kirk [60] and Ngai and Hsia [61] consider that dangling bonds have a negative correlation energy which means that it is more favorable for states to be doubly occupied than singly occupied. Robertson and Powell [59] argue that this is not valid for Si3N4 and consider that the correlation energy is positive.
Multilayer Dielectrics for Memory Applications
3 75
Nowadays, some uncertainties persist about the precise nature of the nitride traps and consequently about their main electronic properties. Although a unique and precise model of nitride traps is not available today, some general features must be taken into account if one wants to analyze and model the memory behavior of MNOS structure. These features are described next. 5.3.2. Volume distribution There are objective reasons to believe that a large density of traps, ranging from 10^^ to 10^^ cm-^, is distributed throughout the nitride bulk. This feature is consistent with the studies conducted on the structure of silicon nitride described above and agrees with previous works based on the direct measurement of the stored charge in MNOS memory transistors [35,37]. As pointed out in Chap. 16 of Vol. 2 of this series [1], the first MNOS memory models which considered that the nitride traps are located only at the O/N interface are no longer valid. However, specific structural defects can indeed exist at the interface, giving rise to a somewhat higher trap density near the O/N interface, as it was suggested in [68]. This has also been introduced in recent works [69,70], by assuming both a uniform density of bulk traps and an excess of near-interface traps. 5.3.3. State of charge As pointed out in § 5.2., several theories and experiments have led to different conclusions on the origin of the memory traps: SDB, NDB or both. In connection with their origin, the nature: amphoteric, donor-like, acceptor-like (or an association of these different types) of memory traps is a matter of discussion. Some important features of this discussion are reported below. • From a theoretical point of view, but without calculation. Kirk [60] first proposed that memory traps arise from two types of defects associated with nitrogen. He assumes that both defects exhibit three states of charge (+,0,-). By applying the Anderson concept [71] of a negative correlation energy (U), Kirk describes the equilibrium state of the nitride by a trap level negatively charged lying near (1-2 eV) the conduction band and a trap level positively charged lying near (1-2 eV) the valence band. Both traps are present in equal concentrations giving a globally neutral charge when the nitride is in equilibrium. • Ngai and Hsia [61] used the same Anderson negative U concept, but applied it to SDB defects whose energy levels are located deep in that portion of the nitride gap facing the silicon gap. • Robertson and Powell [59] established in their theory that the SDB defects are the deep traps responsible for the long term memory effect. They proposed a positive correlation energy (U) for both SDB and NDB centers. The SDB center is amphoteric, exhibiting one donor-like level at about 2.1 eV below Ec(N)' (the nitride conduction band edge) i.e. in front of the silicon forbidden gap and one acceptor-like level at an energy U (-- 0.4 eV) above the donor-like level. At equilibrium, part of the SDB centers are neutral (and paramagnetic) while some are positively charged.
376
P. Gentil
The NDB centers give a valence band tail about 1-1.5 eV wide. They are doubly occupied (negatively charged) and act as hole traps responsible for the Poole-Frenkel hole conduction. • Fujita and Sasaki [68] have found a correlation between ESR measurements giving the density of paramagnetic SDB centers and the write/erase, retention measurements. The correlation has been confirmed on various samples obtained with a large variation of the NH3/SiH4 ratio. Their conclusion is that the SDB center with its three possible states of charge (+,0,-) constitutes the origin of the memory trap. However, the authors outline that the sole measurement of the flat-band voltage of MNOS devices does not enable us to conclude what the equilibrium (initial) state of the traps is. These traps can be mostly in the neutral state or they can be split between negative and positive states if the negative U concept is used. Moreover, in their experiments, Fujita and Sasaki report that the measured trapped charge density is much larger than the spin density of the paramagnetic neutral SDB centers. This can be explained by the negative U concept which mainly results in negative and positive states with no spin. However the same experimental data can also be explained by the Robertson and Powell theory. By taking a positive U energy into account, it yields a distribution of states which are partially neutral and partially positive, the latter being compensated by centers in a negative state from another defect origin, in almost equal density. Furthermore, since ESR measurements are performed on thick devices, a non-uniform trap distribution with a higher density of traps in the neutral state near the 0/N interface region may also explain the results as discussed by Fujita and Sasaki in [68]. 5.3.4. Energy levels The energy levels of the traps and their capture and emission coefficients are three parameters which play a very important role in the memory behavior of the structure since: • energy levels determine the prevailing mechanism during the writing and erasing phases, • energy levels, capture and emission coefficients determine the loss of charge taking place during the retention phase and the information reading phase. Finally, all three parameters can also play a role in the fatigue phenomena observed after a large number of write/erase cycles. It is however not easy to obtain a direct and unambiguous information on these parameters. First of all, as pointed out before, the fabrication process influences the dominant defects in the nitride, and therefore the dominant properties of the traps. Electronic trap properties may differ from one work to another. Secondly, most experimental techniques used to determine energy levels consist in measuring the thermal and optical excitation effect of electrons which have been stored into the nitride after a writing cycle. Experimentally measured electronic properties may thus depend on the writing procedure as well as on the measurement procedure used after writing.
Multilayer Dielectrics for Memory Applications
?>11
Various experiments have been carried out for a long time in order to determine the energy levels of the electrons trapped in the nitride of MNOS memory devices. Most of these determinations use a first step which consists in filling the trap. The trap levels are then determined by re-emitting the trapped carrier. • Several authors working on different structures have determined the following trap depths by using thermally stimulated current techniques (the depth is the distance in energy below Ec(N)): 0.5-0.9 eV [72], 1.1 eV-1.2 eV [73], 2.55 eV [74] and 1-2.5 eV [75]. • Kapoor and Bibyk [76] using photodepopulation techniques have found traps at: 2.5 eV, 2.76 eV, 3.03 eV, 3.36 eV and 3.76 eV below EQN) with a width at half maximum of 0.08 eV, 0.02 eV, 0.05 eV, 0.32 eV and 0.17 eV respectively. • Information about trap energy depth can also be deduced from memory charge decay observed through the time dependence Vpg or N^ in MNOS devices. Trap depths have thus been determined at 1.3 eV [52], 1.5 eV [51], 1.8 eV [77] and 0.6-1.2 eV [78]. • From steady state electrical conduction in silicon nitride, the Poole-Frenkel emission process leads also to trap depth results in the 1-1.5 eV range [64]. In fact, traps are found to be widely distributed in energy over the nitride gap. Several hypotheses may thus be considered when modeling the working of devices which include a nitride layer. Depending on the phenomenon to be modeled, importance may be given to a specific energy level or to an energy distribution. Most often, the energy levels of traps are used -explicitly or implicitly- as fitting parameters when comparing experimental and theoretical results. 5.4. THE STUDY O F NixRroE TRAPS USING THICK OXIDE MNOS DEVICES Our knowledge of nitride traps and of their distribution has recently improved due to experimental work carried out on thick oxide MNOS devices. 5.4.1. Why use thick oxide MNOS structures? Oxide layers thicker than 30 A neither allow direct tunneling to nitride traps nor allow modified Fowler-Nordheim injection from silicon. Furthermore, once the charge is trapped in the nitride, fast back-tunneling to silicon is not permitted. It follows that the charge distribution in the nitride is easier to measure using thick oxide MNOS structures than using thin oxide ones. Moreover, a thick oxide can be manufactured under well-controlled conditions and thus possess well-defined properties such as a low trap density. Thus, in thick oxide MNOS devices, all electrons injected from the silicon conduction band (for V Q > 0) are assumed to arrive at the O/N interface and are further injected into the nitride conduction band. In the nitride, carrier transport and trapping are described by Amett's model [44] recalled in § 4.3. and described by Eqs.(5.24 to 5.28). The latter set of equations also assumes that holes have negligible effects, which seems to be verified in every experimental result reported for moderate gate voltages and stored charge.
378
P. Gentil
5.4.2. The results of Park et al Using thick-oxide devices, Park et al [69] have studied the injection of electrons under relatively low fields in the dielectrics. The detrapping of electrons is shown to be negligible in trapping equation (5.27). The set of equations can be solved numerically. By experimentally determining the change in the charge centroid and the injected charge, the density of electron traps is then determined from the model. Their data is well explained by considering a uniform bulk density of about lO^^ cm-3 and an interface trap density of about 1.5 10^^ cm-^ extending 150 A into the nitride layer for LPCVD nitrides. PECVD nitrides exhibit a lower interface trap density than LPCVD nitrides. The origin of the enhanced defect density at the interface can be related to a hydrogen-depleted layer where silicon dangling bonds or other defects are not passivated. 5.4.3. The results of Martin et al The same set of equations has been used by Martin et al [70,79,80]. In this case, detrapping is not neglected and is described by the Poole-Frenkel effect (Eq.(5.28)). In [70], the experiment first involves a writing operation under a high amplitude and long positive V Q pulse. In such a device and with such biasing conditions, the distribution of the stored electrons in the nitride is assumed to be equal to the distribution of traps. The traps are then emptied by applying a lower positive gate voltage which allows the detrapping of the electrons and their motion towards the gate electrode where they are collected. Under such a bias, both electron injection from the silicon and electron re-trapping are negligible. Martin et al show that the observed variation of Vpg as a function of time is well described by a distribution of traps given by: A^^ = A^^ exp
(
x^
+ N^
(5.30)
^S J
where N^ is the trap density per unit volume, N^ the trap density in the nitride bulk, Ng the excess trap density at the 0/N interface and x^ the characteristic length of the trap distribution. The origin (x = 0) of the charge distribution is taken at the 0/N interface. The best fit is obtained by considering N^ = 1.5 10^^ cm-^, N^ = 2.4 lO^^ cm-^ and x^ = 36A. Both the work of Martin et al and that of Park et al conclude on the presence of an excess of electron traps near the 0/N interface, whose density is about 10 times larger than the trap density in the nitride bulk. 5.5. EvroENCE OF HOLE TRAPPING IN THE NITRffiE OF A THIN OXmE MNOS DEVICE
In thick-oxide MNOS memories, the variation in stored charge is mainly attributed to trapped electrons. The injection of holes is considered to be negligible since the energy barrier heights are larger for holes at the injecting interfaces. Most of the experimental results can be explained by considering only electrons.
Multilayer Dielectrics for Memory Applications
379
Deviations of the corresponding models are observed only for large gate biases which give rise to high electric field, whose magnitude can be further enhanced by a charge stored in the insulators. In thin oxide structures, under negative gate biases, band-to-band or band-to-trap hole tunneling allow the injection of holes into the nitride at relatively low fields. The difference between thin and thick oxide is illustrated in Fig. 5.10.
Si
SiO^
SigN^
Si
(D"
a)
SiO^
O ©
SigN^ ^^
tP^
b)
Fig. 5.10 - Energy band diagram of an MNOS device under a negative gate bias giving an oxide field of ^^^ = 7MV cm a) Case of a 60 A thick oxide: hole tunneling is not permitted, b) Case of a 15 A thick oxide: hole tunneling through CD band-to-band and ©band-to-relay traps mechanisms is possible.
Consequently, the switching of thin oxide devices can be analyzed in terms of electrons stored in the nitride, after a positive gate pulse, and in terms of holes stored in the nitride after a negative gate pulse. This dual trapping implies that both electron and hole traps exist in the nitride. Recent works on nitride traps indeed show that either both types of trap exist or that amphoteric traps are present. Experimentally, it has been known for a long time that both negative and positive charges can be stored in the nitride. Hampton and Cricchi [81] have reported measuring the charge distribution for holes and electrons in steady state conditions by using the Maes and Van Overstraeten method [36,42]. Their results show that the number of trapped holes is greater than that of trapped electrons under the same maximum nitride field. However, the value of the hole centroid, counted from the 0/N interface, is much greater than that of the electron centroid at room temperature. It follows that the shift in W^ is somewhat smaller for stored holes than for stored electrons.
380
P. Gentil
5.6. CONCLUSIONS
Silicon nitride exhibits quite a complex structure of traps which strongly depends on the preparation method. For memory applications, LPCVD performed with a mixture of NH3/SiH2Cl2 or NH3/SiH4 is the most often used method. The composition of LPCVD nitrides is near stoichiometry with a low hydrogen content. The exact composition and structure of the nitride depend on: the gas ratio, the temperature and on the subsequent annealing. It is now believed that the main traps, responsible for the memory effect are the SDB centers. Hydrogen has a passivation effect both for the SDB and the NDB centers. SDBs appear to introduce energy levels deep in the nitride gap and possess three states of charge (+,0,-). This allows to store a positive or a negative charge on this unique defect. Today it seems difficult to conclude on the initial state of charge of the traps which can be either mainly neutral or be evenly split between positive and negative states. The experimental determination of the trap energy levels reveals a complex structure exhibiting multi-energy levels which may either be attributed to SDBs or to other defects. Recent experiments performed on thick oxide MNOS devices have been explained by a uniform density of electron traps inside the nitride bulk and a higher density of electron traps near the O/N interface. Other experiments performed on thin oxide MNOS devices have clearly shown that both a negative charge and a positive charge can be trapped in the nitride films. Although our knowledge of the electronic properties of silicon nitride has greatly improved in recent years, it remains subject to several hypotheses. Furthermore, the values of the main electrical parameters strongly depend on fabrication parameters. The independent and direct measurement of the various electrical parameters cannot be performed directly on the MNOS device used in non-volatile memory ICs. The modeling of the write, erase, reading and retention operations of MNOS devices is now possible but still relies on a lot of hypotheses and parameters. 6. SHORTCOMINGS AND LIMITATIONS OF MNOS STRUCTURES The design and the use of MNOS structures as non-volatile memories must consider two additional factors: hole injection and device dimensions. Hole injection, previously disregarded as a possible carrier exchange mechanism, must now be taken into account and controlled. Furthermore, since the stored charge is in fact widely distributed in the nitride bulk, the shrinking of device dimensions is limited. We examine these two factors in more detail next. 6.1. T H E ROLE O F HOLE INJECTION DURING MNOS SWITCHING
The measurements reported in Section 5 yield the net charge in steady state conditions. This is very important information but it does not allow us to definitely conclude the precise nature of the mechanisms and the carrier type responsible for establishing this net charge. As explained next, a new experimental approach is needed.
Multilayer Dielectrics for Memory Applications
381
6.1.1. The carrier injection mechanisms caused by negative biases As illustrated in Fig. 5.11, when a negative gate voltage is applied to an MNOS device, several elementary carrier motions can take place and contribute to the final net charge in the nitride: • component © corresponds to the injection of holes from the silicon substrate into the nitride layer • component ® corresponds to electrons, previously trapped in the nitride, that escape towards the silicon. Component © would seem to be the most simple process and thus that most likely to be observed on a virgin device. Indeed, it can be shown that in a virgin device the net charge is nil before applying the bias and that the net charge is positive after applying the negative bias. However as reported in Section 5 an initially nil charge could also be given by an equal number of negative and positive charged traps. Furthermore carrier exchanges between the nitride and the gate electrode can occur through two other types of motion: • component ® corresponds to holes that escape from the nitride to the metal gate, • component ® corresponds to the injection of electrons from the gate into the nitride. Components (D and ® tend to reduce the effects of © and ®.
Metal Si
Fig. 5.11 - Carrier motion at the electrodes under a negative gate bias.
Each of the elementary carrier motion can be described by different mechanisms (for instance motion © can be described by band-to-band tunneling or by band-to-trap tunneling). Similar carrier motions and elementary mechanisms are of course possible by applying a positive gate bias.
382
P. Gentil
6.1.2. The usefulness of MONOS structures In order to better control the charge build-up in the insulator, and to better understand the trapping and detrapping mechanisms in the nitride, it appears desirable to restrict the possibilities of carrier injection to only one electrode, namely the silicon substrate on which the thin tunnel oxide has been grown. To prevent an exchange of carriers between the nitride layer and the metal gate, a third dielectric layer may be inserted between the two. This third layer can be made of Si02, thick enough to prevent direct tunneling. Si02 also exhibits a greater barrier height with the metal gate than does Si3N4. Such a MONOS structure was first used by Agarwal and White [82,83] in order to determine the type of charge species being injected from the silicon under positive and negative gate biases. The general working of MONOS memory devices will be presented in Section 7. Let us simply assume here that a MONOS structure is an MNOS structure in which no carrier exchange with the gate is possible. + 10Vi
+ 10V
Fig. 5.12 - Principle of a charge separation measurement performed on a MONOS structure.
6.1.3. Charge separation measurements Using MONOS structures, it is of interest to use experimental techniques to separate the injection (or the extraction) of holes from the extraction (or the injection) of electrons during a variation of the gate voltage. The measurements are based on the charge separation concept of Ginovker et al [84] and on the linear voltage ramp technique that has been used to study the properties of the siliconoxide interface in MOS structures [85]. These experiments must be carried out carefully in order to eliminate every parasitic current component.
Multilayer Dielectrics for Memory Applications
383
Let us consider the structure represented in Fig. 5.12. First a positive gate bias is applied for a long time resulting in a net negative charge stored in the nitride and a large positive flat band voltage. Then, VQ is linearly decreased from a positive low voltage (for which the semiconductor is in weak accumulation) to a large negative voltage (for which the semiconductor is in strong inversion and allows a significant charge variation in the nitride) and finally back to the initial positive value. The semiconductor remains in quasi equilibrium during the voltage ramp since electrons are easily provided by the N bulk and holes easily provided by the P+ region. Let us consider the structure, during the voltage sweep towards the negative value, when the semiconductor is in the depletion and inversion regimes (see Fig. 5.13.a). The P+ region supplies holes for the inversion layer and for the charge variation in the nitride (Ip < 0 with the sign conventions of Fig. 5.13). On the other hand, if electrons stored in the nitride tunnel back to the silicon, they are swept into the substrate and are collected by the N+ region and finally by the back contact (I^ < 0 with the sign conventions of Fig. 5.13). At the most negative V Q value a net positive charge is stored in the nitride giving a new flat band voltage.
VG=-«t+Vo
Vc = + a t + V '
Fig. 5,13 - Hole and electron motions during a charge separation measurement a) During the voltage sweep from flat band to strong inversion. b) During the voltage sweep from inversion to flat band.
During the reverse voltage sweep, holes are removed from the inversion layer to the P+ region to contribute to the Ip current which is now positive (see Fig.5.13.b). While the semiconductor is still in inversion or in depletion some holes can be injected into the nitride and some electrons can tunnel to the silicon (I^ remains < 0).
384
P. Gentil
By considering a voltage sweep which brings the silicon substrate from flat band to strong inversion and back to flat band, the integral over time of current Ip gives the hole charge injected during the sweep. The integral over time of current I^ gives the electron charge extracted during the sweep. It must be outlined that holes leaving the nitride for silicon will recombine with electrons, if the n-type silicon substrate is in accumulation. In order to distinguish between the electron and the hole motions contributing to the variation of the stored charge when the initial charge is positive, a dual structure with a p-type semiconductor must be used. The main conclusion of this work is that holes are injected from the semiconductor into the nitride for VQ < 0 while electrons are injected for VQ > 0. For the devices used in this study and under the biasing conditions applied, the switching of an MNOS structure cannot be explained by a single carrier model. It can be concluded that a recombination of electrons and holes takes place in the nitride by means of amphoteric traps associated with the silicon dangling bond defects mentioned in Section 5. Unlike previous works, reported for example in Chap. 16 of Vol.2 [1], modeling the switching of modem thin oxide MNOS or MONOS memories must now be carried out by taking both carriers into account. 6.2. LIMITATIONS TO THE SCALING DOWN OF MEMORY DEVICES DUE TO THE SPACE CHARGE DISTRIBUTION
To gain space and speed of operation and to reduce power consumption, device dimensions are constantly scaled down. This trend also applies to MNOS devices for which attempts are made to reduce both the oxide thickness and the nitride thickness. • Thinner oxides ensure a faster switching under relatively low applied gate voltages (for practical MNOS devices, t^^ is in the 1.5-2 nm range) • For thinner nitrides, the gate voltage which ensures a given injection field during the write or erase operation may be lowered, as deduced for instance from Eq.(5.3). However, if the nitride layer is thinner, the AVj value corresponding to a given AQQN is smaller, as visible from Eq.(5.7). Furthermore, this effect is more pronounced if the charge is widely distributed in the nitride rather than located at the O/N interface. 6.2.I. Limitations due to charge storage possibilities This limitation was announced early on by Hampton and Cricchi [86]. They consider that the steady-state condition is obtained when detrapping balances trapping in the nitride region. They consider that the balance is established when occurring locally in the area where the field is maximum (taking into account the effect of the stored charge). The authors define a limit to the scaling down by considering an arbitrary level of stored charge and a nitride thickness which is twice the charge centroid. This limit is shown to depend on the nitride deposition process and on the temperature of the write/erase operation since detrapping is temperature enhanced.
Multilayer Dielectrics for Memory Applications
385
For the best deposition process, and for devices operating at room temperature, they experimentally define a minimum nitride thickness of about 190 A which permits a threshold voltage variation between - 1.5V for stored holes and +2.2V for stored electrons. These values are steady state ones. Since in a practical application the duration of the write or erase phase is limited, higher fields may be necessary to obtain the same amount of stored charge. However any field increase affects the detrapping process and the charge centroid. The ensuing threshold voltage window is smaller. 62.2. Limitations due to charge retention and endurance possibilities The above considerations about scaling down the nitride thickness in MNOS memory devices take into account criteria relative to the initial charge state or to the initial threshold voltages after the application of a write or erase gate pulse. However, since the MNOS structure is actually used as a non-volatile memory, one must also know how this device behaves after a long retention time at a maximum temperature (typically ten years at 70°C). Furthermore, the decay rate of the threshold voltage is known to increase with the number of write/erase cycles as defects are created in the device. MNOS memory products must thus also guarantee a minimum number of write/erase cycles (typically 10^). The retention characteristics of MNOS memory devices are degraded by write/erase cycles. Such degradation has been observed [87,88]. It is assumed that the highly energetic carriers which are injected to modify the stored charge create some defects in the structure. These defects can introduce additional fast states at the O/N interface as well as traps near it. Both types of traps will contribute to a fast decay of the stored charge after cycling [57]. Furthermore, the degradation is also accompanied by a large increase in nitride conductance [89] which also contributes to a faster discharge of the stored charge through the nitride layer. These retention and endurance characteristics behave as shown in Fig.5.14. Obviously, the behavior after 10 years must be extrapolated from very precise and carefully defined experimental results obtained after relatively short test periods.
10 years •
i
r"7
1
10_, 10\
10 cvcles
TT
rr
r—
I-T
TT
lo'
lo'
lo'
lo'
lo'
ITP"*
lo'
t(s)
1(
2 H-
Fig. 5.14 - Decay of the threshold voltage observed during retention, with the number of write/erase cycles as a parameter. Top curves correspond to stored electrons, bottom curves correspond to stored holes [90].
386
P. Gentil
Recently, Minami and Kamigaki [90] have reported results obtained from a highly stable and controllable MNOS process used for commercial products. This process includes a polysilicon gate, an optimized tunneling oxide [91] and a nitride thickness ranging from 200 A to 270 A. Their experimental retention data shows that the decay rate of V^ decreases with t^ for a fixed initial V j corresponding to the storage of electrons. When holes are stored, instead of electrons, the decay rate of V j is constant when t^ decreases. Minami and Kamigaki, by carefully analyzing the decay rate and the charge distribution, have extrapolated their result to define a minimum nitride thickness of 123 A which can be used with a write or erase voltage of 8V in a 16 Megabit EEPROM product. 7. THEORY AND MODELING OF THE MONOS STRUCTURE USED AS A NONVOLATILE SEMICONDUCTOR MEMORY Regular MNOS structures have several limitations. These may be overcome by introducing an additional oxide layer between the nitride and the metal electrode. Let us examine in more details the advantages of the MONOS structure, when used as a non-volatile semiconductor memory. 7.1. T w o REASONS TO INTRODUCE AN ADDITIONAL OXIDE LAYER
First, the use of an aluminum gate in an MNOS device does not allow the manufacture of dense and high speed circuits because Al deposition is not a self-aligned process. Moreover, the integration of the MNOS technology in a VLSI or ULSI process is not easy. It thus appears desirable to develop a polysilicon gate technology. Replacing aluminum by polysilicon seems a simple approach but it is an unpractical one. The silicon/silicon nitride interface is unstable as has been shown [92]. This instability, observed in a SNOS (poly Silicon-Nitride-OxideSilicon) memory capacitor has been remedied by employing a SONOS (poly Silicon-OxideNitride-Oxide-Silicon) structure [93]. Secondly, the existing MNOS non-volatile memories require relatively high voltage pulses, typically 15V - 25V, for writing and erasing. It is quite desirable to decrease this voltage for future applications in VLSI technology. This can only be performed by reducing the nitride thickness. However, the fact that the charge stored in the nitride is widely distributed, limits the possibilities of scaling down the nitride thickness. Here again, an alternative solution to the MNOS memory structure is the MONOS (or SONOS) device [94]. In MONOS structures, the charge centroid is closer to the silicon surface. The presence of an Si02 layer between the nitride and the gate electrode modifies the conditions which limit the amount of charge which can be stored in the nitride during writing or erasing. It also modifies the decay of the stored charge during retention. 7.2. SOME PROPERTIES OF THE BLOCKING OXIDE
Assuming that the charge is nil everywhere and that the difference in work function is also nil, the energy band diagrams of the MONOS and SONOS structures at equilibrium are those given in Fig. 5.15. By looking at these band diagrams, the nitride layer can be described as a potential well between two Si02 layers. Such a structure resembles a floating gate memory.
Multilayer Dielectrics for Memory Applications
387
3.1 eV
3.8 eV
Si
O tunnel
O blocking
M(A1)
Si O
N
tunnel
O
poly Si
blocking
Fig. 5.15 - Energy band diagram a) of a MONOS device b) of a SONOS device.
There are however strong differences between the two structures. Free carriers in the conduction and valence bands of the nitride encounter relatively low barrier heights at the Si02 boundaries. In a non-volatile memory, these barriers can maintain the carriers, injected from silicon, confined in the nitride during the write or erase operations but only trapping on the deep nitride traps can ensure the long term retention of the stored charge. On the other hand, in a floating gate memory, the stored charge is made up of free carriers and a single defect extending throughout the Si02 layer causes the memory to fail. In this case, a reduction in the thickness of the insulators appears more difficult to achieve. The method most widely used to manufacture the blocking oxide (BO), located between the nitride and the gate electrode, is the thermal oxidation of the silicon nitride. Electronic properties at the N/BO interface can be quite different from those found at the O/N interface because of the different preparation methods. A large number of traps, attributed to oxygen, can be created at the N/BO interface and can also contribute to the memory effect [94]. In fact, there exists a transition layer made up of silicon oxynitride, SiO^Ny, between the nitride and the blocking oxide, whose thickness has been estimated at about 20 A [95]. The transition region causes the energy band diagram to vary slowly as shown by the dotted line of Fig. 5.15b. The blocking action of the top oxide is therefore less efficient. Li order to obtain a sharper barrier, one has proposed in [95] fabricating the blocking oxide by CVD and converting it into a stoichiometric Si02 by a pyrogenic annealing performed at a temperature lower than the thermal oxidation temperature. 7.3. RELATIONSHIPS LINKING CHARGES AND POTENTIALS
The basic relationships of the MONOS/SONOS structures can be derived in a manner similar to that used for MNOS structures. However, solutions are now more complex due to the presence of both an additional layer and an additional interface, as shown in Fig. 5.16. Poisson's equation (5.2) must be solved in order to obtain the different electric fields and voltages, as previously done in Chap. 16 of Vol. 2 of this series [1] or as summarized in § 3.2.
388
P, Gentil tunnel Oxide
Silicon
-//-
H
Metal
yA
Pox
Qsr sc Q: ^sp
i/-
blocking Oxide
Nitride
QN BO
ON ='N
ox
^
^RO
LK.
^?x ^
^
BCbM
^ox
^N
^BO
F/g. 5.16 - Cross section of a MONOS capacitor and definition of symbols used.
When a MONOS memory operates, the only charge distributions which vary are: QQN. PN and its integral over tN (i.e. QN), and QNBO- It follows that the flat band voltage can be written in a useful form, similar to that of Eq.(5.5). ^N ^FB
=
^'FB
^ ^BO
^N
~^N
-QN
- QON ^ox
.
^N
-QNBOT^(5'^^) •^ox
Equation (5.31) allows one to calculate Vpg as a function of i^ and tgo for a given set of QON' Q N ^^^ QNBO values. However, for a given Vpg value, there still exists an infinity of possible values for the (tjs[, tgo) couple. Furthermore, for a given writing or erasure pulse, the values of the charges QQN' Q N ^^^ QNBO stored in the insulators also depend on the tj^ and tfiO values. The choice of the tj^ and tgo values giving an expected Vpg is thus difficult. In practice, two technological approaches have been proposed for the MONOS structure [95]. The first approach [96] is to scale down the nitride thickness for a low voltage operation while the memory charge is mainly stored in the nitride. In that case, the blocking oxide is just thick enough (a few tens of Angstroms) to block the exchange of carriers by direct tunneling between the gate and the nitride. Since t s o is as thin as possible, the effect of QNBO i-^- the charge stored at the N/BO interface, on Vpg (and thus on Vj) is rather small, as can be seen from Eq.(5.31). The V^ shift is mainly due to the Q^ charge as in an MNOS structure. In this first approach, no special effort is needed to obtain a high density of traps at the N/BO interface. The other approach [94] is to make a thinner nitride layer while using a thicker blocking oxide in order to increase the V j shift produced by charge QNBO- ^ that case, the fabrication method is devised to enhance the density of traps at the N/BO interface. Whatever approach is considered, it does not appear that a precise model of the V^ shift can be developed by taking into account the variations of only one type of charge in Eq.(5.31).
Multilayer Dielectrics for Memory Applications
3 89
As previously proposed in § 5.4, an interface trap density can also be considered as a large increase in bulk trap density in a very narrow region near this interface. However, the electronic properties of these traps are probably different from the bulk ones since their origin is different. 7.4. RELATIONSHIPS LINKING CURRENTS AND CHARGES
The continuity equations ((5.9) and (5.10)), given in § 3.3. also apply to the case of a MONOS or SONOS structure. However, an additional continuity equation must now be written for the N/BO interface. It yields: JN
\^N
' 0 " J BO \^N > V =
'J^
(532)
In Equation (5.32), JBO is the current density in the blocking oxide. Of course, if the blocking action is perfect, JBO is nil but this condition is never quite met in practical devices. It must be noted that during write or erase operations, significant currents flow through the device. In the general case, the charge density must include both the free carriers and the trapped carriers. The charge due to the free carriers may not be negligible in the nitride. Especially in the vicinity of the N/BO interface, if trapping efficiency is low and the blocking action is good, free carriers can accumulate and contribute significantly to the charge density. All the elementary carrier exchange mechanisms taking place in an MNOS structure, which are indicated in § 3.4. and described in more details in [1], can also occur in a MONOS structure. An additional current component must now be considered, namely that flowing through the blocking oxide. Since the blocking oxide is thick enough, no direct tunneling current can occur between the gate and the traps of the BO/N interface (or the nitride traps). The only possible mechanism is a band-to-band transition taking place through the blocking oxide when the latter is triangular-shaped by a high electric field. It then gives rise to a Fowler-Nordheim relationship similar to Eq.(5.12). 7.5. CHARGE TRAPPING BY AMPHOTERIC TRAPS IN SILICON NITRIDE
As described in Section 5, and from research on the origin of nitride traps, it seems that the existence of silicon dangling bonds (SDB) which possess three states of charge is the most likely explanation for the memory effect of the nitride layer in MNOS and MONOS devices. This strong possibility has been extensively studied by White et al [97,100] who have developed theories about charge transport and storage in MONOS/SONOS devices. The amphoteric nature of the traps has also been qualitatively considered for MNOS devices [60,62,65,66,68] in order to explain experimental results. The three states of charge of amphoteric traps are denoted D+, D°, D". A trap in a D+ state contains a positive charge and can be considered as devoid of electrons (or doubly occupied by holes), A trap in a D° state is neutral and can be considered as occupied by a single electron (or by a single hole).
390
P. Gentil
A trap in a D" state contains a negative charge and can be considered as doubly occupied by electrons (or devoid of holes). An amphoteric trap interacts with both free electrons and free holes. The combination of D+/D^ states can be regarded as corresponding to a donor-like behavior and the combination of D°/D- states can be regarded as corresponding to an acceptor-like behavior. However, there is a strong difference between two independent (donor-like and acceptor-like) traps and an amphoteric trap since for the latter the neutral state can give rise to both a donor-like and an acceptor-like behavior. When a neutral trap becomes negatively (resp. positively) charged, a donor-like (resp. acceptor-like) trap disappears. In other words, with amphoteric traps the number of donor-like traps and that of acceptor-like traps are not independent. Their occupancy is described by coupled equations. The different interactions between an amphoteric trap, with its three states of charge, and free electrons and holes are illustrated in Fig. 5.17a. a)
electron capture hole emission hole capture electron emission positive state
J)
neutral state
electron capture hole emission hole capture electron emission
D negative state
Fig. 5.17 - Illustration of the different states of charge (a) and of the carrier exchange processes of an amphoteric trap (b).
By considering that the amphoteric trap possesses two energy levels, E^ and E^Q, the possible transitions are illustrated in Fig. 5.17b on an energy band diagram of the nitride bulk. In this representation the neutral state is associated with energy level EfD while E^ is above E^i). That means for instance that, by considering that an initial D" state emits an electron from the E^^ level, a fast relaxation of the electron and lattice occurs giving rise for the following step, to the E^D trap level. Whether the E^^ level is above or below the E^j) level is given by the positive or negative correlation energy (U) concept, respectively. As presented in Section 5, these two concepts are now discussed for the silicon nitride material. The same elementary electron and hole processes are possible with both concepts. However, the most probable state of charge of the traps is different in each concept.
Multilayer Dielectrics for Memory Applications
391
For instance, the thermal equilibrium condition (assumed to exist in a virgin device) gives neutral amphoteric traps with the positive U concept while a share between positive and negative traps is given by the negative U concept [68]. The fraction of traps encountered in the D+, D"", D* states are denoted respectively by f+, f, f-. The variations of these occupancy functions can be expressed by considering that the elementary capture and emission processes defined in Fig. 5.17b obey the Shockley, Read, Hall (SRH) theory: (5.33)
dt dt
= -C°nfif°
-el f° +e~ f~ +C~
pf~
(5.34)
f+f+r=i
(5.35)
In the above equations, n and p are the densities of free electrons and free holes in the nitride conduction and valence bands respectively, C and e are the capture coefficients and emission probabilities respectively. The upperscript denotes the initial state of charge (+, 0, -) and the subscript indicates the carrier type (p or n) involved in the process. The density of the charge trapped in the nitride (PN) is now given by:
PN = qNt[r
-r)
(5.36)
If the nitride traps are located near the 0/N interface, a carrier exchange can take place not only between the traps and the nitride bands but also between the traps and either the silicon bands or the fast states of the Si/Si02 interface. In these cases, the e and C coefficients, which now involve tunnel exchange mechanisms, differ from those of the SRH theory which only imply thermal processes. This is illustrated in Fig. 5.18 for two elementary emission processes. A
i
'^^ •
'
T2
^
s ^^
( ^
• • • • \ ™ ^ i y f _ j ___
Si
tunnel 0
^tA
b ^_
^^__
"
^tD
N
Fig. 5.18 - Representation of two types of electron emission process for both D' state (E^^) and D° state (E^j^)
392
P. Gentil
Modeling the behavior of an MONOS cell while taking into account all elementary carrier exchange processes appears as a rather complex task. The problem can be simplified by considering specific conditions regarding the trap energy levels, the initial state of charge and the applied bias. Unfortunately, since the memory trap levels are subject to discussion, a lot of hypotheses are possible. For instance in Fig. 5.18, if E^D is lowered to be aligned with the silicon valence band, electron emission to silicon by direct tunneling becomes impossible. 7.6. MODELING OF THE MEMORY BEHAVIOR OF MONOS AND SONOS DEVICES
7.6.1. The main assumptions of White's models The full set of equations corresponding to the basic phenomena reported in sub-sections 7.3 to 7.5 cannot be solved in a universal model usable for any MONOS/SONOS memory operation. Too many parameters are unknown, and especially the trap parameters, i.e.: the space and energy distributions, the acceptor, donor or amphoteric character of the traps, and the capture and emission coefficients. Other parameters such as: the tunneling oxide thickness, the barrier heights,... do not have a precise value. The most recent quantitative models of the memory behavior of such devices have been derived by White et al [97,100]. As indicated above, the conmion feature of these models is that an amphoteric trap (with E^y^ > E^D) is assumed to be uniformly distributed in the nitride layer. One considers that no specific trap exists at the 0/N interface nor at the BO/N interface. The devices have a 20 A-thick tunnel oxide, thermally grown on the silicon substrate. The t^ values range from 50 A to 120 A which shows that the limitation in nitride thickness (at about 120 A - 190 A) encountered when scaling down MNOS devices [86,90] can be overcome with MONOS/SONOS structures. The models also imply, for these thin tunnel oxide devices, that both types of carriers can be: injected and trapped during the write/erase operations and slowly detrapped and evacuated towards the electrodes during the retention phase. 7.6.2. A simplified model of the switching behavior considering amphoteric traps In reference [97], injection from the silicon conduction band and electron trapping in the amphoteric traps for VQ > 0 is modeled by taking into account only two of the eight possible capture and emission processes illustrated in Fig. 5.17. These two processes are the capture of electrons in the nitride conduction band by either the positive charge state (D"^, C^) or the neutral charge state (D"*", C^). Electron and hole emission processes are neglected. Equations (5.33) and (5.34) thus simplify to: dt ^
= -Clnf^
(5.37)
= - C; n / +
(5.38)
Multilayer Dielectrics for Memory Applications
3 93
These equations are solved using relations (5.35) and (5.36), and the continuity equation (5.9) in which the drift current is expressed by (5.26) as a function of the free electron density n. The initial condition considers that f"*" = 1, which means that all amphoteric traps are in the D+ state. White et al have solved this equation set to determine the spatial distribution of f+, f°, f-. They show that the f° distribution and the centroid of the stored charge approach the results I
O
given by Amett's model if C^ » C^. In that case, the conversion rate between the D° and the D" states is low, and the amphoteric traps behave like the single occupancy traps considered by Amett. I
O
On the contrary, if Cj^ and Cj^ have about the same value, a large fraction of D+ states are converted to D" states by a double capture of electrons near the electron injection boundary. In that case the amphoteric trap model differs strongly from Amett's model. White's model yields a value for the centroid of the stored charge which is much smaller than that given by Amett's model in the case of high injection levels. The same procedure is used for hole injection (for VQ < 0). Comparing the experimental I
results and the model leads to a C^IC^ __
O
ratio of about 10. The same ratio value is obtained
o
for holes (i.e. for Cp /Cp). The experimental results also show a threshold voltage window of more than 6V with a programming voltage as low as + 8V for the scaled-down device with dimensions of 20 A for the tunnel oxide, 85 A for the nitride and 51 A for the blocking-oxide. 1.63. A more complete model of the switching of MONOS/SONOS memories In reference [98], write and erase operations are also modeled but use less simplifying hypotheses. The set of equations is numerically solved. Both free electrons and holes are assumed to exist in the nitride. They are injected into or escape from the nitride by FowlerNordheim or modified Fowler-Nordheim effects (Eqs. (5.11) to (5.14)) as indicated in Fig. 5.19a (Jon» JBOII' JfiOp' Jop) ^^^ ^ negative gate bias. A trap-assisted tunneling current is also considered for electron injection from the silicon under positive gate bias and low field condition (JJA on Fig. 5.19b). In the case of a negative gate bias, with a high initial occupancy rate of the D" state, the back tunneling of electrons (JBT ^^ Fig- 5.19a) is also considered. The continuity equation (5.9) now takes into account both electron current density Jj^ and hole current density Jp. The total nitride charge includes: trapped holes, trapped electrons and the free carriers, i.e. electrons in the conduction band and holes in the valence band. This can be written: PA^=^[^r(/''-/") + P-^]
(5.39)
For the write/erase process, the equations describing the variations of the occupancy functions neglect the emission (detrapping) processes but retain the four capture processes of holes and electrons.
P. Gentil
394
b)
Fig. 5.19 - Electron and hole currents flowing through a SONOS structure a) Under negative gate bias, b) band-to-trap current under positive gate bias
Relations (5.33) and (5.34) then become:
dt
= -'C^nr+C^pf
df ^-C^nf dt
+Cp
pf
(5.40)
(5.41)
The set of equations can be solved for any initial distribution f^,t=0 ^ ^ fx,t=0 ^ ^ ^^Y spatial trap distribution in the nitride N^(x), This model was successfully compared to experimental results obtained on devices possessing various characteristics including: n-channel and p-channel MONOS and SONOS transistors, MONOS capacitors with aluminum and gold gates, nitride thicknesses ranging from 50 A and 120 A and blocking-oxide thicknesses ranging from 35 A and 50 A. The blocking-oxide was also manufactured through two processes: the thermal oxidation of nitride and LPCVD deposition. This work also presents the possibility of obtaining an initial Vj window of 5V by using a programming voltage as low as + 6V on a MONOS device possessing the following characteristics: tQx=20 A, tN=50 A, tBo=35 A. An extrapolated 0.5V memory window is expected after 10^ write/erase cycles and 10 years of data storage.
Multilayer Dielectrics for Memory Applications
395
7.7. MODELING OF THE RETENTION BEHAVIOR OF MONOS/SONOS DEVICES
The work presented by White et al. in Refs. [99,100] is mainly devoted to the retention characteristics of the charge stored in the nitride. 7.7.1. Discharge of the nitride by back tunneling of trapped carriers In reference [99], the discharge of (previously negatively charged) amphoteric traps is considered to be due to the back tunneling of electrons from the traps in the D" state (see Fig. 5.19). The processes of thermal emission into the nitride bands are neglected by considering a low temperature operation. Hole capture by the traps in the D° state is also neglected by assuming that an appropriate bias condition is applied during storage. The dominant processes considered here are represented in Fig. 5.20. The model includes an energy distribution of traps with a constant energy difference U = E^A - E^D and is applied, under the same assumptions and conditions, to the cases of electron (see Fig. 5.20a) and hole (see Fig. 5.20b) discharge by back tunneling.
-©•
a)
0"\1 blocking
Si "^ O Tunnel
poly Si b)
Fig. 5.20 - Band diagram of a MONOS structure in the retention mode. a) With a large negative charge stored in the nitride, b) with a large positive charge stored in the nitride.
7.7.2. A more complete model of the nitride discharge In reference [100], the discharge of (previously negatively charged) amphoteric traps is assumed to take place through the first three elementary processes presented in Fig. 5.21. As in Ref. [99], the back tunneling of electrons from the traps in the D" state (process (1) Cn) is taken into account. In addition, the back tunneling of electrons from the traps in the D° o
state (process (2) c^) to the fast states of the Si/Si02 interface and the capture of holes from o
the silicon valence band by the traps in the D° state (process (3) Cp) contribute to the discharge of the nitride. In such conditions, the equations expressing the variations in occupancy functions become:
dt
=+e„/
+Cpf
(5.42)
396
P. Gentil
(5.43)
dfZ
dt = ~^n / '
In Eq.(5.42), p is the free hole density in silicon at the Si/Si02 interface. Only two single levels, Ej;^, Etj), are considered and all traps are assumed to be initially in the D" state.
B.
EtA
EtD
O tunnel Fig. 5.21 - Illustration of the various exchange mechanisms which take part in the discharge of the nitride when a large negative charge is stored.
The use of this model and experimental data show important retention properties. The short term decay of the stored charge is govemed by process (1) while the charge loss occurring during long term retention is mainly govemed by processes (2) and (3). Long term retention can be improved in two ways. First, the fabrication process may be optimized in order to reduce the density of (Si/Si02) interface states involved in process (2). This is possible by using a triple-wall oxidation process [101]. Secondly, hole capture can be reduced by controlling the surface potential of silicon. This can be performed by a surface implantation which creates a buried channel and thus reduces the number of holes available at the surface to be captured by the nitride traps in the D° state. However, this work does not take into account the thermal (Poole-Frenkel) emission of electrons trapped in the bulk of the nitride (process (4) of Fig. 5.21). This emission process may be the limiting factor for long term retention, as suggested in Ref. [78]. 7.8. CONCLUSIONS
MONOS and SONOS structures are new and promising for future applications in non volatile memories. Numerous basic phenomena take place in a MONOS/SONOS memory device and modeling is only possible after making many assumptions, especially regarding trap parameters. Recent works about nitride traps reveal the existence of amphoteric traps. This had not be considered in the works reported in Vol. 2 [1] and must now be taken into account.
Multilayer Dielectrics for Memory Applications
397
The presence of amphoteric traps spatially distributed through the nitride layer can explain the main memory properties of MONOS/SONOS devices. The trap parameters are strongly dependent on the fabrication process. By using an adequate fabrication process, a large density of traps at the BO/N interface can be the dominant contribution to the stored charge [102]. Various experimental works [78,94,95,97,100,102,103] have shown that MONOS and SONOS memory devices make it possible to scale down the insulator thickness and therefore to operate at low voltages and to integrate the structure in a VLSI fabrication process. 8. USING THE ONO STRUCTURE AS A DRAM CAPACITOR The Oxide-Nitride-Oxide dielectric multilayer (abbr. ONO) which we have presented and studied in the previous sections allows us to obtain well-controlled V^ variations in transistor devices usable as non-volatile memory EEPROM. It has other useful applications which we examine next. 8.1. T w o OTHER POSSIBLE APPLICATIONS OF THE ONO STRUCTURE
Since 1984 [104], the ONO structure has also been recognized as a promising solution for the storage capacitor of dynamic-random-access-memories (DRAMs) whose basic cell is represented in Fig. 5.22a. ONO films are also of interest when placed between the floating gate and the control gate of a FAMOS (floating-gate avalanche injection metal-oxidesemiconductor) device and as an intermediate dielectric layer in a FLOTOX (floating-gate tunnel oxide) memory device [105,106]. The basic cell of a floating gate device is represented in Fig. 5.22b. In both cases, a DRAM capacitor or FAMOS/FLOTOX transistor, the ONO film is located between two electrodes which have a metallic behavior. In these two applications the ONO structure is not used for its ability to modify the threshold voltage of the device but for its dielectric properties. It is proposed as an altemative to silicon dioxide in such devices. The dielectric constant of silicon nitride (£N=78Q) is greater than that of silicon dioxide (BOX^^BO)This is of interest for obtaining a larger capacitance value for given dimensions or an identical capacitance value when dimensions are scaled down.
9
address transistor
'
gate
floating
. y gate
storage capaciti tunnel or avalanche injection Fig, 5,22 - Different uses of the ONO dielectrics, a) In a DRAM capacitor, b) Between the two gates of a FAMOS/FLOTOX memory transistor.
398
P. Gentil
However, the ONO film has got other advantages which are extremely useful to manufacture memories. Compared with regular silica films, ONO layers exhibit better performance and greater reliability (correlated to a higher breakdown field). Furthermore, the leakage current density observed in ONO films, is much smaller for the same bias and film thickness than in silica films. Most of these properties can be related to the charge transport and charge trapping properties in ONO films. Thus instabilities due to carrier trapping and detrapping in insulators, which are undesirable features in some devices like stable IGFETs, appear to be favorable for other structures, as we show next. 8.2. THE MAIN ADVANTAGES O F THE ONO STRUCTURE
The superiority of ONO films over silica films can be understood from the phenomena and principles which have been presented for the operation of MNOS or MONOS/SONOS nonvolatile memory devices. However, some strong differences exist and must be considered. First, as mentioned above, for DRAM capacitors and floating-gate transistors, the ONO is placed between two electrodes which have a metallic behavior. For technological convenience, these electrodes can be made of a true metal, a metal silicide, a polysilicon, or a monocrystalline substrate. In the latter two cases, doping is assumed to be large enough to avoid significant depletion or inversion. Therefore there exist no potential difference across the electrodes in those structures nor capacitance associated with them. Secondly, we assume, to simplify the explanation, that both oxide layers are thick enough (e.g. > 30 A) to avoid direct tunneling between electrodes and nitride layers. Such structures have been topics of extensive research from the point of view of their technology, physics and modeling as reported in Refs. [108-110]. As reported in Section 6, for such "thick" oxides, the tunneling of electrons from the electrodes by Fowler-Nordheim effect is the dominant carrier injection mechanism in the structure. Because of a higher barrier height, hole transport is negligible. Let us consider a SONOS structure with a heavily doped substrate and a polysilicon electrode (called "gate" below). Its energy band diagram is illustrated in Fig. 5.23. In Fig. 5.23a, the structure is at equilibrium as can be expected from a virgin device under zero gate bias and a nil net charge everywhere in the insulators. • Under a large negative gate bias, the tunneling of electrons occurs from the gate through the triangular-shaped barrier (Fowler-Nordheim effect) of the top-oxide (see Fig. 5.23b). Electrons are trapped at the top-oxide/nitride interface and in the vicinity of this interface in the nitride. • As the charge builds up in the nitride, the band diagram is bent as indicated in Fig. 5.23b. The magnitude of the electric field at the injecting electrode decreases and the injected electron current decreases too. Once a steady state condition is reached, the leakage current in the ONO structure is much less than that obtained on an equivalent structure made of Si02 where the initial electric field at the injecting electrode is almost constant (because there is no significant charge storage in the Si02).
Multilayer Dielectrics for Memory Applications N
O
399
poly Si ("gate")
Fig. 5.23 - Band diagram of an ONO capacitor. a) Case of a virgin device, with no charge and no bias. b) The structure is submitted to a large negative bias, c) After electron injection the bias is brought back to zero.
• When the gate bias is brought back to zero, most electrons remain stored for a long time on the deep nitride traps. By comparing the initial band diagram (see Fig. 5.23a) to that obtained after a large-amplitude and long-duration bias (see Fig. 5.23c), it appears that the structure with the stored charge is less favorable to the injection of further electrons at both electrodes. A much smaller leakage current will thus be obtained for the same biasing conditions during the second and following biases no matter what the sign of the bias is. It can be noted that electron injection from the gate electrode appears here to be a benefit while it constitutes an undesirable effect in the SONOS memory device. Another advantage of the ONO structure is that weak points or defects in each individual layer have a lower probability to extend throughout the full multilayer. In the ONO structure, some defects of the nitride layer can be cured by the thermal oxidation of the nitride during the top oxide process as illustrated in Fig. 5.24a. Furthermore, if weak spots do exist, a local injection will take place, but the greater trapping of electrons which occurs will eventually reduce the leakage current (see Fig. 5.24b). In order to minimize the size of the memory cell in DRAMs, the storage capacitor, in current technology, is built in a trench of the silicon substrate. The oxidation rate at the comers of the trench is lower due to stress during growth, and thus the oxide is thinner [108].
400
P. Gentil
O
N O
a)
b)
Fig. 5.24 ' Origin of the better yield ofONO structures. a) By oxidation of a pinhole in the nitride layer, b) By charging of the nitride at a weak spot of the layers.
This phenomenon is reduced by using the ONO technology since the nitride layer and the top oxide do not experience this thickness reduction. All the above properties contribute to make the ONO technology more suitable for manufacturing DRAM capacitors. 8.3. T H E MODELING OF THE ONO STRUCTURE
Since the phenomena taking place in ONO structures are identical to those of MONOS memory devices, one uses the basic relations described in Section 3 to model them. This includes: • the continuity equations at the interfaces (Eq.(5.10)) and/or in the nitride layer (Eq.(5.9)), • poisson's equation, • the charge transport equation through the oxide layers. As described in sub-section 7.4, in the absence of tunnel oxide layers, the current is of the Fowler-Nordheim type, given by Eq.(5.11) with ?^=l and Eq.(5.12). • The charge transport equation in the nitride It is given by the Poole-Frenkel law (Eq.(5.20)) if trapping is neglected in the nitride bulk (and is thus assumed to occur only at the nitride/oxide interfaces). • The trapping equation It is given by the model of Amett (Eq.(5.27)), (if only electron trapping is considered) or by the models presented in Section 7 (if both electron and hole trapping are considered). This set of equations differs from that of MONOS memory studies because of the different nature of the electrode. The equation system is also solved for a different purpose since for DRAM capacitors, the most important property is the I-V characteristics in steady state conditions or under a slow voltage ramp [110]. In some experiments [106], it has also been shown that hole injection from electrodes cannot be neglected whatever the bias stress may be.
Multilayer Dielectrics for Memory Applications
401
8.4. PERSPECTIVES O F THE ONO STRUCTURE
The ONO multilayer is an excellent dielectric to manufacture DRAM capacitors. Internal phenomena are identical to those of the MONOS memory structure. The modeling of ONO capacitors is therefore achieved by using the same basic relations as in MONOS memory devices although the purpose of the modeling is different. A considerable amount of experimental work is being carried out on ONO structures to obtain better yields and lower leakage currents [111,115]. Altemative structures for DRAM capacitors are also studied, including multilayer dielectric systems, with insulators having a higher dielectric constant than silicon nitride [116,3-6]. 9. CONCLUSIONS AND PERSPECTIVES The purpose of this chapter was to update the material presented in Chap. 16 of Vol. 2 of this series, published in 1989. Since 1989, numerous works have permitted both a large improvement in the technology of the multilayer dielectrics used for non-volatile memory applications and a better knowledge of their physics. Recent works presented in this chapter mainly deal with the trapping properties of the silicon nitride from a theoretical point of view, in connection with the deposition process used. The results which are definitively acquired are: the existence of a large density of memory traps, distributed in the bulk of the nitride, and the capability of trapping both holes and electrons in the bulk of this material. The physical origin of the traps responsible for the memory effect in the nitride is not quite clear yet. However, the most likely candidate seems to be the Silicon Dangling Bond (SDB). It is now well established that the SDB behaves as an amphoteric trap. However, there is also ample evidence that a large variety of traps exists in the nitride as revealed by the measurement of the energy level of these traps. The existence of amphoteric traps must be taken into account in the modeling of the stored charge but this is not sufficient to explain the precise behavior of every MNOS device in the different modes of operation. Numerous and recent experimental works have shown that it is possible to control, to a certain extent, the density and the properties of the traps by choosing the fabrication process of the nitride, by annealing, and by adjusting the process parameters. When the device dimensions are scaled down, regular MNOS memory transistors display severe limitations. A new structure, made up of three dielectric layers, called MONOS, has been proposed. MONOS memories seem to permit the use of thinner insulators and can operate under lower voltages. Furthermore, the three-layer dielectrics, ONO, allows one to fabricate a memory device with a poly-silicon gate. The resulting SONOS memory transistor can be more easily integrated in a classical MOS or CMOS process on the same chip. Several ways of modeling the memory effect of a MONOS (or SONOS) device have been proposed, which take into account the amphoteric traps of the nitride. The set of equations describing such a device is rather complex. Exact solutions to this problem are only obtained by numerical methods. It is not easy to prove the validity of the solutions because they contain a lot of parameters whose values cannot be directly obtained on a single structure. It appears that the adjustment of some of these parameters is, and will remain, an obligation.
402
P. Gentil
Today, the use of two-layer and three-layer dielectrics still appears as a very important solution to the manufacture of EEPROM memories. The ONO structure is very attractive because of its ability to store information in the nitride and because it permits to scale down the device dimensions, to operate at lower yoltages, and to be more easily integrated in a CMOS process. ONO structures are also promising for other applications such as DRAM capacitor and floating gate in EEPROM. In the latter two applications, the multilayer dielectrics allows lower leakage currents and a better yield. The real impact of these new technologies on the mass production of devices will depend on criteria such as repeatability, global yields, reliability and.. .cost. ACKNOWLEDGEMENTS The author wishes to thank G. Barbottin, A. Vapaille and G.C. Messenger for their critical reading of this text and for their useful comments. He is also grateful to D. Brame for her help in translating some items and for the typing of the manuscript and drawings. LIST OF REFERENCES [I] [2] [3] [4] [5] [6] [7] [8] [9] [10] [II] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25]
Gentil P. (1989) Instabilities in silicon devices, Vol.2, edited by G. Barbottin and A. Vapaille, Elsevier science publishers B.V. (North Holland), 659. Balk P. (1975) J. ofElectr. Mat, 4, 635. Marchanda L. and Gurvitch M. (1988), IEEE Tr, on ED. left., 9, 180. Shinriki H., Kisu T., Kimura S.-I., Nishioka Y., Kawamato Y. and Mukai K. (1990) IEEE Tr. on ED., 31, 1939. Kamiyama S., Saeki T., Mori H. and Numasawa Y. (1991) lEDM Tech. Dig., 828. Koyama K., Sakuma T., Yamamichi S., Watanabe H. Aoki H., Ohya S., Miyasaka Y. and Kikkawa T. (1991) lEDM Tech. Dig., 823. Kong S.O. and Kwok C.Y. (1994) Solid State Electr., 37,45. Kong S.O. and Kwok C.Y. (1994) Solid State Electr., 37, 189. Chu T.L., Szedon J.R. and Lee C.H. (1967) Solid State Electr., 10, 897. Doo V.Y. (1966) IEEE Tr. on ED., ED 13, 561. Appels J. A. and Kooi E. (1969) 3rd conf. on Solid State Devices, Exeter Wegener H.A.R., Lincoln A.J., Pao H.C., O'Connel M. and Oleksiak R.E. (1967) lEDM Conf. Washington. Pao H.C. and O'Connel M. (1968) Appl. Phys. Lett., 12, 260. Frohman-Bentchkowsky D. and Lenzlinger M. (1968) lEDM Conf., Washington. Wallmark J.T. and Scott J.H. (1969) RCA Rev., 30, 335. Ross E.C. and Wallmark J.T. (1969) RCA Rev., 30, 366. Ferris-Prabhu A.V. (1972) Appl. Phys. Lett., 20, 149. Ferris-Prabhu A.V. (1972) Phys. Stat. Sol. (a), 11, 81. Pulver M. and Dorda G. (1970) Phys. Stat. Sol. (a), 1, 65. Dorda G. and Pulver M. (1970) Phys. Stat. Sol. (a), 1, 71 Ferris-Prabhu A.V. (1973) IBM J. Res. and Dev., 17, 125. White M.H. and Cricchi J.R. (1972) IEEE Tr. on ED., ED 19, 1280. Ferris-Prabhu A.V. (1976) Phys. Stat. Sol. (a), 35, 243. Ferris-Prabhu A.V. (1977) IEEE Tr. on E.D., ED 24, 524. Ferris-Prabhu A.V., Lubart N.D. and Medve T.J. (1977) Solid State Electr., 20, 74.
Multilayer Dielectrics for Memory Applications [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [36] [37] [38] [39] [40] [41] [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60] [61] [62] [63] [64] [65]
403
Gentil P., Borel J. and Le Goascoz V. (1972) Onde electrique, 52, 427. Card H.C. and Elmasry M.I. (1976) Solid State Electr., 19, 863. Frohman-Bentchkowsky D. and Lenzlinger M. (1969) J. AppL Phys., 40, 3307. Frohman-Bentchkowsky D. (1970) Proc. IEEE, 58, 1207. Svensson C. (1971) Proc. IEEE, 59, 1134. Svensson C. and Lundstrom I. (1970) Electr. Lett., 6, 645. Lundstrom I. and Svensson C. (1972) IEEE Tr. on ED., ED 19, 826. Lundstrom L and Svensson C. (1972) J. Appl. Phys., 43, 5045. Beguwala M. and Gunckel T.L. (1978) IEEE Tr. on ED., ED 25,1023. Maes H. and Van Overstraeten R. (1973) Electr. Lett, 9, 19. Maes H. and Van Overstraeten R. (1976) J. AppL Phys., 47, 664. Yun B.H. (1973) AppL Phys. Lett., 23, 152. Yun B.H. (1974) AppL Phys. Lett., 25, 340. Arnett P.C. and Yun B.H. (1975) AppL Phys. Lett., 26, 94. Neugebauer C.A. and Burgess J.F. (1976) J. AppL Phys., 47, 3182. Lehovec K., Chen C.H. and Fedotowsky A. (1978) IEEE Tr. on ED., ED 25, 1030. Maes H. and Van Overstraeten R. (1975) AppL Phys. Lett., 27, 282. Endo N. (1978) Solid State Electr., 21, 1153. Arnett P.C. (1975) J. AppL Phys., 46, 5236. Lehovec K. and Fedotowsky A. (1977) IEEE Tr. on ED., ED 24, 536. Lehovec K. and Fedotowsky A. (1977) J. AppL Phys., 48, 2955. Lehovec K. (1977) J. Electr. Mat., 6,11. Lundkvist. L., Lundstrom I. and Svensson C. (1973) Solid State Electr., 16, 811. White M.H. and Cricchi J.R. (1972) IEEE Tr on E.D., ED 19, 1280. Ferris-Prabhu A.V. (1977) IEEE Tr on ED., ED 24, 524. Lehovec K. and Fedotowsky A. (1978) AppL Phys. Lett., 32, 335. Williams R. and Beguwala M. (1978) IEEE Tr. on E.D., ED 25, 1019. Efimov V., Kolosanov V. and Sinistra S. (1978) Phys. Stat. SoL (a), 49, 217. Stein H.J., Picraux S.T. and Holloway P.H. (1978) IEEE Tr on ED., ED 25, 1008. Stein H.J. and Wegener H.A.R. (1977) J. Electrochem. Soc, 124, 908. Kapoor V.J., Bailey R.S. and Turi R.A. (1990) J. Electrochem. Soc, 137, 3589. Kapoor V.J., Xu D., Bailey R.S. and Turi R.A. (1992) J. Electrochem. Soc, 139, 915. Peercy P.S., Stein H.J., Doyle B.L. and Picraux S.T. (1979) J. Electron. Mater, 8, 11. Robertson J. and Powell M.J. (1984) AppL phys. Lett, 44, 415. Kirk C.T. (1979) J. AppL Phys., 50, 4190. Ngai K. and Hsia Y. (1982) AppL Phys. Lett., 41, 159. Krick D.T., Lenahan P.M. and Kanicki J. (1988) Phys. Rev. B, 38, 8226. Warren W.L. and Lenahan P.M. (1990) Phys. Rev. B, 42, 1773. Lowe A.J., Powell M.J. and Elliot S.R. (1986) J. AppL Phys., 59, 1251. Warren W.L., Kanicki J., Robertson J., Poindexter E.H. and Mc Whorter P.J. (1993) /. AppL Phys., 74, 4034. [66] Warren W.L. Robertson J. and Kanicki J. (1993) AppL Phys. Lett., 63, 2685. [67] Phillips J.C. (1979) Phys. Rev. Lett., 42, 1151. [68] Fujita S. and Sasaki A. (1985) J. Electrochem. Soc, 132, 398. [69] Park Y.C., Jackson W.K. and Johnson N.M. (1990) J. AppL Phys., 68, 5212. [70] Martin F. and Aymerich X. (1992) Thin solid Films, 221, 147. [71] Anderson P.W. (1975) Phys. Rev. Lett., 34, 953. [72] Kendall E.J.M. (1968) Can. J. Phys., 46, 2509. [73] Chaudhari P.K., Franz J.M. and Acker C.P. (1973) J. Electrochem. Soc, 120, 991. [74] Katsube T., Adachi Y. and Ikoma T. (1976) Solid State Electr. ,19,11. [75] Lundkvist L., Svensson C. and Hansson H. (1976) Solid State Electr, 19, 221. [76] Kapoor V.J. and Bibyk S.B. (1981) Thin Solid Films, 78, 193. [77] Jeppson K.O. and Svensson C. (1979) IEEE J. Solid State Circ, SC14, 723.
404
[78] [79] [80] [81] [82] [83] [84] [85] [86] [87] [88] [89] [90] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [Ill] [112] [113] [114]
P. Gentil
Miller S.L., Mc Whorter PJ., Dellin T.A. and Zimmerman G.T. (1990) J. Appl Phys., 67, 7115. Martin F. and Aymerich X. (1991) Micro J., 22, 5. Martin F., Aymerich X., Campabadal F. and Acero M.C. (1992) Thin Solid Films, 213, 235. Hampton F.L. and Cricchi J.R. (1979) Appl. Phys. Lett., 35, 802. Agarwal A.K., Chao C.C, Vogel R.H. and White M.H. (1983) lEDM Tech. Dig., 400. Agarwal A.K. and White M.H. (1985) IEEE Tr. on E.D., ED 32, 941. Ginovker A.S., Gritsenko V.A. and Siatsa S.P. (1974) Phys. Stat. Sol. (a), 26,489. Kuhn M. (1970) Solid State Electr., 13, 873. Hampton F.L. and Cricchi J.R. (1979) lEDM Tech. Dig., 374. Neugebauer C.A. and Burgess J.F. (1976) J. Appl. Phys., 47, 3182. White M.H., Dzimianski J.W. and Peckerar M.C. (1977) IEEE Tr. on E.D., ED 24, 577. Takahashi Y. and Ohnishi K. (1993) IEEE Tr. on E.D., 40, 2006. Minami S. and Kamigaki Y. (1991) IEEE Tr. on ED., 38, 2519. Minami S. and Kamigaki Y. (1991) lEICE Tr. Electr., E74, 875. Chu T.L., Szedon J.R. and Lee C.H. (1967) Solid State Electr., 10, 897. Chen P.C.Y. (1977) IEEE Tr. on ED., ED 24, 584. Suzuki E., Hiraishi H., Ishii K. and Hayashi Y. (1983) IEEE Tr. on ED., ED 30, 122. Minami S. and Kamigaki Y. (1993) IEEE TR. on ED., 40, 2011. Yatsuda Y., Hagiwara T., Minami S., Kondo R. and Uchida K. (1982) Jpn J.Appl. Phys., 21, 85. Chao C.C. and White M.H. (1987) Solid State Electr., 30, 307. Libsch F.R. and White M.H. (1990) Solid State Electr., 33, 105. Roy A. and White M.H. (1991) Solid State Electr., 34, 1083. Hu Y. and White M.H. (1993) Solid State Electr., 36, 1401. Yoon S. and White M.H. (1990) J. Electron. Mater., 19, 487. Suzuki E. and Hayashi Y. (1986) IEEE Tr. on E.D., ED 33, 214. Suzuki E., Miura K., Hayashi Y., Tsay R.P. and Schroder D.K. (1989) IEEE Tr. on E.D., 36, 1145. Watanabe T., Menjoh A., Ishikawa M. and Kumagai J. (1984) lEDM Tech. Digest, 232. Manzini S. and Volonte F. (1985) J. Appl. Phys., 58, 4300. Manzini S. and Queirolo G. (1987), Solid State Electr., 30, 587. Nariani S.R., Gabriel C.T. and Hu C. (1994), Solid State Tech., 37, 79. Honlein W. and Resinger H. (1989), Appl. Surf. Sc, 39, 178. Spitzer A. and Baunach R. (1989), Appl. Surf. Sc, 39, 192. Baunach R. and Spitzer A. (1989), Appl. Surf. Sc, 39, 200. Naito Y., Hirofuji Y., Iwasaki H. and Okada H. (1990), J. Electrochem. Soc, 137, 635. Tanaka H., Uchida H., Ajioka T. and Hirashita N. (1993) IEEE Tr. on E.D., 40, 2231. Mazoyer P., Mondon F., Martin F., Guillaumot B. and Hartmann J. (1993) ESSDERC '93 Proc, 595. Yoon G.W., Joshi A.B., Kwong D.L., Mathews V.K., Thakur R.P.S. and Fazan P.C. (1994), IEEE Tr. on ED., 41, 347. [115] Ma Y., Yasuda T. and Lucovsky G. (1994), Appl. Phys. Lett., 64, 2226. [116] Nishioka Y., Kimura S., Shinriki H. and Mukai K. (1987) J. Electrochem. Soc, 134, 410.
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) © 1999 Elsevier Science B.V. All rights reserved.
405
CHAPTER 6
CHARGE PUMPING TECHNIQUES Their use for diagnosis and interface states studies in MOS transistors by J.L. AUTRAN(i-2), B. BALLANDd) and G. BARBOTTINC^)
Key items MOS transistors Charge-pumping (CP) phenomena Interface traps CP current Two-level CP method Spectroscopic CP method Three-level CP method Interface traps characterization Determination of Dj^ (E) Determination of Dj^ (x) Determination of a (E) Explorable energy range Emission modes Submicrometer devices (1) Laboratoire de Physique de la Matiere Institut National des Sciences Appliquees 20, avenue Albert Einstein 69621 Villeurbanne - France
(2) During the writing of this review paper, Centre d'Etudes de Bruyeres-Le-Chdtel with CEA-DAM BP 12 91680 Bruyeres-le-Chatel - France
(3) AEROSPATIALE Service YY/ST Route de Verneuil 78130 Les Mureaux - France
406
J,L, Autran et aL
Abstract of Chapter 6, Charge pumping techniques. Their use for diagnosis and interface states studies in MOS transistors The charge pumping (CP) technique is a powerful tool used to characterize the traps of the Si-Si02 interface in submicrometer MOS devices. It is based on the exploitation of a repetitive process whereby majority carriers coming from the substrate recombine with minority carriers previously trapped in interface states, when the MOSFET is submitted to well-chosen biasing cycles. By taking into account the emission processes which control the exchange of charges at the interface, information concerning the capture cross-section and the energy distribution of the interface states can be obtained. In this chapter, we describe various charge pumping techniques and their applications. We first show that a "pumping current" is detected under certain circumstances in a MOS transistor and we present the fundamentals of the charge pumping technique. We then describe the early version of the two-level (2CP) technique. The first-order modeling is not sufficient to explain all the experimental results found. We thus introduce a more thorough analysis of the various physical phenomena which take place when a triangular, trapezoidal or sinusoidal voltage pulse is applied to the gate electrode. We then review the experimental parameters which affect the CP response of the MOS transistor (the frequency and the profile of the gate pulse, the reverse bias of the source and drain junctions, the device temperature) and we indicate the accuracy and the limitations of the classical 2CP method. We show next how the 2CP method enables us to calculate the average density of the interface states
Charge Pumping Techniques
407
Nous montrons ensuite comment la technique a deux niveaux (2CP) permet de calculer la densite moyenne
408
J,L, Autran et aL
Chapter 6 : Charge pumping techniques. Their use for diagnosis and interface states studies in MOS transistors Table of contents List of symbols and abbreviations used 1. Introduction 2. The charge pumping phenomenon in MOS transistors 2.1. The basic experiment 2.2. A qualitative interpretation of the charge pumping phenomenon 2.2.1. How an inversion layer builds up or disappears 2.2.2. The origin of the charge pumping effect 2.3. A first-order model of the pumped current 2.3.1. The geometric current component 2.3.2. The current component due to interface states 2.3.3. Expression of the total pumped current 2.4. An early approach to the two-level charge pumping method 2.4.1. First version: VQL = V^cc and AVQ increases 2.4.2. Second version: VQH "= Vj^v and AVQ increases 2.4.3. Third version: AVQ remains constant but VQL increases 2.4.4. Possible applications of the second version 2.5. Conclusion on the first-order theory 3. A more comprehensive analysis of the charge pumping phenomenon 3.1. Some recent theories on charge pumping 3.2. Definition of the waveform applied to the gate 3.3. The different processes occurring during one cycle 4. Calculation of the charge pumping current 4.1. Electron and hole contributions to the charge pumping current 4.2. The demarcation emission levels 4.3. Validity of the standard model 4.4. The net charge pumping current 5. Influence of different parameters on the charge pumping current 5.1. Influence of the pulse characteristics 5.1.1. Influence of the pulse frequency 5.1.2. Influence of the pulse shape 5.1.3. Influence of the pulse amplitude 5.1.4. Inversion step duration versus trapping time constant
Charge Pumping Techniques
5.2. Dependence on the source-substrate and drain-substrate reverse bias 5.3. Dependence on temperature 5.4. Discussion: limits and sensitivity of the 2CP method 5.4.1. Limits 5.4.2. Sensitivity 6. Determination of the interface trap parameters 6.1. Calculation of Dj^ and a using I^p curves 6.1.1. Calculation using trapezoidal pulses 6.1.2. Calculation using triangular pulses 6.2. Determination of the trap energy distribution 6.3. Determination of the spatial distribution of interface states 6.3.1. General principle 6.3.2. Method based on the symmetrical modulation of the effective channel length 6.3.3. Method based on the asymmetrical modulation of the effective channel length 7. The spectroscopic charge pumping technique 7.1. Principle 7.2. Spectroscopic signals 7.3. Determination of the trap energy distribution 7.4. Determination of the capture cross-sections 7.5. Sensitivity and accuracy of the spectroscopic method 8. The three-level charge pumping technique 8.1. Definition of the three-level waveform 8.2. Theory of the three-level charge pumping method 8.3. Expression of the charge pumping current 8.4. Validity of the model 8.4.1. The emission time constant window 8.4.2. Limits on the explorable energy range 8.5. Experimental limitations 9. A review of various three-level charge pumping techniques 9.1. First method 9.2. Second method 9.3. Third method 9.4. Fourth method 9.4.1. Principle 9.4.2. Determination of the interface state density 9.4.3. Determination of the capture cross-sections
409
410
y.L. Autran et aL
9.5. Numerical simulations 9.5.1. Analysis of the emission and capture regimes 9.5.2. Basic equations 9.5.3. Simulation results 10. Examples of applications of charge pumping techniques 10.1. Application to the study of a uniform degradation 10.1.1. Degradation of MOS transistors 10.1.2. Degradation of EEPROM memories 10.2. Application to the study of a non-uniform degradation 10.2.1. Case of an n-channel MOSFET 10.2.2. Case of a p-channel MOSFET 10.2.3. An experimental case 10.3 Application to the detection and characterization of border traps 10.3.1. Definition 10.3.2. Characterization using the standard CP technique 10.3.3. Evaluation of the border trap density using the dual-transistor technique 10.4. Application to the evaluation of grain boundary traps in polysilicon TFTs ' 10.4.1. Typical CP characteristics in polysilicon TFTs 10.4.2. Density of grain boundary traps 11. Conclusion Acknowledgements List of references
Charge Pumping Techniques
411
LIST OF SYMBOLS AND ABBREVIATIONS USED* AG Aeff
Cox Csc Dit
nfi m^ F.m-2 F.m-2 J-l.m-2 J-l.m-2
Ei, Ej^bulk Eem,e
J-l.m-2 J-l.m-2 J,eV J,eV J,eV J,eV
Eem,h
J^^V
EG
J,eV J,eV J,eV J,eV J,eV J,eV J,eV J,eV J,eV J,eV
Dit(^s)
E* Ec
Ep Ep.acc EF,inv Epd EpM
Epn Et Ev Es AE f ICP(VGL)
Icp(V3) ^cp Icp,max IDS
Igeom lit Isub
k
J,eV Hz A A A A A A A A J.K-i m
Gate area of the MOS transistor Effective area of the channel (Aeff = WxLeff) Gate oxide capacitance expressed per unit area (Cox = Box/tox) Space charge capacitance (in the semiconductor substrate) Interface trap density Average interface trap density Interface trap density as a function of energy Interface trap density as a function of surface potential Energy level explored by the energy window Lower edge of the conduction band (in Si or Si02) Intrinsic Fermi-level energy, resp. in the silicon bulk Energy level reached at the end of the non-steady-state emission of electrons Energy level reached at the end of the non-steady-state emission of holes Energy bandgap (in Si or Si02) Fermi-level energy (in metal or in silicon) Fermi-level energy in the accumulation mode Fermi-level energy in the inversion mode Dynamic quasi-Fermi level Fermi-level energy in the metal electrode Quasi-Fermi level for electrons trapped at the interface Energy level of an interface trap Upper edge of the valence band (of Si or Si02) Energy level reached during the intermediate step in the 3CP method Energy range explored by the voltage sweep Frequency of the gate pulses Variations of the CP current in the two-level method Variations of the CP current in the three-level method Charge pumping current Maximum charge pumping current Drain-source current Geometric component of the charge pumping current Component of the CP current due to interface states Total substrate current Boltzmann constant (k = 1.38x10-23 j-K-l) Channel length of the transistor, effective channel length
L,Leff * Only those symbols which appear more than once in the text have been listed.
412
J,L. Autran et al,
Ls, L D
m
NA,ND
ns
m-3 mr^ m-2 m-3
Hi Ps
m-3 m-3
q Qcp,e
C C.in-2
Qcp,h
C.m-2
Vem.e
C.m-2
Qem,h
C.m-2
Qit Qox Qn,Qp
C.m-2 C.m-2 C.m-2
Qsc
C.m-2
Qsub QT Qt Sf Sr T Tp tacc
C.m-2 C.m-2 C.m-2 A A K s s
t3 tem,e tem,h tf tfl, tf2 tinv
s s s s s s
Nsub Nit
Width of the space charge regions of the source-substrate and drain-substrate junctions Concentration of acceptor-Uke, resp. donor-like, doping atoms Concentration of doping atoms in the substrate Number of fast interface traps per unit area Concentration of free electrons in the conduction band at the surface of the semiconductor substrate Intrinsic carrier concentration Concentration of free holes in the valence band at the surface of the semiconductor Absolute value of the electronic charge (q = 1.6x10-19 Q Variation in the amount of charge trapped at the interface, due to electron trapping, expressed per unit area Variation in the amount of charge trapped at the interface, due to hole trapping, expressed per unit area Variation in the amount of charge trapped at the interface, due to electron emission, expressed per unit area. Variation in the amount of charge trapped at the interface, due to hole emission, expressed per unit area Density of charge trapped in interface traps, expressed per unit area Density of the fixed oxide charge, expressed per unit area Density of free electrons, resp. free holes, in the inversion layer, expressed per unit area Density of the space charge in the Si substrate, expressed per unit area Total charge flowing to the substrate, expressed per unit area Net pumped charge, expressed per unit area Charge trapped on grain boundary traps, expressed per unit area Spectroscopic CP signal obtained for constant fall time Spectroscopic CP signal obtained for constant rise time Absolute temperature Period of the waveform applied to the gate Duration of the gate pulse during which the substrate is in accumulation Duration of the intermediate (or third) level of the gate pulse Non-steady-state emission time for electrons Non-steady-state emission time for holes Fall time of the gate pulse Consecutive fall times used in the SCP technique Duration of that step of the gate voltage waveform during which the substrate is in inversion
Charge Pumping Techniques
413
^n^^p
nfi nfi
, a
m^
Rise time of the gate pulse Consecutive rise times used in the SCP technique Gate oxide thickness Stress time Time at which saturation occurs in the 3CP experiment when the duration of the intermediate gate voltage level increases Gate voltage which brings the substrate in strong accumulation Source-substrate, resp. drain-substrate, reverse bias Value of the intermediate voltage in the 3 CP method Different values of the intermediate gate voltage level Platband voltage Applied gate voltage Upper level of the gate voltage pulse Lower level of the gate voltage pulse Amplitude of the gate voltage pulse (AVQ = VQH - VGL) Gate voltage which brings the substrate in weak inversion Gate voltage which brings the substrate in strong inversion Gate voltage which brings the surface potential at midgap Reverse voltage applied equally to the source-substrate and drainsubstrate junctions Threshold voltage of the MOS transistor Thermal velocity of carriers Channel width of the MOS transistor Characteristic parameter for a triangular pulse (a = tj-xf) Fraction of free carriers located under the gate which recombine in the bulk of silicon Surface potential of the silicon substrate Surface potential reached during the intermediate step in the 3CP method Surface potential reached when Vacc is applied Potential in the silicon bulk (q^B = Ei^bulk - Epd) Potential linked to the quasi-Fermi level at the interface Surface potential reached when Vinv is applied Total sweep of the surface potential Capture cross-section of interface traps as a function of energy Capture cross-section for electrons, for holes Geometrical mean value of the capture cross-sections
£0
F.m"l
Permittivity in vacuum
tr trb tr2 tox tstress tsat
s s m s s
VMG VR
V V V V V V V V V V V V V
VT
V
Vth
W
m.s"l m
a
-
Y
-
¥s
V V
Vacc VS.VD V3 V3I, V32 VFB VG VGH VOL AVG VH Vinv
^3 ^acc ^PB *PFn linv
A»Ps
a(E)
V V V V V
y.L. Autran et al.
414 8ox, Bsi Te
F-m-1 s
'^em,e9 '^em,h
^
CB,VB CP C-V 2CP 3CP DC DLTS EEPROM I-V GBT QSM MOS MOSFET SCP SRH TFT
Permittivity of silica, of silicon Emission time constant of a trap Emission time constant for electrons, for holes Capture time constant for electrons, for holes Conduction Band, Valence Band Charge Pumping (current or phenomenon) Capacitance-Voltage characteristic (e.g. of a MOS structure) 2-level Charge Pumping 3-level (staircase) Charge Pumping Direct Current Deep Level Transient Spectroscopy Electrically Erasable Progranmiable Read Only Memory Current -Voltage characteristic (e.g. of a MOS structure) Grain Boundary Trap Quasi Static Method (or Measurement) Metal-Oxide-Semiconductor (structure or transistor) Field Effect Transistor in the MOS technology Spectroscopic Charge Pumping Schockley-Read-Hall (formalism and notation) Thin-Film Transistor
Charge Pumping Techniques
415
1. INTRODUCTION In Chapter 12 of Vol. 2 of this book series [1] various electrical techniques, used to measure state densities at the Si-Si02 interface directly in metal-oxide-semiconductor (MOS) devices (capacitors or transistors), were described. These methods included the quasi-static (QSM) and capacitance-voltage (C-V) measurements. Deep Level Transient Spectroscopy (DLTS), the weak-inversion method and 1/f noise measurements. However, many of these techniques have unwelcome limitations. For example, the use of the low frequency C-V technique introduces a large error in the interface trap density (Djt) results when band bending brings the structure either close to accumulation or close to inversion. The Charge Pumping (CP) technique is based on the selective recombination of carriers previously trapped in interface states. By taking into account the various emission processes which participate in the charge exchange at the interface, information conceming the capture cross-section and the energy distribution of the interface states can be obtained. A major advantage of the CP technique is that measurements are carried out directly on MOS transistors, as opposed to other techniques (e.g. C-V) which preferentially use capacitors ; CP measurements thus allow a direct correlation between interface quality in the device and changes in fabrication process parameters. In the last ten years, the CP technique has come into wide use to characterize, both qualitatively and quantitatively, interface states in Field Effect Transistors in the MOS technology (MOSFETs). The prime reason for this is that the technique is easy to implement and has excellent accuracy and resolution: one can detect interface state densities as low as 10^ eV"l cm"^. The CP technique appears currently to be the only reliable technique capable of evaluating interface states in small-size MOS transistors with an excellent accuracy and sensitivity. It has thus become an indispensable tool for the development of present and future Very Large Scale Integration (VLSI) processes. In this chapter, various charge pumping techniques and their main applications are described. In Section 2, we review the basic principles of the two-level charge pumping (abbr. 2CP) technique. We show that, under certain conditions, a "pumped current" is measured in the substrate of a MOS transistor during the rise phase and fall phase of a highfrequency voltage pulse applied to the gate. For an n-channel transistor, this current is due to substrate holes which recombine, either with free electrons contained in the inversion layer or with electrons re-emitted by interface traps. Three versions of the two-level CP technique are described. A first-order theory lets us establish simple expressions for the two components of the pumped current. Because of its shortcomings, this simple theory has been improved upon as described next. Recent models give a comprehensive picture of the various emission, capture and recombination processes which take place during a full CP cycle. In Section 3, we consider two types of gate pulse (triangular and trapezoidal) and we establish the expressions of the charge exchange processes for all six phases of the CP cycle (accumulation, accumulation to depletion, depletion to inversion, inversion to depletion, depletion to accumulation).
416
y.L. Autran et al.
The above results are used in Section 4 to derive a "standard expression" of the CP current. For that matter we define two (demarcation) energy levels which, in the case of an n-channel MOSFET, correspond respectively to the end of the (non-steady-state) hole emission during the rise phase of the gate signal and to the end of the (non-steady-state) electron emission during the fall phase of the signal. We show that if the rise and fall times of the signal exceed - 10-'7 s, the charge pumping current takes a rather simple form which gives us access to the average density of interface traps and to their capture cross-section. In Section 5, we examine the influence of various parameters on the amplitude of the pumped current, namely: the shape, the frequency and the amplitude of the gate signal, the magnitude of the reverse bias applied to source and drain, and temperature. We also show how the measurement parameters, as well as the characteristic parameters of the transistor, limit that portion of the energy bandgap which can be explored and the sensitivity of the method. We show in Section 6 how the CP current obtained by means of the "classical" 2CP technique or its variants, enables us to calculate the average density of interface traps and their average cross-section. It is also possible to calculate the energy distribution of the traps in a wide portion of the bandgap by adjusting the rise time and/or the fall time of the gate signal. Finally, we show that, by modulating the effective channel length of the transistor, we can determine the spatial distribution of the interface traps along the channel. This modulation is obtained by adjusting the source-substrate and drain-substrate reverse bias simultaneously or separately. The so-called spectroscopic charge pumping technique and its variants are described in Section 7. In this method, we exploit a signal Sj. (or Sf) which is the difference between two CP currents measured consecutively and for which only the rise times (or the fall times) of the trapezoidal gate signal differ. This spectroscopic signal defines an "energy window". By varying the temperature of the transistor we can raise (or lower) this energy window in the bandgap. The energy distribution of the interface traps can thus be determined over a much larger part of the forbidden bandgap than with the classical 2-level technique. Likewise the energy distribution of the capture cross-section can be theoretically assessed. Half of the bandgap can be explored by exploiting the variations of Sj. (T), and the other half by exploiting Sf(T). The introduction of a third voltage level in the trapezoidal gate signal adds new possibilities to the 2CP technique. We show in Section 8 that if, after the inversion (or the accumulation) level, we temporarily apply an intermediate level which brings the structure in weak inversion, we can explore part of the gap without the need for simplifying assumptions. Both the energy distribution of the trap density and that of the capture cross-section can thus be obtained. Since the three-level signal is technically difficult to generate, the possibilities of this so-called 3CP or "staircase" CP technique are limited. Although the 3CP can only explore part of the bandgap and enables us to study only those traps which are inside an emission window, it represents the most advanced charge pumping technique to date.
Charge Pumping Techniques
417
In Section 9, we review some variants of the 3CP technique and we give some experimental results. The 3CP technique can be performed at varying temperatures or the third level can be chosen to position the surface potential of the substrate at midgap. A last variant consists in letting both the position of the third level and its duration vary, and in exploiting the differential signal. All these variants of the 3CP make possible the determination of Dit(E) and a(E) over a more or less wide energy range. We then present numerical simulations which corroborate the assumptions we made while establishing the basic equations of the 3CP method. These simulations permit also to take into account, depending on the position of the intermediate level and on the doping concentrations, various modes of carrier emission or carrier capture. We review in Section 10 several other applications of the 2CP technique. We show that this CP technique is the ideal tool to study the degradation of the Si-Si02 interface, whether this degradation is homogeneous (e.g. following an irradiation or a Fowler-Nordheim injection) or non-homogeneous (e.g. following hot electron injection from the drain). We show that the 2CP technique not only enables us to measure variations in Djt but also variations in the amount of trapped charge above the channel (case of EEPROM devices). The 2CP technique allows us also to detect and characterize border traps, i.e. traps located inside the silica layer but close enough to the substrate to be able to exchange carriers with silicon (but with long time constants). In this case the 2CP curves are recorded for decreasing frequencies. The 2CP method has also been used to study grain boundary traps in Polysilicon Thin-Film Transistors (PTFT). This chapter shows that, as dimensions of elementary devices keep shrinking, the CP technique remains virtually unchallenged to study the channel area in small-size transistors. The CP technique and its many variants should be techniques of choice for many years to come. 2. THE CHARGE PUMPING PHENOMENON IN MOS TRANSISTORS The term "charge pumping" was introduced by Brugler and Jespers [2] in 1969. These authors showed the existence of a DC "pumped current" in the substrate of a MOS transistor whose surface is periodically switched from accumulation to inversion by square gate pulses. We present next a first (mostly phenomenological) description of this phenomenon and show how the measurement of the pumped current gives us access to some properties of the interface. 2.1. THE BASIC EXPERIMENT
The basic measurement setup is illustrated in Fig. 6.1, in the case of an n-channel MOS transistor. The gate of the transistor is connected to a pulse generator. Trapezoidal or triangular pulses with adjustable rise and fall times are periodically applied to the gate of the device while the source and the drain are connected together and maintained at a given reverse bias voltage VR with respect to the substrate.
418
/.L. Autran et aL
Switching the silicon surface from accumulation to inversion, with a sufficiently large pulse amplitude, gives rise to a DC substrate current, which can be monitored with a picoanmieter (or electrometer).
m
PULSE GENERATORl
SCOPE
Jh
SOURCE VR-
GATE
SUBSTRATE P-type
^n
DRAIN Fig. 6.1 - Schematics of the experimental setup used for charge pumping measurement, as introduced by Brugler and Jespers [2].
ELECTROMETER
• When no gate pulse is applied, the anmieter indicates a small positive substrate current: it corresponds to the leakage current of the reverse-biased source-substrate and drain-substrate junctions. Because source and drain are at the same bias, no current flows from source to drain. • When the surface of the p-type substrate is periodically switched from accumulation to inversion by means of positive gate pulses, one observes that the substrate current is reversed and becomes negative. Its magnitude increases with the pulse frequency in a linear fashion as long as leakage effects are negligible. • The fact that the amplitude of the DC substrate current varies linearly with pulse frequency is clearly indicative of a "pumping action" whereby a fixed amount of charge is measured at each gate pulse. Since no DC current can flow through the oxide, this charge must be injected across the source-substrate and drain-substrate junctions. A current called Charge Pumping (CP) current is thus shown to flow in the (forward) direction opposite to the leakage current of the junctions, even though these junctions are reverse biased. • For a pumped current to be measured, one finds that the amplitude of the gate pulse must exceed a "threshold" value. This measured threshold amplitude is in good agreement with that measured in MOS capacitors to switch the substrate from accumulation to inversion. As the gate pulse amplitude increases, a sharp rise in CP current is observed, followed by a saturation. • A strong dependence of the pumped current on the geometric characteristics of the transistor is also observed: the current is found to be roughly proportional to the area of the gate.
Charge Pumping Techniques
419
2.2. A QUALITATIVE INTERPRETATION OF THE CHARGE PUMPING PHENOMENON The above basic charge pumping experiment [2] suggests that the CP phenomenon is related to the charge stored under the gate electrode at each cycle. The pumped current may be interpreted as being due to the fact that a fraction of the total charge stored under the gate electrode is lost through recombination with majority carriers coming from the substrate at the end of each cycle. This is confirmed by the fact that the CP current is a linear function of the gate pulse frequency and is proportional to the gate area of the device. 2.2.1. How an inversion layer builds up or disappears To explain how charge pumping occurs, it may be useful to first briefly recall how an inversion layer builds up in a MOS device and how it later disappears. Two cases must be distinguished depending on whether a junction capable of injecting minority carriers is available nearby. • In the absence of junction (and without illumination) The sudden application of a positive and large enough DC gate voltage to a p-doped MOS capacitor generally results in a situation of non-equilibrium in which no inversion layer exists at first but only a deep depletion layer extending far beyond its steady-state depth (see e.g. Chap. 4 of Vol. 1 [1]). Then, as time increases, various sources of minority carriers contribute to the formation of the inversion layer, while the depth of the depleted region decreases. These minority carriers are due to: surface generation, generation in the depleted region, diffusion from the bulk, within a diffusion length, towards the depleted regions, followed by a rapid sweep across the depleted region. However, all these generation processes are slow, typically with time constants ranging from a few milliseconds to a few seconds. • When a junction is present If a junction is located nearby, the transient behaviour of a MOS device is quite different from that described above, since the n-doped region is a source of minority carriers which can be supplied to the inversion layer of the p-substrate. When a DC gate voltage leading to inversion is applied, the potential barrier across the junction is reduced below its equilibrium value for a very short time. This allows a large flow of free electrons from the n-region to the p-region in order to restore equilibrium. The inversion layer can now build up fast and it seems a reasonable assumption to consider that the contribution of the substrate to this buildup may be completely neglected. 2.2.2. The origin of the charge pumping effect In the following discussion, we shall only consider the case of an n-channel MOS transistor (p-type substrate). To discuss the case of p-channel structures, the majority- and minoritycarrier types, as well as the polarity of all applied and internal voltages, would have to be reversed. The existence of a pumped current can be understood as follows.
420
/.L. Autran et aL
• When the transistor is pulsed into inversion (i.e. when gate voltage V Q is larger than threshold voltage VT), the surface of the p-substrate becomes first deeply depleted and the electrons flow from the source and drain regions into the channel. Some of them get captured by the surface states, while others remain free in the inversion layer. • When the gate pulse is removed or when the gate pulse drives the surface back into strong accumulation (i.e. V Q is now smaller than the flatband voltage VFB). the inversion layer disappears. Part of the mobile electrons drift back to the source and drain regions under the influence of reverse bias voltage VR. The mobile electrons which have not drifted yet toward source and drain and the electrons previously trapped in the interface states recombine with the holes coming from the substrate, giving rise to a substrate (pumped) current. 2.3. A FIRST-ORDER MODEL OF THE PUMPED CURRENT
Experimental evidence indicates that the CP current can be split into two components depending on whether recombination occurs via interface traps (surface states) or directly in the substrate bulk. We may therefore express the net pumped charge Qj per unit area and per gate pulse as follows: QT=rQn'^Qit
(6.1)
where Qn is the charge corresponding to the free electrons of the inversion layer, y is the fraction of those (free electrons) which recombine in the bulk and Qit is the charge due to electrons trapped in interface states, which eventually recombine with the incoming free holes. 2.3.1. The geometric current component The first current component is due to free electrons of the inversion layer which do not have time to return to the source and drain regions while the substrate is switched from inversion to accumulation. These free minority carriers recombine with majority carriers (i.e. holes) arriving at the interface. This gives rise to an excess recombination current called "geometric current" (Igeom) because it is proportional to the channel length and width. Its magnitude is neither linked to the density nor to the characteristics of interface trap parameters. The first right-hand side term of Eq. (6.1) corresponds to this "geometric current", yis the fraction of free channel electrons which are readily driven into the substrate rather than towards the junction and which recombine in the substrate bulk. From the MOS theory (see e.g. Chap. 15 of Vol. 1 [1]), the charge in the inversion layer, per unit area, is given by: Qn^O
whenlVcl
< IVjl
Qn - CJVG-VT)
when IVG I > IVJI
(6.2)
Charge Pumping Techniques
421
where Cox is the oxide capacitance per unit area, VQ is the gate-bulk voltage and V T is the threshold voltage of the transistor. The total number of free electrons driven into the substrate can thus be expressed as: Qmob = rCoJVG-VT)
(6.3)
If we multiply Eq. (6.3) by the effective area of the channel and by the frequency f of the gate signal, we can express the geometric component of the substrate current by: Igeom = yfAeffCo,(VG-VT)
(6.4)
where A^ff = WxL^ff represents the effective area of the channel under the gate electrode, W is the channel width and L^ff is the effective channel length. The magnitude of this current component depends on the device geometry, i.e. on the area and geometric form of the gate and on the effective channel length. This component is usually negligible in most MOS transistors because the channel length is always kept small. However, the phenomenon may become important in the case of large geometries (typically for Leff>10^m). Igeom depends also on the shape of the applied pulses [3], as follows: • A very slow decrease in gate voltage leaves sufficient time for rearrangements and most channel electrons get a chance to reach the nearest junction. The slower the decrease, the smaller the recombination current. • For a quick decrease in gate voltage, a larger fraction of electrons gets stuck far away from the junctions, and more holes must flow towards the surface to provide electrical neutrality. The faster the decrease, the larger the recombination current in the substrate. Because this geometric current component contains no information about interface traps, one tries to reduce it as much as possible. It has been shown experimentally [3] that by using sawtooth gate signals, Igeom becomes negligibly small. 2.3.2. The current component due to interface states Another contribution to the CP current involves recombination through interface traps. When the substrate is switched from accumulation to inversion, minority carriers supplied by the source and drain (electrons in our case) flow into the channel and some of them are captured by empty interface traps. When the substrate is switched back to accumulation, these trapped electrons recombine with holes which gives rise to a substrate current. During each cycle, the interface traps are filled again with electrons coming from the source and drain; these carriers are then "pumped" into the substrate. The second right-hand side term of Eq. (6.1) corresponds to this charge-pumping mechanism. A simple interpretation of how interface traps are able to act as a charge-coupling medium is presented in Fig. 6.2 for a p-type substrate. When a gate voltage V Q is applied, the distribution of free carriers in the substrate can be described by Ep^, the dynamic quasi-Fermi level (Ep being the Fermi level when the structure is in equilibrium).
422
J,L, Autran et aL
The distribution of electrons trapped in interface traps is described by Ep^, the quasi-Fermi level of interface electrons. In the following discussion, the system is assumed to be in quasiequilibrium at all times, and thus Ep = Ep^. • When a negative gate voltage VQI is applied (VQI < 0 with respect to the substrate), the energy bands are bent upwards near the interface. The Fermi level at the interface moves towards the valence band. This is consistent with an increase in the probability of occupation of states by holes near the semiconductor surface. Consequently, most of the trap levels are above the Fermi level Ep = Ei and the trapped charge is positive (donor traps are empty). The energy band diagram for the system in accumulation is shown in Fig. 6.2 a.
Vr.i=V.
VG2 = Vi„v
interface states
Fig, 6.2 ' Illustration of the trapping mechanisms at the interface: a) In accumulation, most interface traps are empty, b) In inversion, most interface traps are filled.
• When a positive gate voltage VQI is applied (VG2 > 0 with respect to the substrate), the energy bands are bent downwards near the interface. The Fermi level at the interface moves towards the conduction band. Most of the trap levels are now below Ep = E2 and the trapped charge is negative (traps considered to be donors are filled). This situation is illustrated in Fig. 6.2 b. We consider that all the interface traps whose energy level lies inside energy range AE = E2 - El can emit or capture an electron. The states are thus in quasi-equilibrium with the energy bands. This supposes that the variations in gate bias are slow, compared with the trapping and detrapping time constants x. The distribution of interface traps within the bandgap is generally not uniform. The pumped charge Qn (AE) per unit area, which recombines via interface traps, is given by:
Qi,(AE) = qj^'Di,(E)dE
(6.5)
Charge Pumping Techniques
423
where Ei and E2 are the boundaries of the energy range which is scanned, q is the electronic charge and Dit(E) is the interface trap density at energy E (i.e. the effective number of traps, expressed per unit area and per unit energy). Expression (6.5) can also be written: Qi,(AE)^qDitAE
(6.6 a)
Qi,(A%)^q'Di,A%
(6.6 b)
or
where Dj^ is the mean interface trap density, averaged over AE, and AW^ = ^§2 ' ^ S l ^^ the corresponding scan of surface potential per cycle. Multiplying Qit given by Eq. (6.6 b) by the channel area and by the frequency f of the signal applied to the gate, we can express the pumped current due to interface traps by: Iit-fAeffq'Dit^%
(6.7)
2.3.3, Expression of the total pumped current From Eqs. (6.4) and (6.7) we can deduce the expression of the total pumped current, also called charge pumping current and further on labelled I^p. For | VQ | > | V ^ | , I^p is given by: Icp-rfAeffCox(VG-VT)+fAeffQit
(6.8)
where the first right-hand side term is the geometric current component Igeom ^^^ the second term is the "interface state current component" \ , In practice, Igeom ^^ reduced to a negligibly small value (see § 2.3.1) [2,3]. Current I^p is then directly proportional to Duand can be written: Icp-Iit^fAeffq'Dit^¥s
(6.9)
It follows that an estimate of Dit can be obtained by measuring I^p. 2.4. AN EARLY APPROACH TO THE TWO-LEVEL CHARGE PUMPING METHOD
Let us assume from now on that Igeom is negligible and let us apply a quasi-rectangular waveform to the gate of the transistor while a constant reverse bias is applied to source and drain. The pulse has a constant rise time tj. and a constant fall time tf, an amplitude A V Q = VQJJ - VQL ai^d a period Tp (i.e. a frequency f = 1/Tp). VQH is the upper level (or high level) of the gate voltage pulse and VQL is the base level (or low level).
424
J.L. Autran et al. VA 'GH
Fig. 6.3 - Rectangular voltage pulses applied to the gate of the transistor under test :
4
AVQ
VQI= low level of the gate voltage VQJJ = high level of the gate voltage
'GL
t
AVQ = amplitude of the voltage pulse r = period of the waveform.
Three versions of this simple two-level charge pumping method can be performed: • We can use a VGL value such that the surface is initially in accumulation and then bring the surface towards inversion with pulses of increasing ampUtude. • We can use a VQH value such that the surface is initially in inversion and then bring the surface towards accumulation with pulses of increasing amplitude. • We can linearly increase (ramp) the VQL value, while keeping the amplitude of the pulse constant. Let us examine the characteristics of these three versions. 2.4.1. First version: VQL = Vacc ^^^ ^G increases This method, first used by Brugler and Jespers [2] is illustrated in Fig. 6.4. A charge pumping current appears as soon as V Q ^ exceeds Vpg (zone 2 of Fig. 6.4). This current reaches a saturation level, given by Eq. (6.9) and illustrated by the upper curve (a), when V Q ^ exceeds V^ (AVQ is thus greater than V^ - Ypg). This saturation value (Icpmax) ^^^^ ^^ ^^ed to estimate Dit. let
. . (b) — (a)
cpmax
->V,GH V "VGH VT-. AVG VFB * -VGL= Vace -•t
Fig. 6.4 - Schematic illustration of the 2CP method applied to an nchannel MOSFET. In this case the amplitude of the gate pulse is increased while the low level keeps the substrate in accumulation (VQL = V^^CQ)' The upper curve shows the variations of the resulting pumped current versus VGH- Curve tail (a) corresponds to the saturation level oflcp. Curve tail (b) corresponds to an increase in the saturation level, sometimes observed.
Charge Pumping Techniques
425
A logarithmic increase of the saturation level of I^p has sometimes been observed in zone 3 of Fig. 6.4 (curve b). Declercq and Jespers [4] have suggested that this dependence of the current on the duration of the high and low levels of the gate pulse (the frequency being kept constant) was the result of the capture of carriers by oxide traps located close to the interface, due to a tunneling mechanism. 2.4.2. Second version: VGH = Viny ^^^ ^G
increases
This case is analogous to the previous one except that now the surface is initially in inversion and it is pulsed toward accumulation with increasing amplitudes. The saturation value of Icp, given by Eq. (6.9), is expected when VQL gets below the flatband voltage of the MOS transistor. 2.4.3. Third version: AVg remains constant but VQL increases In this case, voltage VQL increases and brings the top surface from accumulation to inversion. The amplitude AVQ, the frequency f and the rise and fall times (tr and tf) of the gate voltage pulses are kept constant. AVQ is positive and larger than (Vj - Vpg). As shown in Fig. 6.5, when VQL varies from V^cc (for which the substrate surface is in weak accumulation) to Vjnv (foi* which the substrate surface in strong inversion), five regions can be distinguished in the behavior of the substrate current, depending on the value of VQLRegion l:VGL
=>lcp = 0
In region 1, VQL and VQJJ are both below the flatband voltage. Therefore, the surface remains in accumulation or is switched from accumulation to depletion and vice versa. This means that I^p = 0 because the fast interface traps are permanently filled with holes and consequently no recombination current can be measured. Region 2: VGL
426
J.L. Autran et al.
• let
- ^ I ,cp, max
'GL ( V T - AVG)
«VFB
VTVFB
JL'
GH
^GL
ff-tfli
Region 4: Vps < VQI KVJK
VGH
Fig. 6.5 ' Schematic illustration of the two-level method applied to an nchannel MOSFET. In this case, the lower level of the gate pulses is increased and brings the substrate from the accumulation mode to the inversion mode, while the amplitude of the pulse is kept constant. The upper curve shows the variations ofl^^ with VQ^ during one sweep (After Heremans et al. [5]).
^ ^ ^cp ^ ^cpmax
In region 4, I^p decreases from Icpmax (when V Q L + AVQ « Vj) to zero (when VQL « Vj). The recombination process disappears when going from regions (3) to (5), because the channel can no longer be flooded with holes and the surface is driven in strong inversion. Therefore, the falling edge of the ICP(VQL) curve is located at VQL = Vpg. This lets us estimate the flatband voltage of the structure. Region 5: VQI >Vf
=Pl^p = 0
In region 5, VQL and VQH are both above Vj. Therefore, the surface remains in inversion, the channel is permanently formed and the fast interface traps are permanently filled with electrons. Consequently, no recombination current is measured. The only measurable substrate current is that due to the source and drain leakage currents, which generally have negligible values. This means that I^p « 0. Two remarks should be made at this point: • The experimental threshold voltage value, deduced from the rising edge of the Icp(VGL) curve, must be defined as that gate voltage for which the concentration of free electrons at the surface is sufficiently large (for example ns « lO^^ cm"^) so that the fast interface traps below the Fermi level can all capture electrons while the gate voltage is applied. Let us recall that the time constant for electron capture is approximately given by: T
^n,c
=
1 ^th^n^s
(6.10)
Charge Pumping Techniques
427
where n^ is the surface concentration of minority carriers (whose value depends on the surface potential value), v^j^ is the thermal velocity of carriers and a^ is the capture cross-section for electrons. • Likewise, the experimental flatband voltage value deduced from the falling edge of the curve must be defined as that gate voltage for which the hole concentration at the surface is sufficiently large (for example ps - 10^^ cm-^) so that the detrapped electrons can all recombine with the holes while the gate voltage is applied.
ICP(VQL)
From these definitions and for an n-channel MOS transistor, it follows that the experimental V j value increases and the experimental Vpg value decreases when the rise and fall times of the gate pulses decrease [5]. 2.4.4. Possible applications of the second version The most conmionly used version of the CP method is the third one, i.e. that described above. Only region 3 of Fig. 6.5 yields a CP current described by Eq. (6.9). It is possible to go somewhat further and determine the Djt(4^g) distribution using the CP method. This has been done by Elliot [6] who used the second version (described in § 2.4.2). He derived the Dj^ distribution from the rising edge of the ICP(VGL) curve, when V Q L drives the substrate from inversion to accumulation, while VGH keeps it in inversion. For this purpose, it is necessary to determine the relationship between V Q and ^^ to calculate the energy of interface states and to extract Dit(^s) from the ICP(VGL) curve. A preliminary capacitance-voltage (C-V) study can be performed on the MOS capacitor associated with the test device by means of (C-V) measurements or directly performed on the MOSFET under test (in this case, source and drain are shorted to the substrate). The ^ S ( ^ G ) relationship can be derived in two ways: either from the quasi-static (C-V) characteristics, by applying Berglund's method or by using (C-V) measurements in high frequency. A detailed description of these methods and of the measurement setup is given in [1]. Equations (6.5) to (6.7) let us write: 1 dQit ^
Dit(¥s) = —±^= q^ d¥s
1
...
dljt dVc
J
q^fA^ff ^^G ^Ws
..,.
(6.11)
In the above expression, d\/dYQ is deduced from the ICP(VGL) curve and dVo/d^^g is deduced from the ^ S ( ^ G ) relationship. However this method only gives information in a very small region of a few kT's around the flatband position, and not over the whole range from flat band to inversion. It will be shown next that, by taking into account the emission and capture processes, we can obtain the Dj^ distribution over a larger part of the forbidden energy gap by doing a simple experiment and without needing the ^ S ( ^ G ) relationship.
428
J.L, Autran et al.
2.5. CONCLUSION ON THE FIRST-ORDER THEORY
If we neglect the fact that the distribution of interface states is not uniform in the bandgap, the large signal used in the two-level CP technique enables us to determine Dit using Eq. (6.9) and Dit(^s) when the ^ S ( ^ G ) relationship can be established [7-14]. However, this first-order model is unable to explain the fact that, for example, the experimental value of Icpmax• depends on the pulse shape; • depends on the frequency of the signal, in a non-linear fashion, for triangular pulses; • increases when the upper voltage level
(VQJJ)
increases.
A more elaborate model is thus necessary to explain the behavior of I^p and to extract more information (about the trap parameters) from the experimental I^p values. 3. A MORE COMPREHENSIVE ANALYSIS OF THE CHARGE PUMPING PHENOMENON The correct understanding of charge pumping requires that the different mechanisms, which take place when gate pulses are applied, be considered in more detail. We indicate next the most comprehensive theories regarding charge pumping proposed to date and we establish expressions for the various charge exchange mechanisms occurring during a full CP cycle. 3.1. SOME RECENT THEORIES ON CHARGE PUMPING
A theory, originally developed by Sinmions and Wei [15], completely describes the transient recombination mechanism taking place through interface traps, during the transition periods corresponding to the rising and falling edges of the pulse, by an extension of the conventional Shockley-Read-Hall (SRH) theory [16-17]. The transient SRH theory is able to analyze situations where the emission and capture of carriers trapped at the interface do not take place in steady-state conditions. From this transient SRH theory, Kaden and Reimar proposed a detailed model of the charge pumping mechanism in MOS transistors [18-22]. In their model, the probability of occupancy of a particular trap can be approximated by a time-dependent Fermi-Dirac distribution function. Based on this work, Groeseneken et al. [23-24] presented a quantitative model that yields expressions of Dit and of a geometrical mean value of capture cross-sections. These authors thus explain all the phenomena previously not well understood. Their model takes into account the emission of holes and electrons, respectively toward the valence or the conduction band, depending on the state of the Si-Si02 interface traps. We present next this comprehensive analysis.
Charge Pumping Techniques
429
3.2. DEFINITION OF THE WAVEFORM APPLIED TO THE GATE
A voltage waveform with two levels, as described in Fig. 6.6, is applied to the gate of the transistor. It has a rise time t^ and a fall time tf, an amplitude AVQ = VQH - VQL and a period Tp = 1 / f, f being the frequency of the signal. These parameters are indicated in Fig. 6.6 (a) for square (or trapezoidal) pulses and in Fig. 6.6 (b) for triangular (or sawtooth) pulses. In the latter case, an additional parameter a (with 0 < a < 1) is defined by tj. = a / f and tf=(l-a)/f.
Fig. 6.6 ' Waveforms used in the two-level charge pumping technique. Definition of the various parameters of square (or trapezoidal) pulses (a) and of triangular (or sawtooth) pulses (b).
All transition times are assumed to be much larger than the dielectric relaxation time. This allows electrons and holes of the conduction and valence bands to stay in dynamic equilibrium with gate bias at any time. The distributions of free carriers in silicon can thus be obtained by using Epj, the dynamic quasi-Fermi level, and the trap occupancy factor is described by introducing Epn, the quasi-Fermi level at the interface. We also assume that the geometric current is negligible (Igeom = 0) and thus that I^p = \ . 3.3. THE DIFFERENT PROCESSES OCCURRING DURING ONE CYCLE
When V Q increases from VQL (low level) to VQH (high level), with VQL < Vpg and > Vx, the channel goes through three space charge modes: accumulation ( V Q < Vpg), depletion (Vpg < V Q < V^) and inversion (VQ > V^). Let us analyze the emission and recombination mechanisms which are at work during each bias cycle. The voltage waveform of period Tp can be split into five regions, as indicated in Fig. 6.6: an accumulation time (tacc)? a rise time (tr), an inversion time (tinv). a fall time (tf) and again an accumulation time (tacc)-
VQJJ
First phase: accumulation time (t^cc)' ^G = ^GL = ^acc During this time interval, the system is assumed to be in quasi-equilibrium (Ep^ « Ep^). The surface is in accumulation (VQ < 0). The interface states are in equilibrium with the energy bands: the interface traps below Epd are filled with electrons, while those above it are empty. This first phase is illustrated in Fig. 6.7 a.
430
J.L, Autran et aL
Second phase: rise time (t^), Vacc "^^G^^^H ((accumulation -^depletion). When YQ increases, the surface potential is changing at a certain rate : the surface is first depleted (when VQ = Vpg) and then weakly inverted (when Vpg < VQ < Vjj with voltage Vjj defined below). The Fermi level at the substrate surface follows the evolution of the gate voltage and rises in the forbidden gap. The interface states whose energy level lies in that portion of the gap swept by Epd must trap electrons. They first do so by emitting holes towards the valence band (i.e. by capturing electrons from the valence band), as illustrated in Fig. 6.7 b. As long as the hole emission rate from the interface states, i.e. [dQit/dtJ^m^h' remains larger or equal to the charge variation necessary to maintain equilibrium, i.e. [dQi^/dtlgq, the structure remains in equilibrium. This condition can be expressed as: \dQu_'
> 'dQit 1
dt J
em,h
(6.12)
eq
or > 'dQit 1
\dQit'
L dt
em,h
. dt J
= -^'Av
eq
'dVa}-' dVc d'fsj
(6.13)
dt
As explained in Chap. 4 of Vol. 1 [1], the surface potential in silicon^*^ can be expressed as a function of V Q by: ^s
(YG -VFBKOX -27T Cox+rDi,
Qsc
(6.14)
Co,+q'Dit
where Qsc» the space charge in the siHcon substrate^^\ is given by:
Qsc = ±
^Q^Si^A
nexp(-fi\j/,) + fiii/,-l + -^(exp(
Nl
17/2
+PWs)-
P¥s
-^)
(6.15)
with P = q/kT. As soon as the rate of change of Qit imposed by the emission process becomes smaller than the rate required by the voltage sweep at the gate (i.e as soon as Eq. (6.13) is no more verified), the channel is in the non-steady-state regime. The transition from steady-state to non-steady-state occurs at a certain position E^^^^ of the Fermi level at the surface which corresponds to a certain gate voltage Vjj which can be calculated from Eqs. (6.14) and (6.15). Because of the shape of the ^ S ( V G ) curve, this transition point between steady-state and nonsteady-state will always be very close to the flat band voltage Vpg. The charge (expressed per unit area) emitted during this second phase is worth: Qem,h=-qj^'"''''
Di,(E)dE
(6.16)
F,acc
(1)
Use Eqs. (4.8) and (4.9) of ref. [1] with Q^ = - Qsc + Q I^it "^s
Use Eqs. (4.41) and (4.38) of ref. [1]
Charge Pumping Techniques
431
Vacc
^G"^acc interface states
^em,h holes
VH
VG=Vinv
electrons Ec
d)
c) VE
Vacc
Ec Ei Epd
qVo
Ev o holes f)
Fig. 6.7 - The various emission and capture processes occurring during one charge pumping cycle with the two-level method (see text).
432
J,L, Autran et al.
By convention, this charge is negative since it corresponds to a transfer of positive charges from the interface to the substrate (i.e. to the capture of electrons coming from the substrate). The charge pumping current, associated with this regime of hole emission from the interface traps to the substrate, will by convention be considered as positive. Assuming that Dj^ is equal to its mean value Dj^, the charge pumping current associated with this regime of hole emission is then given by: IA = ^ A r / % (^em,A - Ep^acc)
(6.17)
Third phase: rise time (t^), Vff
(6.18)
em,h
Assuming that Dit is equal to its mean value Dj^ the charge pumping current associated with this regime of electron capture by interface traps is given by: ^1 = 'Afi^eff
(EFMV
- Eem,h )
(6-19)
With the sign convention chosen for current I4, current Ii is negative. Fourth phase: inversion time (tinvhVQ = VQfj = V/„y. The gate voltage now exceeds the threshold voltage, and the surface is inverted. The inversion layer builds up and the interface traps get filled with electrons coming from the source and drain junctions, and all the interface traps below Ep j^v ^^ occupied. The system returns to a quasi-equilibrium (Ep^ = Ep j^y). This situation is illustrated in Fig. 6.7 d. Fifth phase: fall time (tA V^^y >VQ>V£; (inversion -^ depletion). When the substrate is pulsed back from inversion to depletion, transient electron emission dominates until VQ = Vp (voltage Vp is defined below). The mechanisms at work during this time interval are illustrated in Fig. 6.7 e. Electrons are emitted from the interface traps and flow back to source and drain in a steady-state regime, until the Fermi level Epj^ reaches level Eem,e (^^ which point VQ = Vp). Energy level E^^^ and voltage Vp play a role similar to the role played by E^jj^ ^ ^ ^ ^H- The negative charge trapped at the interface decreases in magnitude of a quantity Qem,e, due to electron emission.
Charge Pumping Techniques
433
Charge Qein,e' expressed par unit area, is positive and given by: Qem,e=
(6.20)
Assuming that Dit is equal to its mean value Dj^, the charge pumping current (positive with our sign convention), associated with this electron emission phase, is given by: h = ^Atf^jf
(EFMV
- ^em^e)
(6.21)
Sixth phase: fall time (tf), V^* > VG > ^acc (depletion -> accumulation). The recombination mechanisms at work during this time interval are illustrated in Fig. 6.7 f. As VG gets below VE, the transient electron emission is negligible. The trapping of holes becomes important and holes recombine on traps still filled with electrons. To a good approximation, all electrons trapped in interface traps recombine by hole capture. During this phase, the amount of negative charge trapped at the interface decreases further of a quantity Qcp,h. Charge Qcp,h, due to hole capture, is positive and given by: Qcp.h=q\l'''^'
J^itiE)dE
(6.22)
^ F,acc
Charge Qcp,h corresponds to a net transfer of positive charge from the substrate to the interface. If we assume that Dj^ is equal to Dj^, the (positive) charge pumping current, corresponding to the flow of holes coming from the substrate, can be expressed as: h = -^DitMeff
(Eem,e " Ep^acc)
(6-23)
Given our sign conventions, current I3 is negative. 4. CALCULATION OF THE CHARGE PUMPING CURRENT In the previous section, we established expressions: i) for the charge being emitted, or trapped, during various phases of an applied periodic signal; and ii) for the resulting components of the charge pumping current. Let us now try to derive a usable expression of the charge pumping (CP) current itself. 4.1. ELECTRON AND HOLE CONTRIBUTIONS TO THE CHARGE PUMPING CURRENT We have represented, in Fig. 6.8, the various carrier exchanges which take place between the interface and the junctions (currents I^ and I2) and between the interface and the substrate (currents I3 and I4), when the structure is submitted to a periodic signal. "Horizontal" currents Ii and I2 are made up of electrons while "vertical" currents I3 and I4 are hole currents. Although the emission, capture and recombination processes described in Section 3 occur consecutively and not simultaneously during a CP cycle, the fact that these processes are repeated f times each second, allows us to consider currents I^, I2, I3, I4 as permanent contributions which can thus be added algebraically.
434
/.L. Autran et aL
Fig. 6.8 - Illustration of the various currents flowing in an n-channel MOSFET during one charge pumping cycle: Substrate P
Top) Cross-section of the transistor and directions of the various currents. Bottom) Position of the Fermi level (Ep^) in the bandgap and corresponding currents. II is the current due to electron trapping; 12 is the current due to electron emission, (a) during the steady-state phase, (b) during the nonsteady-state phase; 13 is the current due to hole trapping; 14 is the current due to hole emission, (c) during the steady-state and (d) during the non-steadystate phase. (After Groeseneken et al. [24]).
The electronic current, which flows through source and drain, IS/D* is thus given by: h/D
=Il+l2=
-^Atf^eff = -^^^itf^eff
(Ef^inv " ^em,/i ) + ^^tfi^eff
(Ef^v
" ^em,^ ) (6.24)
(Eem,h " ^em^e )
Likewise, the hole current, which flows through the substrate, Isub, is given by: ^sub = ^ i + ^^ = "^^itfi^eff
( Eem,e " ^F^acc ) + Q^itf^ff
= '^Qj^itfKff(Eem,h
( ^em^h " ^F^acc )
- Eem,e )
(6.25)
We note that expressions (6.24) and (6.25) are identical. We can thus write : ^cp = / i + / 2 = ^3 + ^4 = -^Q^itfKff
(Eem,h " ^em^e )
(6.26)
Energy levels Eem,h and Eem,e are called the "demarcation" emission levels. They correspond to the end of the non-steady-state hole emission and to the end of the non-steadystate electron emission. As illustrated in Fig. 6.8, they define a domain of the energy bandgap (hatched area) in which interface traps are involved in the electrical response of the device under test. We need to express them in more detail to be able to derive a usable expression for current Icp.
Charge Pumping Techniques
435
4.2. THE DEMARCATION EMISSION LEVELS
A simple reasoning allows us to assess these demarcation levels. To determine level Egjn,h, we consider that the interface states comprised between Ep^^c ^^^ ^tm,h ^^^^ ^ hole emission time constant T^JJ^ ^ which is small compared with t^jj^ j^ the time period during which these traps can emit holes (t^j^^h ^^ defined later). The closer to the valence band, the easier it is for the traps to re-emit holes into it. According to the SRH theory [16-17], T^m^h ^^^ be expressed as:
^-'''=—WM (TpVthni exp|^
^^
^^^ -^^ J
where Op is the capture cross-section of holes, vth is the thermal velocity of carriers, iij is the intrinsic carrier concentration, Ej is the intrinsic Fermi level, and Et is the energy level of the interface trap being considered. On the contrary, for those interface traps located between Egj^j jj and Ep jn^, the emission time constant is greater than tem h- Demarcation level Egj^ ji is thus given by the condition 'Cem,h = tem,h. which yields: (6.28 a)
Eem.h - Ei = kTln(vth Op Hi t,^^h) Likewise, we would find:
(6.29 a) A more comprehensive analysis, which can be found in Ref. [15] (see Eq. (31)) yields a slightly more complex set of expressions: ^ m , A - ^ ; = + ^ ^ l n | ^th^p^i^em^h
^F,acc + exp
^i I
'Fyinv ^eniye
^i ""
^^ ^^' ^th^n^ihm^e'^^m
(6.28 b)
—
E^
(6.29 b)
kT
The exponential terms of Eqs. (6.28 b) and (6.29 b) are negligible as long as 'F, ace - E i ^
^F, inv
^EA
.This kT kT y J condition is verified in practice when tr and tf exceed a few nanoseconds. Expressions (6.28 b) and (6.29 b) can thus be simplified and reduced to (6.28 a) and (6.29 a). In a first approximation, the non-steady-state emission processes only occur when the surface is depleted, i.e. when VQ is between Vpg and Wj [24]. After Fig. 6.6, the emission durations tem, h and tem, e are thus given by: Vth ^ p « i tem.h
»exp
and ^th ^ n ^ i tern, e » e x p
J.L, Autran et al.
436 for a trapezoidal waveform:
V
^^
Wfe=—IT;—^r AVr
and
r^;^,/j =
(6.30)
for a triangular waveform: f
IvV-V^fila em,e
AW
f
kr-^F^Id-g) AW
(6.31)
/
using parameters tr, tf, f and a defined earlier and represented in Fig. 6.6. 4.3. VALIDITY OF THE STANDARD MODEL
The comprehensive model of Groeseneken et al presented up to now can be considered as the "standard model". It is usable for most applications and has been formulated in different ways [25-26]. A more elaborate analysis of the carrier emission process during charge pumping has been proposed by Van den Bosch et al. to examine the validity of this standard model at temperatures significantly different from room temperature [27-28]. In this model, the thermal (non-steady-state) emission of carriers from interface traps has been analyzed and the theory of non-steady-state charge dynamics in systems containing uniformly distributed traps has been extended to the case of a non-uniform distribution. • Let us consider next the case of hole emission in an n-channel MOSFET. Van den Bosch et al. [28] define a non-steady-state emission function given by: (6.32)
F(Eut) = ep(Et)ll -f(EuO)] txp(-ep(Et)t)
where ep(Et) is the hole emission rate [s'^] for traps of level E^ and f(Et,0) is the occupancy function of these traps when the non-steady-state conditions set in (t = 0). Function F(Et,t) is sharply peaked around a certain energy level Ep ^(t), indicating that only energy levels near Ep ^(t) contribute to the emission process. Solving for Ep ^(t) yields the following good approximation [28]:
(
Ep^h(^) = E,^^h(^) = Ei+kTln\ Vf^iCpHit + exp
£,^,;,(0)-£,\ kT
(6.33)
where E^m^hCO) is the (equilibrium) Fermi level at the onset (t = 0) of the non-steady-state emission (for V Q = VGh(O)). Expression (6.33) is identical to Eq. (6.28 b), with Ep^cc replaced by Eejn,h(0)- Consequently, energy level Ep,h(t) corresponding to a maximum emission from a non-uniform interface trap distribution, corresponds to the non-steady-state emission level obtained for a uniform interface trap distribution. It can be demonstrated that this conclusion is valid down to very short emission times (« 10-9 s) over the entire temperature range studied [28].
Charge Pumping Techniques
437
• For the case of electron emission, an analogous reasoning is followed. It yields: ^em,e (0) "" Ei Ei-kTln Vr/iO-n«j? + exp - •
Ep,eit) = Ee,n,e(^) =
kT
(6.34)
The emission level is reached at time t after the beginning of the non-steady-state emission. Here Eg^ ^(0) is the energy level reached at the onset (t = 0) of the non-steady state emission of electrons. • Consequently for trapezoidal gate pulses, the total non-steady-state emission times for electrons and holes are given by:
Vch^-^FB
yT-VG^e(0) (6.35) Ay,G AV,G where VQ ^(0) corresponds to the energy level E^uj ^(0) and V^eCO) corresponds to Eem^eC^)t.em,e
FatI Tlm0
tf
and t^^^h =
[sj
Fig. 6.9 - Left) Evolution of the emission levels as a function of rise and fall times at 300 K. Einv and Eacc correspond to the equilibrium Fermi levels at the high and low level of the pulse. E^^ and Effj correspond to the equilibrium Fermi levels at threshold and flat-band conditions.
Rise
Time [sJ
0.4
Right) Evolution of the emission levels as a function of temperature for rise and fall times oflps
-^
0.2
*^
0.0
Eeme
(After Van den Bosch et al [28]). 100
200
300
400
TBtnp [K]
For rise and fall times of about lO-^ to 10-5 s, VG,h(0) and VG,e(0) are well approximated by VpB and V T respectively, as stated in the standard model. By inserting the corresponding values of tein,h and tein,e. we get: EemM^r)
=
Ei-hkTln\
\VT-VFB\'
(6.36)
438
J.L. Autran et al.
EemA^f)
=
Ei-kT\n\
\VT-VFB\^
(6.37)
^Vr
These two functions have been plotted and are represented by the square symbols in Fig 6.9. As can be seen, the approximations are sufficiently accurate for rise and fall times above -- a few ns. In Fig. 6.9 b, energy levels Eein,h ^ d Egjn,e have been plotted versus temperature. We note that, by reducing the temperature (e.g. from 400 to 100 K), a much larger energy range can be probed than by only changing the rise and fall times of the pulse (e.g. between 100 ns and 100 ms). In this figure, the energy domain which can be probed extends from 0.15 to 0.50 eV, away from midgap. 4.4. T H E NET CHARGE PUMPING CURRENT
The net CP current measured in the substrate of an n-channel transistor can be obtained by inserting the values of E^^^h ^^^ Eem,e ^^ E^- (6.26). It yields: hp = ^^itfi^eff (Eem^h " ^m,e) = ^^^Wit^ajf
lY^ft^/V^i^VWW
(6.39)
This current is directly related to the mean interface trap density in the channel, the area of the transistor, the frequency and the pulse shape (through tr and tf): • For trapezoidal waveforms, Icp varies linearly with f since the rise and fall times remain constant when f varies. It yields: I,p=2qkTfDitA,ff\v\
VT-V,
^th^i'yl^<'
AVr
v^^
FBI
/
(6.40)
• For triangular waveforms, given the frequency-dependent rise and fall times, we obtain the following non-linear relationship between I^p and f: I,p=2qkTfDi,A,ff\n\
-\VT-VFB\^|a(l-a) ^th^i^|^<^
AVG
f
(6.41)
To illustrate the validity of the approximations made so far, both experimental I^p values and Icp values calculated using (6.40) and (6.41) have been plotted versus f, for both types of pulses, in Fig. 6.10. The gate voltage was switched between - 4 V and + 4 V, the reverse voltage applied to the source and drain was 0.2 V, the temperature was 300 K. The geometrical configuration was chosen to minimize Igeom- The transistor parameters for the different devices used in this study are indicated in the figure caption. The obtained Dj^ value was found to be 3 x lO^^ eV-^cm-^. Experimental and theoretical data agree nicely over two decades of frequency.
Charge Pumping Techniques
439
Fig, 6.10 - The variations of the charge pumping current with frequency are plotted for both trapezoidal and triangular pulses, and for two transistor geometries: W/L = 25 /lO /jm (•) and W/L = 25/2.5 jjun f+j. The continuous lines correspond to theoretical (i.e. calculated) values. N'Channel transistors NA = 2.6xl0^^cm-^ tox = 30 nm Vj^ 0.97 V VFB =
-0.8V
VGL=-4y VGH = -^4V VR = 0.20 V
10
10^
10"
T=300K (After Balland et al [29J).
Frequency (Hz)
5. INFLUENCE OF DIFFERENT PARAMETERS ON THE CHARGE PUMPING CURRENT Let us now examine the influence of several experimental parameters on the CP current, namely: the pulse frequency, the pulse shape (characterized by its rise and fall times), the gate voltage, the reverse voltage applied to the source and drain, and temperature. The dependency of Icp on some of these parameters will be used later on to better characterize the interface traps. 5.1. INFLUENCE OF THE PULSE CHARACTERISTICS
5.1.1. Influence of the pulse frequency In Fig. 6.10 the magnitude of the CP current has been plotted versus frequency for trapezoidal pulses and for triangular pulses (with duty cycle a = 0.5). We note that when f increases, Icp,inax increases also, which is to be expected from Eq. (6.41). We note that for trapezoidal pulses, Icp,max varies linearly with frequency whereas it varies sub-linearly in the case of triangular pulses. This can be explained by the fact that for trapezoidal pulses, tj. and tf remain constant when f increases (only the durations of VQL and VQJ^ decrease) whereas in the case of triangular pulses, tj. and tf decrease slightly when f increases.
440
7.L. Autran et al
When rise and fall times are kept unchanged, over several decades of frequency, it gives rise to a constant amount of emitted charge per cycle and consequently to the same recombined charge per cycle. For triangular pulses, the recombined charge per cycle, given by Qit = Icp,max Z^' ^^ ^ linear function of ln(f). 5.1.2. Influence of the pulse shape We likewise note in Fig. 6.10 that, for a similar frequency, Icp,max is consistently larger for a trapezoidal signal than for a triangular signal. This stems from the fact that, for an identical pulse frequency tj. and tf are longer for a triangular signal and thus that the demarcation emission levels are closer to midgap as visible in Fig. 6.9 a. The distance between E^j^^ j^ and Eem,e S^ts shorter which reduces the value of I^p, as confirmed by Eq. (6.39). 5.1.3. Influence of the pulse amplitude When parameters VQL, f, tj., and tf of a trapezoidal waveform remain unchanged, an increase in V Q H causes an increase in I^^p. Indeed, if we increase VQJJ, and thus AVQ, we decrease the duration of the depletion step of the transistor, and consequently the time available for emission. Therefore, there will be less emission and more recombination, and thus I^,p will increase. Likewise, if VQJJ remains unchanged and we increase VQL, current I^p increases (see Fig. 6.11 a and Eq. (6.40)). 5.1.4. Inversion step duration versus trapping time constant The time during which the channel is in inversion (tj^v) should be long enough to allow the carriers to be trapped by the fast interface traps. When the inversion time becomes smaller than the trapping time constant of the interface states, these are no longer completely filled and I^p decreases because the possibilities of recombination decrease. For example, when using triangular pulses with a VQH level of a few millivolts above threshold, the time during which the gate voltage is above threshold becomes very small (~ 1 ns) and for high frequencies the interface traps can no longer be totally filled. As a result, I^p decreases when f increases. Likewise, the oxide traps located close to the interface may not be filled anymore for pulses of very small duration and thus do not contribute either to the CP current. 5.2. DEPENDENCE ON THE SOURCE AND DRAIN REVERSE BIAS
When reverse bias VR is increased, we observe a variation in charge pumping current. For an n-channel transistor, 4p decreases when VR increases (VR > 0). This is due to the fact that both threshold voltage and channel length vary with VR, as we show next. • V'T varies with VR, due to the bulk effect, according to the following formula [31]: y^ = VpB + 2|^5 \ + \VR\ +
^—^—•
— + AVT
(6.42)
Charge Pumping Techniques
441
with ^VT=-
^^ALS^D^
1+-
2L'5,D
\l/2
(6.43)
~1
^ox
where Lg ^ is the width of the space charge regions of the source-substrate and drain-substrate junctions, N ^ is the concentration of the acceptor-like doping atoms of the p-type substrate, rj is the depth of the source/substrate and drain/substrate junctions, ^ g is the potential of the substrate bulk, and 8si is the permittivity of silicon. From (6.42) we note that an increase in VR leads to an increase of IVpg - Vjl and therefore, as predicted by Eqs. (6.40) and (6.41), to an increase in I^p. • By increasing the reverse voltage applied to the source-substrate and drain-substrate junctions, we increase width LS,D ^ d thus decrease the effective length Leff of the channel, given by Leff = L - 2LS,D- It follows that the effective gate area Aeff and thus Icp decrease. Width Ls,D is given by [31]: ni/2 ^5,D(^/?) =
f;^y.\^A'^s\)
(6.44)
Thus, by using a simple one-dimensional model, the effective gate area Aeff can be expressed as: A^ff
2£,
^W[L--2LSMV^)]^W\L-2\-^
111
(6.45)
The modulation of Leff via VR can be used experimentally to probe the spatial distribution of the interface traps along the channel of the MOS transistor, between source and drain, as explained in Section 6. 5.3. DEPENDENCE ON TEMPERATURE Since carrier emission from the interface traps depends strongly on temperature, CP measurements are also very sensitive to temperature. When T increases, the emission processes from the traps increase while the recombination processes decrease. Because holes and electrons are emitted more readily at higher temperatures, levels Eem,h ^^^ Eem,e ^ ^ closer to Ei with increasing temperature, as visible in Fig. 6.9 b, leading to a decrease of current I^p. As illustrated in Fig. 6.11 a, the maximum of the ICP(VGL) curve decreases when T increases. The spread of the curve edges can be explained by small variations of V^ and Vpg with T.
442
J.L. Autran et al.
By using Eq. (6.40), and after introducing the temperature dependence of all parameters (v^j^ and Uj), we can obtain the theoretical Icp,max (T) characteristic for trapezoidal waveforms. As visible in Fig. 6.11 b, the predicted curve fits nicely with the experimental data which confirms the validity of the emission theory proposed for charge pumping. Van den Bosch et al. [27-28] have expressed the dependence of I^p on T by: I^p=-aT-bTln(T)
(6.46)
+c
where a, b and c are defined by: f3k
a = 2qkfA^ffDit\n\
b = MkfAeff Dit
and
\VT-VFB\
c = qfA^^ D^^ EQ
r—
(6.47)
(6.48)
where k = 1.38x10-^3 j K-1 is the Boltzmann constant, m* is the average effective mass of the carriers, Kj is a constant, and E Q is the silicon bandgap. Parameters m*, Kj, V^, Vpg, A^ff, Djt, and E Q are assumed to be independent of temperature. In Fig. 6.11b, the solid line has been calculated using Eqs. (6.46), (6.47) and (6.48) for a given set of Dj^ and Jo^a^ values. As we shall see in Sect. 7, the spectroscopic charge pumping technique is based on this Icp(T) dependency. 70
L
1
1
1
1
T
1
1
60 50
<
40 30
rL
/'"''''''^ iv-^""
'I
F
-8
a)
i
1 M) 1
1
1
200 K j
250K 1 1 300K 1
20 t 10 0
'
100 K j 150 K j
1
1
V ^ 1 «^i 1
-6 -4 -2 L O W L E V E L V ^ (V)
J T
b)
100 150 200 250 300 T E M P E R A T U R E (K)
350
Fig. 6.11 - Illustration of the temperature sensitivity of Icp: a) Experimental ICP(VGL) curves for various temperatures, b) Experimental data (+) and calculated curve (—) of Icpmax versus T have been plotted for
Charge Pumping Techniques
443
5.4. DISCUSSION: LIMITS AND SENSITIVITY OF THE 2CP METHOD
5.4.1. Limits The four energy levels previously introduced: Ep^^cc Ep,inv' ^em,c ^^^ ^emM depend on several parameters: a^, (Jp, tj., tf, N^ and T. • Levels Ep ^cc ^^^ Ep jj^y have been defined as the positions of the Fermi level when the substrate is in accumulation and in inversion, respectively. Both limits depend on N ^ and T. We can write the following approximate solution [31]: ^F,mv "" El -El - Efface - ^rin
A^^^
(6.49)
V ^i J
These Fermi levels get closer to the conduction band or to the valence band edges when T decreases and/or when N^ increases. During a 2CP experiment, these limits are reached only when the rise and fall times are larger than the trapping time, which itself depends on values of the capture cross-sections. For example, if: N^ = 10^^ cm-^ and T = 300 K, these limits are defined by Ep^^c " ^i = Ej - Ep inv = 0.407 eV. • The amount of pumped charge corresponds to the charge stored in the interface traps located between E^jj^ jj and E^jj^ ^ (i.e. to the hatched area of Fig. 6.8). The expressions of Eein,h ^ d Eein,e (s^^ Eqs. (6.28) and 6.29)) depend on a^, Gp, T, tj. and tf. By decreasing tr and tf, trap levels closer to the edges of the bandgap can be probed, as illustrated in Fig. 6.9 (left). Likewise, by decreasing temperature, levels closer to the band edges can be probed, because of the temperature dependence of the emission process, as illustrated in Fig. 6.9 (right). For example, for AVQ = 4 V, | V ^ - Vpg | = 2 V, T = 300 K, Gn = Gp = lO'l^ cm^ and t^ = tf = 1 |Lis, the limits are Ej - E^jj^ ^ = Eein,e" ^i = 0.235 eV. 5.4.2. Sensitivity The sensitivity of the 2CP technique can be predicted from (6.40) and (6.41), but it depends on the sensitivity of the apparatus used to measure Icp and on the quality of the pulse generator. By using the mean values of the different parameters as determined experimentally, densities as low as 10^ eV-^cm-^ can be measured on transistors of « 1 [im^ channel area at a frequency of 100 kHz. In any case, the technique is much more sensitive than capacitance techniques applied to devices of the same size [30].
444
J,L, Autran et aL
6. DETERMINATION OF THE INTERFACE TRAP PARAMETERS In addition to yielding Dj^, the standard 2CP technique gives us access to the energy distribution Djt(E) on a large part of the silicon bandgap, to the spatial distribution Dj^Cx) along the channel of the transistor, and to the geometrical mean value of the capture crosssections a = JcnCJp . This can be obtained either by exploiting the Icp(f,VQ) curves, or by letting experimental parameters such as t^, tf, VR vary, as we show next. 6.1. CALCULATION OF Djt AND a USING I^p CURVES
6.1.1. Calculation using trapezoidal pulses • Determination of D^^; According to Eq. (6.40), Dj^ can be directly deduced from the measured value of Icp. We obtain: dicp
1
Dit = - ^ df
riTr^
(6.50)
2qkTAgff In AVG\
AVQQ
being the value of
AVQ
for which I^p = 0 (see below).
• Determination of a : According to Eq. (6.40), Icp is a linear function of In(AVG). If we prolong the I^p (In(AVQ)) curve, we obtain value AVQQ for which I^p = 0. It yields:
\^T'^FB\
^th^i^l^n^p —^
I
/rV =^
(6.51)
We can then calculate the geometrical mean value of the capture cross-sections using:
a = Ja^
= ^ , ^^% J—
(6.52)
6.1.2. Calculation using triangular pulses According to Eq. (6.41), the recombined charge per cycle and per unit area (i.e. Qit" Icp/f^eff) is a linear function of ln(f). We can thus write:
Charge Pumping Techniques
Qu = - ^ = 2^^rD,-, l^vthfii^Or^Op] + ln|
445
VT-^FBIM^-OC) AW
(6.53)
/
• Determination of J<Jn<^p ' If we prolong the Qit(f) curve we obtain an f^ value for which Qit = 0. This lets us calculate d as:
d=^^
^Vc
fo ^th^i |\^r-V/rs|V«(i-«)
=—
(6.54)
• Determination of D^: The slope of the Qit(ln f) curve allows the determination of Djj without needing any parameter other than temperature and the gate area. Indeed, by differentiating Q^<^ (given by Eq. (6.53)) with respect to f, we obtain:
A> = "
1 dQu 2qkTd{h\f)
(6.55)
6.2. DETERMINATION OF THE TRAP ENERGY DISTRIBUTION
To determine the energy distribution of the interface traps, we use trapezoidal pulses and we let tr and tf vary independently. • If we let tf vary while keeping tj constant, we can let Egn, g vary while keeping Egjj^^j, constant (see Eqs. (6.36) and (6.37)). Since we have
Qit = qll'""^'Di,{E)dE
(6.56)
"em,h
we can write
dtIf
dti aif
(6.57)
Since we can also write, using (6.37) dE em,e _ kT dt /
(6.58)
446
J.L. Autran et al.
we finally get tf
dlcp
(6.59)
A>(^m,e) = — qkTfAgff dtf
• Likewise, if we let tr vary while keeping tf constant, we obtain in a similar way =
dE,em,h
-qDit(E,^h)-
(6.60)
dty
Since after (6.36), we can also write dEem^h _ kT
(6.61)
we finally get Dit(Eem,h)
= -
(6.62)
qkTfA^ff dtj.
By measuring consecutive CP currents with variable rise and fall times (see Sect. 7), we can easily obtain the energy distribution of the interface states in a large part of the forbidden silicon bandgap, while the temperature can be an extra variable. As an example of the proposed method, Fig. 6.12 shows the Dit(E) distribution obtained on an n-channel transistor with such a technique. The average Dj^ value obtained here agrees with the D^^ value obtained with the method using triangular pulses from curve Qit(f), namely: Dit - 2 X lOlO eV-i cm-2 and a « 2 x 10-15 cm^.
-0.4
-0.2
0
0.2
0.4
Trap energy Et - Ei (eV) Fig. 6.12 - Plot of a Dit(E) distribution obtained on an n-channel submicrometer transistor (Leff = 0.5 fim) using the l-level technique with trapezoidal pulses (After Autran et al [30]).
Charge Pumping Techniques
447
Let us note that in this differentiation, Gn and Gp are assumed to be independent of energy, which is probably not correct [23]. However, around the middle of the energy gap, these capture cross-sections are almost energy-independent, and therefore, the obtained energy dependence of Dj^ is reliable in this region. A more elaborate study on the determination of the Dit(E) distribution will be presented in the following sections which deal with spectroscopic charge pumping and with the 3-level charge pumping technique. 6.3. DETERMINATION OF THE SPATIAL DISTRIBUTION OF INTERFACE STATES
An important application of the two-level CP technique is the determination of the spatial distribution of interface states Dj^Cx) along the transistor channel [11, 29, 32-39]. We present below two methods proposed in the literature for such measurements. 6.3.1. General principle The measurement of Dit(x), along the channel length at the Si-Si02 interface, is based on the modulation of the effective channel length L^ff by the reverse voltages applied to the source-substrate junction (Vg) and to the drain-substrate junction (Vj)), as shown in Fig. 6.13. When Vg (resp. Yjy) increases, the effective length L^ff decreases and the interface states located in the extended depletion zone of the source-substrate junction (resp. drain-substrate junction) no longer contribute to the CP current. By decreasing the channel length step-by-step and by considering the difference between two steps, it is possible to estimate Djt(x) locally at the channel surface if the variations in width of the depleted zones with Vs and V D are known. • Effective channel area of MOS transistors: Until now, the effective channel area A^ff of the MOS transistor was considered as constant in the expressions of I^p or Qj^ and equal to the product of the channel width W by the effective channel length L^ff. If we consider now A^ff as a variable term, we must use a more general expression of I^p given by:
icp(ys>VD) = qWf
2
f ^^^^Dit(E,x)dEdx
(6.63)
where Vg and Vj) are the reverse biases applied respectively to source and drain, Lg and L^ the widths of the depleted zones of the source-substrate and drain-substrate junctions respectively and L the drawn channel length (the x values are counted from mid-channel). As we saw in Section 5 in the case of a simple one-dimensional model, the widths of the depleted zones can be easily calculated from Eq. (6.44).
448
y.L. Autran et al.
• Measurement methods: Two main measurement methods have been reported in the literature. The first one consists in applying the same bias on source and drain (i.e. Vg = V^ = VR) and thus in modulating L^ff in a synmietrical way [11, 29, 32-36]. The second method, more recently proposed, lets one modify Vg and V^ separately [37-39]. We examine next, the calculations, advantages and drawbacks of each method.
- - • X
Fig. 6.13 - Definition of the main parameters used in the determination of the D^/x) distribution along a MOSFET channel (using a one-dimensional transistor model). In this case V^ ^ VQ.
6.3.2. Method based on the symmetrical modulation of the effective channel length This method was first proposed by Maes and Groeseneken [11], then developed and used by other authors [29, 32-36]. In this method, biases Vg and Vj) are equal. Assuming a constant doping concentration along the channel and technologically identical junctions, the modulation of L^ff is symmetrical about the middle of the channel. It follows that Leff = L-2Ls,D. • If we consider a uniform distribution of interface states, then Dj^Cx) = Dj^ all along the channel and I^p is proportional to L^ff. • In the case of a non-uniform spatial distribution of interface states, the mean density of these states, for an effective channel length Leff, is equal to: (6.64) Distribution in a non-degraded transistor: For a non-degraded transistor and since the source and drain junction areas are the same, we obtain by differentiating Eq. (6.64): ^ejf
^^ff.
o
dDit{L,ff)
Ar(—^) = A r ( + ^ ) = Ar(%) + % X ^ ^
'-ff
(6.65)
Charge Pumping Techniques
449
The variation of Leff is obtained by progressively increasing bias VR = Vg = V^; the bias increment determines the spatial resolution of the measurement. For each value of VR (and thus of Lgff), it is possible to calculate Dj^Cx) in the channel, near the source and drain (symmetrical distribution). • Distribution after a non-uniform degradation: Unlike in the previous case, the determination of the Dit(x) distribution after a degradation mechanism (e.g. after hot carrier injection in the channel) requires several calculation steps and measurements before and after degradation [30, 381. 1) Before degradation, the CP current, obtained for trapezoidal signals, is expressed by:
^cpi=^ir^j/2Aviw^
(6.66)
where Ki is a constant extracted from Eq. (6.40):
Ki = IqkTfAgff hv. ^thni^<^nl<^pl
'FB1\
'Tl
AVr
v^^
/
(6.67)
2) After degradation, the CP current is expressed by: f+Lgff II
(6.68)
where K2 is a constant given by:
K2=2qkTfA,ffln\
I
\VT2
~ ^FBl
I r——
(6.69)
In these expressions, a^i and Op^ are the capture cross-sections of the traps before degradation, whereas 0^2 and <5^2 are the capture cross-sections after degradation. The variations in Vpg and V j , generally observed after an electric stress, are mainly due to charge trapping in the oxide bulk. If, to simplify, we assume that Djt is not modified near the source (i.e. that the degradation takes place mostly near the drain), we obtain: ^ejf
'eff
^eff
An(—f-) = A/i(+-f^) = A>2(-^)
(6.70)
450
J.L. Autran et al.
which allows us to write, by differentiation of U = Icn2/I cpl21.cpl
dU (dLeff ^ dV^
Kn
KlU Ko
d(K2/Ki) dV^
2KiU
"1
^eff
AYi(-f)
(6.71)
dV^R J
where ratios U and dU/dV^ are obtained from experimental values of I^pi and \^2 versus VR. Ratios dL^ff/dVR and d(K2/Ki)/dVR can be determined from Eqs. (6.40), (6.42) and (6.45). Using Eq. (6.71), one can calculate the distribution of interface traps created by an electric stress near the drain [29, 32]. Ancona and Saks [34-35] remarked that applying bias VR during the entire polarizing cycle could lead to wrong experimental results, especially for low Dj^ values. Indeed, during the inversion phase ( V Q = Vj^v), source and drain biases could affect the surface potential distribution at the channel extremities. This results in a variation of the filling conditions of the interface states located near the source and drain during inversion, which may lead to important errors in Dj^ values. The authors then suggest to apply V R only during the accumulation phase (by using a second voltage generator synchronized with the gate bias generator). This way, the electric field at the interface during inversion is not modified (VR = 0) but the spatial selection of interface states normally occurs during accumulation (VR9^0)[34].
Figure 6.14 a shows that the difference between the two profiles, one obtained by the classical method and the other one obtained by this "constant field" method (both applied to the same non-degraded device), can be sizeable. The authors conclude that the large increase in Djt values obtained by the classical method could be a measurement artifact. In Fig. 6.14 b, which deals with a degraded device, we see that the difference between the two methods is much less important than for a non-degraded device. Let us note that, in both cases, only a difference in the distribution amplitude is observed: the positions of the different curve maxima coincide exactly, which shows that both measurements give interesting qualitative information. 6.3.3. Method based on the asymmetrical modulation of the effective channel length In this method proposed by Saw and Wu [37], and by Li and Deen [38-39], source and drain are polarized in a slightly different way, as shown in Fig. 6.13 (Vg ^ Vj)). The Djt(x) distribution near the source can be obtained by varying V^ while keeping Vg constant. By differentiating Eq. (6.63), we obtain: Dit{--
+ Ls) =
1 q^JW{E^em,e
v-l dLs_ fdl cp
^em,h )[dVs
dVc
(6.72) Vj)=cst
Charge Pumping Techniques
451
o
Z
'
CONSTANT FIELD j METHOD
13
a)
Position along channel (jam)
b)
1.15
Position along channel (\xm)
Fig . 6.14 - Comparison oftheDi/x) distributions obtained by a symmetrical modulation ofL^^ for an n-channel MOSFET: a) virgin sample ; b) sample stressed 3000 s under VQ = Vj^^ = 3V. The experimental results have been obtained both with the standard method ( ) and with the constant field method (—-)(see text) (After Ancona et al [34]).
Likewise, the Dj^ distribution near the drain can be obtained by varying Vg for a given V^ value, which yields:
Du(--L^)
=
1
q^fW{E,em,e
^em,h)
dV
(6.73) ^^Vs=cst
With this method, no assumption about the initial Dj^ distribution is necessary to calculate the final D^^(x) profile. Especially, there is no need to make the restrictive hypothesis that the Dit(x) profile in the channel be the same near source and drain before degradation. To conclude, let us emphasize the increasing importance of simulation tools for a precise calculation of the Ls(Vs) and L£)(V£)) relations, especially for submicrometer devices and LDD (Lightly Doped Drain) ones. For such devices, Eqs. (6.44) and (6.45) are no longer appropriate because short channel effects and non-uniform doping render the relation between the depleted zones and the biases applied to the device more complex. Figure 6.15 shows different Djt(x) profiles obtained for an n-channel LDD transistor in the drain area. The curves have been obtained by means of the asymmetrical modulation of Leff associated with twodimensional numerical simulations (using the PISCES® software).
J.L. Autran et al.
452 itU
-
's "b
« ^
^ S T R E S S TIME = 6.2 HOURS
15 -
[•
Fig. 6.15 - Interface state densitieSy after a hot-carrier injection, measured in the channel drain area of an n-channel LDD transistor. These curves have been obtained by performing an asymmetrical modulation of L^^ (After Shaw and Wu. [38]).
W*
00
» ^ ^ ^ ^ 1.5 HOURS
^
10 -
1
5 -
1
0.1 HOURS 0 1.06
.^^^^^^"^"^"^^^Jx
1
1
,
1.08
1.10
1.12
^
>
1.14
^ 1.16
, — i 1.18
1.20
Position (i^m)
7. THE SPECTROSCOPIC CHARGE PUMPING TECHNIQUE In the classical procedure reviewed in Sect. 6, the bandgap is scanned by applying pulses with variable t,. or tf times. This variation in transition times defines different energy windows from which the CP signal is measured [24]. In the procedure described next and proposed by Van den Bosch et al. [27-28], only one energy window is defined (in the upper or lower half of the bandgap) and is forced to move through the bandgap by changing the sample temperature. This so-called "spectroscopic" method has several advantages: it lets us explore a part of the bandgap which is larger than in the classical approach, it simplifies the processing of the obtained data, and it theoretically yields information about capture cross-sections separately, using the fact that carrier emission by interface traps is temperature-dependent. 7.1. PRINCIPLE
The spectroscopic CP method consists in monitoring and substracting the CP currents obtained with a trapezoidal signal for two distinct and consecutive tj-j and \^2 values of the rising edge of the gate pulse, while keeping the falling edge unchanged; the other parameters being likewise kept unchanged (as shown in Fig. 6.16 a). The difference between the two CP currents is due to the fact that hole emission stops earlier (i.e. Eem,h (tri) < Eem,h (tr2)) when the rising edge is sharper (i.e. tj-i < tj.2). By substracting the two signals, we obtain a third signal whose magnitude depends on the portion of energy bandgap thus scanned. The lower half of the bandgap can thus be scanned by an energy window (defined by t^j and \^2 ^^ constant tf) forced to move through the bandgap by varying the sample temperature as illustrated in Fig. 6.16 b. Likewise, the upper half can be scanned by using two distinct tf values (while maintaining tj. constant) and by varying T.
Charge Pumping Techniques
453
Ec -'^F,inv
'em,h(tr2)
Kr
3 Eem,h(trl)
- _ - - - _ - - • EF,acc
Fig. 6.16 - Illustration of the spectroscopic charge pumping technique, a) The emission window in the lower half of the bandgap is defined by 2 distinct values of the rise time, the other transition times of the signal being kept constant, b) Distribution of interface states and domain of the energy bandgap which can be explored by the SCP technique using the signal defined in (a). (After Autran et al. [40]). 7.2. SPECTROSCOPIC SIGNALS
• We call spectroscopic signal (symbol Sj.) corresponding to the energy window defined by tj.1 and tj.2 at constant tf, the differential CP current given by: Sr{trl.tr2)^hpitr2^^f)-hpitr\.tf)
(6.74)
and EQJ^ the mean energy level corresponding to window (t^i, \^2)' F^^^ Eq. (6.36), EQ^ can be expressed by: IVJ-V/TBI tr\-^tr2 (6.75a) E^f. = El + kTin\ <^p^th^i AVG
(6.75b)
= Ei + knn[(JpVthniP t^r]
where tor is the mean rise time (tj.^ + tj.2) /2 and P is ratio IV^ - Vpgl /AVQ. Let us note that EQJ is temperature-dependent, and thus that a temperature scan corresponds to an energy scan in the lower half of the bandgap. Using Eq. (6.26), the expression of Sj. can be simplified into: S^ (^rl' ^r2 ) = ^^eff
^it i^or )[^em,h (^rl) "' ^em,h (^rl)J
(6.76)
which, using Eq. (6.36), can also be written as: Sr{tri.tr2)
= qfA,ffDi,{E^rW\A
^
Vr\) Density Dit(Eor) is thus linearly proportional to the measured spectroscopic signal.
(6.77)
454
7.L. Autran et al.
• Likewise, we call spectroscopic signal (symbol Sf) corresponding to the energy window defined by tfj and tf2 at constant tj., the differential CP current given by: ^/(^fl^^fl)^
^cpi^r^^fl)" ^cpi^r^^fl)
(6.78)
Signal Sf can also be written: SfitfiJf2)
= qfAeffDi,(E^f)kT\n\
^2
(6.79)
l^/l if we call Dit(Eof) the mean value of the interface states density at E^f, E^f being the mean energy level corresponding to window (tfj, tf2). From Eq. (6.37), level E^f can be expressed as: E^f = El - ^ r i n | <^n^thni
•
—
(6.80a)
AVG
= Ei - kT ln[cT„v^/^n;j3 t^f ]
(6.80b)
Level E^f is temperature-dependent, and a temperature scan corresponds to an energy scan in the upper half of the bandgap. • Quantities AEj. = kT In (tr2/tri) and AEf = kT In (tf2/tfi) are the effective energy intervals which contribute to Sj. and Sf. They can be interpreted as the widths of the trap emission distribution functions, as originally found in the CC-DLTS theory. These quantities determine the (energy) accuracy with which Dit can be measured. This accuracy decreases linearly with temperature. As an example. Fig 6.17 shows a number of spectroscopic signals obtained on an n-channel MOSFET. We note that the Sj. signals are almost identical (for a given T) as long as ratio trl/^l remains constant. 7.3. DETERMINATION OF THE TRAP ENERGY DISTRIBUTION
As seen in Eqs. (6.77) and (6.79), the experimental Sj. and Sf values vary linearly with Dj^. Thus, for a given temperature and a given set of tj. (or tf) values, Djj(E) can be calculated using the Sj. (or Sf) values and the experimental and device parameters. By varying the sample temperature, it is possible to determine Dit(E) on almost the entire bandgap as illustrated in Fig. 6.18. To each value of T corresponds a value of E^ given by Eqs. (6.75) or (6.80). Energy level EQ can be computed for each T value if a values are known (or assumed).
455
Charge Pumping Techniques
Fig. 6.17-(a) Spectroscopic signals obtained on an nchannel transistor for different emission windows defined by two distinct values of the rise time (with tf=l ps).
80
120 160 200 240 280 320 360 400 Temperature (K)
a 250 - 500 ns + 500 ' 1000 ns 0 1000 - 2000 ns X 2000 - 3000 ns
25
(b) Spectroscopic signals obtained on the same device for different emission windows defined by two distinct values of the fall time (with t^ = 1 ps) (After Autran et al. [40]).
80
120 160 200 240 280 320 360 400
Temperature (K) Fig. 6.18 - Example of Di/E) distributions obtained by spectroscopic charge pumping, assuming that 7^ = a := 5x10'^^ cm^ (After Autran etal.[30]).
-0.6
-0.4
-0.2
0
0.2
0.4
Trap energy Et - Ei (eV) 7.4. DETERMINATION OF THE CAPTURE CROSS-SECTIONS
Let us for example consider the case of hole capture cross-sections. When applying more than two distinct values of pulse edge, the bandgap is scanned by more than one emission window, giving rise to several spectroscopic signals. Information about Gp can be deduced from the shift between two S^ signals recorded with different sets of rise and fall times (t^i, tj.2 and tj.3, tj.4) if we assume that Gp is independent of T.
456
J.L. Autran et al.
An average energy level E*, confined in the lower part of the bandgap, corresponds to an emission window (t^i, ti.2) at temperature Tj, but can also be reached at temperature T2 by a second window (tj3 and 1^4). From Eq. (6.77), it follows that: In
Jr3
(6.81)
In and if the rise time ratios are chosen equal: i.e. tj2/tri = W^rS' Eq- (6.81) can be rewritten: (6.82) The energy level E* is given by: E* = Ei + kTi ln[(TpV,;,n,-^ t^n ]
with
t^ri =
E*=Ei+kT2lr{cpVfhnifit„r2\
with
t^r2=^^^^Y^
2
(6.83 a)
or ^^'^^^^
where to^j and tor2 are the average rise times corresponding to the two applied windows. If we suppose that Op is independent of temperature on interval [Tj, T2], then, by combining (6.83 a) and (6.83 b), we can write: T2
(yo(E) =
1
T1-T2
71-72
Wl(VrA«/^)l
(6.84)
Subscripts 1 and 2 of (v^j, nj P) refer to evaluations carried out at temperatures Tj and T2 respectively. Therefore, it is in principle possible to obtain the ap(E) relationship for the measured traps in the lower half of the bandgap. A similar procedure can be used to determine the an(E) distribution in the upper half of the bandgap. 7.5. SENSITIVITY AND ACCURACY OF THE SPECTROSCOPIC METHOD
In theory, it is possible to scan the entire bandgap using the spectroscopic CP technique. In practice, the levels that can be reached are confined to a somewhat smaller interval. The accessible energy levels depend on the parameters of the trapezoidal pulse (i.e. on rise and fall time values), on temperature, and on the values of capture cross-sections, as can be deduced from Eqs. (6.79) to (6.84). The lower the value of these parameters (minimal temperature and tr f « 1 ns), the closer to the band edge we are able to measure. The farthest levels are more than ~ 0.5 eV away from midgap.
Charge Pumping Techniques
457
In order to reach deep levels (i.e. in order to bring Eor close to the midgap), temperature should be as high as possible and rise (fall) times should be as long as possible (see Fig. 6.9 a & b). However, a very high temperature results in high reverse leakage currents, and consequently, in lower measurement accuracy and larger errors. Broad pulse edges imply low measurement frequency and thus low current levels, which again limits accuracy. Consequently, an energy interval of --0.25 eV centered around midgap (as illustrated in Fig. 6.18) cannot be scanned with this method. The lowest measurable trap density is determined by the current level and the sensitivity of the ammeter. For a given frequency, the CP current is proportional to the gate area of the transistor. The measurement sensitivity of the spectroscopic CP technique ranges from - 10^ eV-lcm"2 to ~ 10^^ eV-^cm-^, depending on the size of the transistor under test. 8. THE THREE-LEVEL CHARGE PUMPING TECHNIQUE The previous methods are basically transient techniques which use the SRH modeling of the behaviour of interface states to determine a time-dependent occupancy function. These methods tend to yield Dit profiles which are highly sensitive to errors made either in the trap model or in the determination of the modeling parameters. Furthermore, in order to be selfsufficient, these methods are based on rather gross modeling assumptions, e.g. that capture cross-sections are energy-independent. In this section, we present an improved CP technique which uses three voltage levels. As we show next, this 3-level or staircase charge pumping (abbr. 3CP) technique, first introduced by Tseng [41] in 1987, enables us to determine both Dit(E) and a(E), i.e. the capture crosssections of the traps as a function of their energy level in the silicon bandgap. 8.1. DEFINITION OF THE THREE-LEVEL WAVEFORM
In the 3CP technique, one uses a trapezoidal waveform which has been modified to include a third voltage step (V3), during the rising or the falling phase, as illustrated in Fig. 6.19. The duration (t3) and the value (V3) of this third level are key in determining the Dit(E) and a(E) distributions. Let us note that the basic experimental setup used for 3CP measurements is similar to that described in Fig. 6.1. The main experimental difficulty is to correctly generate the 3-level gate signal waveform with a sufficient accuracy. A gate signal of high voltage stability and high time resolution is indeed a prime condition to measure the fine changes in CP characteristics due to interface traps [30]. As illustrated in Fig. 6.19, the pulse period (Tp) can be subdivided into 6 time intervals: an accumulation time (t^cc)? ^ rise time (t^), an inversion time (tinv)? a first fall time (tfj), a step (or intermediate) time (t3) and a second fall time (tf^). Three voltage levels are also defined: a strong accumulation voltage Vacc (Vacc < ^ F B ) . an intermediate or step voltage V3 (V^cc < V3 < Vjnv) and a strong inversion voltage Vjj^y (Vjj^y > V^). Since a quasi-equilibrium exists when these three levels are applied, three corresponding surface potentials can be defined, namely: \f^^^, \|/inv and 11/3.
458
y.L. Autran et al.
All transition times are assumed to be much larger than the dielectric relaxation time which allows electrons and holes in the conduction and valence bands to stay in dynamic equilibrium with V Q at all times. 8.2. THEORY OF THE THREE-LEVEL CHARGE PUMPING METHOD
A model for the 3CP method was first proposed by Tseng [41], then developed by Chung and MuUer [42]. In the following analysis (after [42]), the distribution of the free carriers in the substrate can be described by Epj while the distribution of electrons trapped in interface traps is described by Epn- The geometric current is assumed to be negligible (Igeom = 0)- ^^^ pumped current is thus only due to interface states (I^p = lit).
Fig, 6.19 - Typical profile of the gate voltage pulse used in the 3CP technique in the case ofn-channel MOS transistors.
The analysis, figures and discussion presented next apply to an n-channel transistor (i.e. to a p-type substrate). For p-channel structures, the majority- and minority-carrier types as well as the polarity of all applied and internal voltages must be reversed (using a complementary voltage pulse). Let us examine now the different processes occuring during one cycle. The accumulation phase - During time interval t^^,^, VQ =Vacc < 0 ^^d the semiconductor surface is in accumulation. If t^cc is long enough, the system is assumed to be in quasiequilibrium (Epj = Ep^). All interface states whose energy level lies below Ep^ are filled with electrons while interface states above Ep^j are empty. The surface potential is less than (or equal to) zero. A large concentration of holes and a negligible number of electrons exist at the surface. The situation is that illustrated in Fig. 6.20 a. The rise phase - During the transition period, from accumulation to inversion, i.e. t^, Epj^ rises and additional interface states begin to fill (with electrons) by emitting holes towards the valence band (into the substrate). The exchange mechanisms at work during this time interval are illustrated in Fig. 6.20 b.
Charge Pumping Techniques
459
A demarcation-level E^m h' which lies between Ep^Ctacc) and Epi^(tinv) can be defined (see § 3.3). Interface traps below E^^^h ^ ^ filled primarily by emitting holes into the substrate while interface traps above E^^ ^ ^ ^ filled primarily by electrons supplied from the source and drain. The charge, corresponding to hole emission, is given by Eq. (6.16) and the charge that fills the empty traps by electron capture is given by Eq. (6.18). Both (6.16) and (6.18) are recalled below. The magnitudes of Qem,h and Qcp,e depend on several parameters, namely: ^eff' ^it' ^' ^acc ^inv ^FB' ^T ^^^ on the capture cross-sections of interface traps. If tj., Vacc» Vinv are kept constant, Qem,h and Qcp,e ean be assumed to be independent of tf^, t3, tf2 and V3. Qem,h=-
Dit(E)dE
(6.16)
^F,acc
Qcp.e=-q\l'"''
Du(E)dE
(6.18)
The inversion phase - During this period, of duration tj^v* the semiconductor surface is strongly inverted and the inversion n-channel builds up. The system returns to quasiequilibrium (Epj = Ep^). The surface potential is equal to or greater than 2 ^ ^ . A large concentration of electrons and a negligible number of holes exist at the semiconductor surface, as illustrated in Fig. 6.20 c. The electron capture process dominates over the hole emission process in filling the interface traps. The first fall phase - The gate voltage drops from Vjj^y to V3 during time tfi. The exchange mechanisms which take place during this time interval are illustrated in Fig. 6.20 d. As V Q decreases below V^, transient electron emission dominates until all interface traps above level E3 = - c^i^ are emptied into the conduction band. Electrons in traps below level E3 are not affected since E3 is much larger than Ep^^^^ and hole capture can be considered as negligible. The total charge, trapped per unit area of interface varies of a quantity Qem,e due to electron emission, which can be expressed as: Qem.e=q\^„^"''Du(E)dE
(6.85)
The emitted electrons flow into the substrate. A geometric current can occur if the emitted electrons do not immediately drift to the source and drain terminals. The intermediate (step) phase - Assuming that the duration of the intermediate phase, t3, is kept sufficiently long (longer than the emission time constant T^j^^e ^f the traps), the interface reaches a quasi-equilibrium. The semiconductor surface is in depletion or weak inversion and the occupancy factor of the traps tends towards its quasi-equilibrium value. We can write: Ep^ = Epn3 = - q4^3. At the end of the step, all interface traps above Epn3 are virtually empty while states below Epn3 remain filled with electrons. All previously filled traps whose energy level is above Epn3 have emitted their electrons into the conduction band. These electrons drift via the channel to the source and drain. This situation is shown in Fig. 6.20 e.
460
J.L, Autran et al.
interface states
hole emission
Ei
qVo. Epd =-qv|/3
Epd
%
Ev
hole capture
e)
f)
Fig. 6.20 - Carrier exchange mechanisms occuring during (a) the accumulation phase, (b) the rise phase, (c) the inversion phase, (d) the first fall phase, (e) the intermediate step phase and (f) the second fall phase. See text for more details (After Chung and Muller [68]).
Charge Pumping Techniques
461
The second fall phase - The exchange mechanisms which take place during this second transition phase of duration tf2 are illustrated in Fig. 6.20 f. Voltage VQ decreases from V3 to V^cc and transient electron emission should be the main mechanism. However, for short fall times (e.g. a few nanoseconds), VQ reaches Vpg so quickly that electron emission is negligible. With a good approximation, we can assume that the electrons trapped in interface states below - q^3 cannot be re-emitted toward the conduction band but rather disappear by hole capture. Therefore, the interface-trapped charge per unit area varies, due to hole capture, of a quantity given by: Qcp,h=qU^'Di,(E)dE
(6.86)
''F,acc
8.3. EXPRESSION OF THE CHARGE PUMPING CURRENT
By varying the parameters of the third level, i.e. bias V3 and duration t3, we can select the interface states involved in each charge pumping cycle. One of the energy limits of the domain scanned in the bandgap depends on the value of V3 whereas the value of t3 determines which are the traps, in this energy window, that can emit their electrons. From Eqs. (6.16) and (6.86), the total charge per unit area pumped from the interface into the substrate, Qsub' ^^^ ^^^^ waveform period, can be written: Qsub = Qit = Qem,h + Qcp^h = ^yf'
Di,iE)dE
(6.87)
em,h
Likewise, the total charge per unit area pumped from the source and drain into the interface states, for each waveform period, can be expressed, using (6.18) and (6.85), as: QsiD = 'Qsub = Qcp.e + Qem.e = " ^ J;,
A r iE)dE
(6.88)
The charge pumping current due to interface states can thus be written as: lap = ht = fAeff Qsub = q fAeff L
A v (E)dE
(6.89)
Let us now differentiate Eq. (6.89) with respect to ^2 ^ ^ assume that Egjjj^jj is independent of ^2- By expressing the partial derivative of 1^.^ with respect to VQ and solving for Djt(*P3), we obtain the density of interface traps: 1
dicp
Ar(^3) = - 2 7 : ^ id^o ;? q fAeff
(6.90)
462
7.L. Autran et al.
As seen in Eq. (6.90), only the effective area of the device and the frequency are needed to obtain Dj^ (^3). In practice, we measure I^p as a function of gate voltage V3, which leads to:
Dit(^3) =
1
dl^j, dV. cp
q fAeff
dV^ J^a
(6.91)
Equation (6.91) indicates that Dit can be determined from the functional dependence of I^p with V3 if we know the relationship linking ^ 3 and V3. This ^ S C ^ G ) relationship can be obtained by means of C-V or I-V measurements [1,43]. According to the hypotheses made above, this method yields distribution 01^(^3) only for those states whose emission constant T^ni,e ^^ comprised between tfj and t3 (tf^ < %^^Q < t3), i.e. those states which have re-emitted their charge after the first transition time and before the end of the intermediate step. Consequently, the I^p (V3) curve displays three distinct regions, as illustrated in Fig. 6.21. • In region A, voltage V3 places the Fermi level Epj^3 close to the midgap, where some interface traps have time constants such that T^m^e >^ ^3. These traps always contribute to the CP current (i.e. electrons trapped on these levels always recombine with holes because they are not emitted during t3). It follows that Eq. (6.91) does not apply and cannot be used to estimate Dj^. • In region B, level Epj|3 is located in that part of the bandgap where interface states fall within the window defined by tf^ < x^^^ ^ < t3. The slope of the Icp(V3) curve is then directly proportional to Dj^, as analytically given by Eq. (6.91). cp
4 Fig. 6.21 - Shape of the theoretical charge pumping current as a function of intermediate voltage 1^3. All timing and voltage features of the gate waveform are kept constant, except V^ (After Tseng [41]).
^V3 • In region C, level Epna is confined in a region of the bandgap close to the conduction band edge and some traps may have a time constant x^^^e "^ ^fV These traps always emit their electron during the first fall phase and are not detected during the measurement cycle. It follows that the Icp(V3) curve is not proportional to Dj^ in that region.
Charge Pumping Techniques
463
8.4. VALIDITY OF THE MODEL
8.4.1. The emission time constant window In the case of the 3-level waveform of Fig. 6.19, only those interface traps whose emission time constant T^m^e li^s inside the "time-constant window", i.e. such that tfj < x^j^e < 13, can be detected by the 3CP technique. To illustrate this, let us consider two particular cases that fall outside the time-constant window. • First case: An electron which occupies a trap above -q^3 but whose electron-emission time constant verifies x^jj^^ > t3, does not have sufficient time to escape to the conduction band. Once the intermediate step ceases and the interface is brought to accumulation, the density of holes is such that hole capture dominates. When ^^ changes from 4^3 to ^acc this particular trap is emptied by hole capture instead of electron emission. A non-equilibrium situation is established at the end of the intermediate step, and the charge pumping current is increased. • Second case: Another situation corresponds to the traps which, energywise, are located below -q^3 and which have a short electron-emission time constant \^^Q < tf2. During tf2, the semiconductor surface does not reach accumulation quickly enough to ensure that hole capture occurs. These interface traps are assumed to empty by electron emission instead of hole capture. These emitted electrons drift then to the source and drain terminals, and do not contribute to the CP current. These traps are too fast and are not detected by the technique. 8.4.2. Limits on the explorable energy range • The 3CP model is valid only if the voltage of the third level brings the structure into a weak inversion or depletion mode. Indeed, as V3 gets closer to Vinv, the assumption that all traps below -q4^3 empty by hole capture is no longer valid. Interface traps close to Ep jj^y, empty during tf2 by electron emission rather than hole capture even if they are below -q^3. Thus, for V3 close to Vjnv, electrons in interface traps below -q^3 are not all pumped into the substrate. Energy level Ep j^v ^^^ t)e approximated as the upper bound of the range of surface potential for which the model is not strictly valid. Two mechanisms become significant as V3 approaches V ^ Q , i.e. the V Q value which brings 4^3 at mid-gap. • First, the emission time-constant of a trap increases exponentially when the energy depth of the trap increases. Consequently, at some energy level above -q^3, the occupied traps do not empty by electron emission to the conduction band during time interval [tfj, t3]. These traps remain filled with electrons until the end of the intermediate step. Because of this nonequilibrium situation, the CP current increases since these traps are emptied by hole capture when the system retums to accumulation during time interval tacc • The second effect results from an increase in Igeom when the semiconductor surface is switched instantaneously from inversion to a state close to accumulation during transition time tfi. It requires a finite time to evacuate the electrons of the inversion layer towards the source and drain in response to the change in surface potential.
464
J,L, Autran et aL
In order to maintain charge neutrality, substrate holes which can respond to changes in the applied potential within the dielectric relaxation time are attracted to the surface. Consequently, the sharper the transition from Vj^v to V3, the longer the charge remains in the inversion layer, and the greater the amount of generated Igeom- Thus, as V3 nears V ^ G , a parasitic current may dominate the charge pumping current response, depending on the value chosen for tfi. 8.5. EXPERIMENTAL LIMITATIONS
We indicate next, some of the experimental limitations encountered when using the 3CP. • Because of Igeom' ^eff should be less than a few micrometers. There is, however, no constraint on W. • The gate oxide can be of any thickness as long as the oxide current due to tunneling is negligible compared with I^p. • To avoid significant edge effects, the substrate doping at the surface Ng^b ^ d channel length Lgff should satisfy the following condition [42]: ^I^>25x\0\nr^V-'
(6.92)
where VR is the reverse bias across the source-substrate and drain-substrate junctions and ^^ is the built-in potential. • To improve the sensitivity of this technique, A^ff and the waveform frequency should be as large as possible. According to Chung and MuUer [42], a useful rule of thumb can be used to estimate I^p, namely:
Icp(pA)^L6xlO'~^xA^ff(lim^
)xf(kHz)xDit(10%V'^cm~^)
(6.93)
Relation (6.93) yields a quick estimate of the number of expected pico-amperes of I^p per |Lim2 of gate area, per kilohertz of frequency, and per 10^ eV'^cm'^ of interface traps density. 9. A REVIEW OF VARIOUS THREE-LEVEL CHARGE PUMPING TECHNIQUES The basic 3CP technique whose theory has been described in Sect. 8, has given birth to several variants [41-50]. We propose next a brief review of these variants and indicate some of the obtained experimental results [41-48]. 9.1. FIRST METHOD
Introducing the 3CP concept, Tseng [41] demonstrated that Dit(E) can be accurately determined without having to assume that c^ and Gp are independent of E. The Tseng method does not use the SRH statistics to model the trapping mechanisms. It has, in theory, an accuracy equal to or better than other 3CP techniques. Another significant advantage of the Tseng technique is its ability to measure Dj^ close to the band edges, since energy levels E^jj^^ and Egjjj j^ depend on temperature (see Sect. 4). Distribution Djt(E) can be determined over most of the bandgap on either n or p substrates by changing the measurement temperature.
Charge Pumping Techniques
465
Applying the 3CP technique to different sets of n-channel transistors with various geometries, Tseng measured Dj^ up to within 80 meV of the conduction band, i.e. almost in the entire upper half of the bandgap. The Dit(E) distribution, shown in Fig. 6.22, was obtained from Icp(V3) curves measured at 78 and 298 K by using Eq. (6.91). The energy level of the traps was determined by performing charge-voltage measurements [51] directly on the transistor at 78 and 298 K.
Fig. 6.22 - Dit(E) distribution measured by the Tseng method on an n-channel MOSFET (W/L = 100/25 urn) at 78 K (A) and 298 K (•) (After Tseng [41]).
Ec-Et(eV) 9.2. SECOND METHOD
This method is similar to the Tseng method. The theory was presented in Sect. 8. Chung and MuUer [42] have applied the 3CP method to n- and p-channel submicrometer transistors. The Dit(E) distribution is obtained respectively in the upper-half of the bandgap for nchannels and in the lower half of the bandgap for p-channels. Figure 6.23 shows a Dj^CE) distribution deduced from an Icp(V3) curve for a transistor with ratio W/L = 100 /0.65 |Lim. The observed sensitivity of the technique is of the order of - 5x10^ eV-^cm-^ and interface traps have been observed within 50 meV of E^. These results apply to interface traps with Tem comprised between -- 10 ns and --100 ms, i.e. over four orders of magnitude of recombination time constant. In the same study, the 3CP technique has been employed to monitor the degradation of the gate oxide in a MOSFET subjected to a constant-current stress (i.e. to Fowler-Nordheim tunneling and hot-electron injection). 9.3. THIRD METHOD
A simple variant of the 3CP technique has been proposed by Hofmann, Krautschneider and co-workers [44-45]. The usual method has been modified to simplify the experimental procedure and data evaluation. The intermediate level V3 is chosen in such a way that the Fermi level at the interface Epjj3 is located at midgap. hi these conditions, the interface is devoid of free carriers and the interface traps cannot capture any carrier. All filled traps, whose emission time constant x^^ is less than t3 re-emit their trapped carrier during the application of V3.
J.L. Autran et al.
466 3.0 "• Data point
2.6
Fig. 6.23 ' Illustration of the 3CP method applied to an n-channel MOSFET with the following characteristics:
2.2 . 8-
1.8
1.4 -
^ \ j
Geometric current Dominated
1
1
^\m^ Interface trap ,^^ . ^ Dominated
_J
1
J
1.
0
V3(V)
= 100/0.65 im = 10 nm N,sub = 1.8x10^^ crn^ = 2.4V 'FB = - 0.9 V. W/L
The waveform used had the following characteristics: = 3.2V ^ ace = -2.2 V = ti„, = t3 = 100fis ^acc = 5 fis, tfi = 10 lis = 1 fU f/2 Measurement temperature: T = 300 K (After Chung and Muller [42]). UnV
v
1.0
q^3(eV)
In this technique, V3 as well as the other features of the waveform are kept constant. The only parameter which is adjusted is t3. Thus, the contribution to Icp of the other parts of the waveform are constant and can be eliminated by a differential data evaluation. A straightforward analysis of the emission process yields a simple evaluation of the interface states distribution. • According to the SRH formalism, the emission time constant x^^ of a filled trap is an exponential function of its energy level E^ in the silicon bandgap. We can write: 1
(6.94)
^em ~ VthniCexp\+
^^
Where the - sign (resp. + sign) refers to a trap located in the lower (resp. upper) half of the bandgap.
Charge Pumping Techniques
467
Consequently, the energy level Et of an interface trap whose emission time constant is equal to ts is given by: ^r(^em = ^3) = Ei±kT\n{v,h
Gn^ t^)
(6.95)
where the + sign is for traps located in the upper half of the bandgap and the - sign for traps in the lower half. With Eq. (6.95), we can explore part of the bandgap by increasing the value of t3. The longer the t3 value, the deeper the traps we can probe. • The pumped charge at each cycle of the gate signal (expressed per unit area) and due to the interface states involved for a given value of t3, is equal to:
a>=4 '
Du{E)dE
(6.96)
If t3 increases by steps of At, the difference in pumped charge between two steps (t3 - At and t3 + At) is given by: ,
,
\^EAu-tsx)
(6.97)
On the interval AE = | Et(t3 - At) - Et(t3 + At) | centered around Et(t3) (given by Eq. (6.95), the interface state density is thus expressed by the following relation: 1 dQit 1 AQu Dit(Et) = - ^ — ^ q dEf q AEf Af yEf)'=
(icjHtj +At) - hp(ts -At)]Tp + [hpits ^At) + hp(ts -At)]^ J —T
(6.98 a)
,^oo,, (6.98 b)
• How close to the band edges can Dj^ be measured is directly determined by the smallest t3 value which can be applied without any distortion of the gate pulses. On the other hand, for theoretical reasons, we cannot measure Dj^ closer than ~ 2kT/q around midgap. The probing of deep traps, requires a very long t3 time interval, which leads, at room temperature and for small geometry devices, to I^p currents hardly detectable with the resolution necessary for a differential data evaluation. Heating up the sample extends the energy range which can be studied towards midgap, whereas cooling it down extends the range towards the band edges.
468
J.L. Autran et al.
Figure 6.24 shows DjtCE) distributions obtained by this technique for two MOS transistors. The experimental parameters are the following ones: t3 = 20 ns, ta^c = W = 100 ns and the capture cross-section has been chosen equal to a = 4 x lO-^^ cm^. The Djt(E) distribution has been determined in the range (Ef - Ej) = ± 0.10 eV to (E^ - Ej) = ± 0.30 eV. ,10
10'
>
6
Fig. 6.24 - D^/E) distributions obtained for a conventional MOS transistor with W/L = 20/1.8 im (a) and for an LDD MOS transistor with W/L = 10/0.9 jjm (b) using the Hofmann and Krautschneider method. (After Hofmann and Krautschneider[68]).
tfV
10' -0.4
-0.2
0.0 Et - Ei (eV)
0.2
0.4
9.4, FOURTH METHOD
Later, Saks and Ancona [46-48] have proposed a technique which is, to date, the most complete synthesis of the various 3CP procedures. They demonstrated that by changing the value of V3 and that of t^, as illustrated in Fig. 6.25, one can determine Dit and a as a function of Ej. These parameters are obtained for both types of traps (electron and hole traps) using a single n- or p-channel MOSFET. This is a significant advantage over conventional analysis techniques.
Fig. 6.25 - Illustration of the changes in the 3CP signal used in the method proposed by Saks and Ancona.
-•t
Charge Pumping Techniques
469
9.4.1. Principle The modulation of V3 and 13 is the basic principle of this 3CP technique. During tinv, all interface traps are filled with electrons. When the pulse is switched to V3I, many trapped electrons are emitted back to the conduction band if their emission time \^^Q is short, ff t3 is long enough, all trapped electrons above Epj^ (determined by V3I) are emitted, and the trap occupancy reaches a quasi-equilibrium. Finally, during tf2, holes are brought to the interface which, with the remaining trapped electrons, gives rise to I^p (see Fig. 6.20 f). Two combinations are possible. • V3 is changed while t^ is kept constant: If V3I is changed to ¥3^ for a fixed t3 value as illustrated in Fig. 6.26, the equilibrium occupancy level of the traps changes. From the resulting change in I^p, the density of traps located between levels Eji and ^^2 (given respectively by V3I and ¥3^), with a time constant %^^Q verifying tf^ < X^^Q < t3, can be deduced as follows: Dit{E,) =
1 ^cp AV3 qfA,ff AV3 A£,
(6.99)
mv •E:i
•E,i
ace
Fig. 6.26 - Left: shape of the 3CP signal. Right: diagram of interface trap occupancy after filling with electrons and applying bias levels V^^ or Vj^ (After Saks andAncona [46]).
L=.Ev
• t3 is changed while V^ is kept constant: Experimental Icp(t3) curves for different values of V3 are shown in Fig. 6.27. If duration t^^ is changed to t^^ for a fixed V3, the interface traps whose energy level lies above Ep^, with a time constant TQ^^^^Q verifying t'^^ < 'Tem,e '^ ^3^, participate in the emission process. For small t3 values, the interface states are not in thermodynamic equilibrium with the energy bands and I^p is important. I^p decreases approximately linearly with ln(t3). For large t3 values, most traps above level Epj^ (given by V3) emit their electrons and retum to thermodynamic equilibrium with the energy bands. If t3 becomes larger than the greatest time constant of the traps, the emission process stops. A saturation rate is reached for tsat = '^em- ^^^ values of t3 above tg^t. the charge pumped during a cycle, when the transistor is switched to accumulation, remains constant, as visible in Fig. 6.27, and equal to the charge trapped in all interface states below Ep^.
470
7.L. Autran et al. 0.55
Bo
f=100Hz •*-° ° ° a a aoo
0.45
< KT
0.35
10"
oo o a oq
V 3 ^ •f
-•.7«V
o
-o.««
•
•0.S1
V
-.50
o
0.25
ooo
"*"-? o o «
,
^-sat ^^.
-.40
]
•
••!,»««>!¥
10-*
I
> » i t i n l
10-*
i i i i t i m i l
10"
10-
^ + -t- -H
I
I I I
Fig. 6.27 - Current I measured by means of the 3CP method is plotted as a function of t^ for electron emission, with pulse bias V^ as a parameter. I drops with In(t^) until saturation is reached for large t^ values. The emission time constant T^^ of the interface traps is determined from the saturation value of I (After Saks andAncona [46]).
10"
time t3 (s) 9A.2. Determination of the interface state density • First case: tj < tg^f. When V3 remains unchanged and 13 < tg^^, we have not reached the saturation mode yet. This means that traps whose x^^ value is above t3 contribute to I^p. Thus in this case, I^p can be expressed as a function of t3 instead of V3. It follows: 1 Dit(Et) =
dicp cp dt
qfAgff dt^ dEf
(6.100)
If we identify 13 with a single SRH emission time constant, we can express dt3/dEt using Eq. (6.94). It yields: dt3_ dEt
l3_ 1 + kT da kT <7 dEf
(6.101)
By inserting (6.101) into (6.100) we get: kT do dl cp h 1+Dit(E,) = qkTfA^ff [ a dE^ dti
(6.102)
which can also be written Dit(Et) = -
kT da dl cp 1+qkTfAeff a dEt\d{[nt3)
(6.103)
This explains why \.^ decreases with ln(t3) when the emission process is limited by step duration t3, as illustrated in Fig. 6.27. From Eq. (6.103), the slope of this Icp(ln(t3)) curve is proportional to Djt. If a is considered to be energy-independent, we have the approximate relation:
Charge Pumping Techniques
471
1
dhv D,,(E,) = / ; (6.104) qkTfA^ff d(lnt3 ) Let us note that Eq. (6.104) is similar to Eqs. (6.59) and (6.62) established for the 2CP technique in which the transition times tf and tj. have been replaced by t3. • Second case: t^ > tg^f. By selecting a t^ value large enough so that almost all interface traps indeed emit their charge, it is possible to calculate the Djt(E) distribution using relation (6.99) and considering the variation in the charge pumped per cycle in the saturation regime, as a function of t^, and for different values of V3. Distribution Djt(E) is calculated using relation (6.99) for the successive values of the pumped charge in saturation as a function of V3 and only for the hole-emission and the electron-emission regimes (because of the equilibrium character of these regimes). Practically, if Qj (respectively Qj+i) is the pumped charge in saturation for a Vj voltage (respectively Vj+i), then the mean interface state density between Ej and Ej+i is given by:
Dif(E)»
AQ 1 Qj^l-Qj\ ,"^^^= / 1'^''^ ^"1 qA,ffAE qA,ff
with
E =^
i^
(6.105)
^i+i~^i\
The knowledge of the 4^S(VG) relationship (i.e. the energy position in the silicon bandgap as a function of VQ) is required, in order to calculate the Dit(E) distribution. This relationship can be obtained independently by C-V or I-V measurements. 9A.3. Determination of the capture cross-sections The time at which saturation first occurs (tg^t) is equal to the electron emission time constant:
1 ^sat
"^em.e =
(e
( E.-EA xpl—^1
(6.106)
to the hole emission time constant: 1 ^sat
^em,h
(6.107)
^th^i^p
It is therefore possible to determine \^(E), the energy distribution of the emission time constants (see Fig. 6.28), and the capture cross-sections of electron and hole traps, by monitoring the charge pumped per cycle as a function of t3 and V3. We get: 1
f^Ef-EA
472
J.L. Autran et al. lOS 10-* t-
I 11 I 1 1 1 I 11 1 1 1 I I 11 I
Hole emission
11 I 1 1 1 1
/
111111111
Electron emission
Fig. 6.28 - Distribution of the emission time constants r^^ as a function of energy obtained for electron and hole traps related to a (100) Si-Si02 interface. Solid lines are exponentials with slopes ± 1/kT predicted by the SRH theory when a^ and Gp are considered to be energy-independent. After Nicollian and Goetzberger [52] (triangles), Saks and Ancona [46] (diamonds) [74], Autran and Balland [50] (circles).
en ^x•
JQ->
Exp(±Et/kT)
I I I I i I i I I I I I I I I I I I I I f I i I I I I I I I I I I I I I
-0.4
-0.3
-0.2
-0.1
0
O.I
0.2
0.3
0.4
Et - Ei (eV) As shown in Fig. 6.28, the values obtained for the emission time constants are, in this case, in good agreement with the assumption that capture cross-sections are independant of energy: a slope of - exp(± E^/kT) is obtained for both electron and hole traps, respectively in the upper half and in the lower half of the bandgap. The two distributions of emission time constants are well fitted by Eqs. (6.106) and (6.107) with c^ = c^ 10-15 cm^ 9.5. NUMERICAL SIMULATIONS
The aim of this paragraph is to re-examine the charge response of the interface traps and to present some theoretical results from one-dimensional numerical simulations. This analysis relies upon recent works by Saks, Ghibaudo and Ancona [48, 53-54]. 9.5.1. Analysis of the emission and capture regimes Until now, we have always considered that the third level of the 3CP method corresponds to an electron emission regime, i.e. that as long as V3 is applied, the electrons previously trapped on the interface states (i.e. while Vinv was applied) are re-emitted and no hole capture occurs. Of course this is true only as long as V3 is chosen above VJ^Q (which corresponds to an Epn and a ^^ value at midgap). This is schematically illustrated in Fig. 6.29 (upper curve). If V3 is chosen below VJ^G' electron emission does occur at first but hole capture quickly becomes the major mechanism. There results that if we plot Icp(V3) for a given t3 value, the I^p value decreases until 4^^ reaches midgap (VuG ~ 0 V) then increases again due to hole capture, as illustrated in Fig. 6.30 (upper curve). Let us note an important point. During the hole (or electron) capture regime, the semiconductor surface is in an out-of-equilibrium state. Indeed, the flow of carriers at the surface of the semiconductor is due to a fast change in surface potential. This rapid electrostatic response of the semiconductor leads to a density of free carriers whose value does not correspond to the equilibrium value and which is difficult to evaluate.
473
Charge Pumping Techniques
ELECTRON FILL
V^MG
Fig. 6.29 - Schematic representation of the gate bias waveform and of the various emission and capture regimes involved during a gate pulse period (of the 3CP technique) with regards to the position of the intermediate third level and the initial filling of the interface states (electrons or holes). Vj > Vj^Q > VpQ corresponds to the case of an n-channel transistor.
'FB
'MG
FB
y Fig. 6.30 - Pumped charge per cycle for saturation (i.e for a large t^ value of 1.2 ms) as a function of the third voltage level for the different electron and hole emission and capture regimes. Data has been obtained on an n-channel transistor with W/L = 100/0.5 pm (After Autran et al. [30]).
"S3
00
o
3
-2 -1.5 -1 -0.5 0
0.5
1
1.5
2
Intermediate voltage V3 (V)
There results that the capture cross-sections cannot be accurately calculated during this capture regime because the surface concentrations of carriers are unknown. Equation (6.108), based on an equilibrium value for the surface concentration of minority carriers, is not valid in this case. To sunmiarize, the 3CP method appears to be the only method which allows us to investigate emission and capture regimes from interface traps. By choosing a waveform as represented in Fig 6.29 (upper curve), electron emission and hole capture can be reached. We could of course use a waveform of opposite shape and choose a V3 level such that it corresponds to an equilibrium hole emission phase. This is illustrated in Fig. 6.29 (lower curve). The out-of-equilibrium phase would then be that of electron capture (V3 > V ^ Q ) .
J.L. Autran et al.
474
9.5.2. Basic equations An important work dealing with the numerical simulation of the 3CP technique was done by Saks and Ancona [48] to analyze the transient phenomena taking place during a CP cycle. This work follows the studies of Ghibaudo and Saks [53-54] related to the time analysis of physical processes involved in the 2CP phenomena (see also the work of Hofmann and Hansch [55]). • To summarize it briefly, the time analysis consists in using a quasistatic one-dimensional model to explain the transport mechanisms in the semiconductor. The semiconductor is supposed to instantaneously follow the variations in gate bias and the carrier movement at the interface. The interface kinetics are modeled by an SRH approach. Two time-dependent variables are introduced: the surface potential ^s(t) for the interface kinetics and the potential linked to the quasi-Fermi level at the interface ^Fn(0- By making various assumptions, the variations of these two variables can be linked to the interface states parameters and to gate bias using the following equations: d^Fn(0 dt
1 dQi, jcr_ Cox dt
yG<
1
1
\^cp,e
1
1
— + '^em,h '^'cp,h ) ^em,e
(6.109)
(6.110) ^ox
^ox
where Qsc is the space; charge in the semiconductor. Time constants %^^Q and T^j^^h ^ ^ deduced from Eqs. (6.106) and (6.107) and x^p^e ^t T^p^h ^ ^ given by the following expressions, assuming that the quasistatic hypothesis is verified: exp\ (6.111) 1 ^cp,h — ^th^i^p
exp\
The system of Eqs. (6.109) to (6.111) can be solved numerically, using time as a discrete variable. The I^p value is obtained by integrating these equations on a gate bias period Tp. We then obtain [48, 53]: \
kT cT^ \^cp,e
'^emyC)
kT cT
r \^cp,h
1 ^em,h
dt (6.112)
Charge Pumping Techniques
475
9.5.3 Simulation results These simulations enable, on one hand, to visualize the simultaneous variations of ^s(t) and ^Fn(t) during period Tp, and, on the other hand, to estimate the duration and the intensity of the various carrier emission and carrier capture transient currents during this same period. The difference existing between the ^^ and ^ p ^ potentials shows that interface traps, energetically located between -c^^ and -q^pn' ^ ^ ^^^ in equilibrium with the energy bands. In the following examples, the simulations apply to a p-channel transistor i.e. to an n-type substrate. The period Tp is taken equal to 10 ms and both rise and fall times are equal to 50 ns. • The electron emission regime When the n-type semiconductor surface is switched from inversion to accumulation, as illustrated in Fig. 6.31, hole emission at equilibrium Q¥^ = ^p^) begins at the very start of the rise time (t = 0). Then, electron capture quickly sets in during the following phase Q¥^ ^ ^p^) which leads to an intense current. A new equilibrium state is reached. During tfj and t3, the difference between potentials ^^ and ^f^n is zero, showing that the interface traps are indeed in equilibrium with the energy bands. In this example, it is important to note that no hole capture happens during tfi: the equilibrium of interface states is only controlled by electron emission. At the end of the intermediate level, and in accumulation, a low electron emission is rapidly hidden by the massive flow of holes at the interface which induces an intense recombination current. This current is the main contribution to I^^p. 0.5
n
1——1
1 0' i
r
]
I
0.25 10-
QUASI-FERMI LEVEL
9
0
S -0.25 o
K
^Fn
\
\
1—-i
r-—r
1 . 1
GATE VOLTAGE [ HOLE EMISSION
-3
ELECTRON CAPTURE HOLE CAPTURE
I
A
ELECTRIC POTENTIAL \
r
^10" ELECTRON EMISSION
1 GATE VOLTAGE
-0.5 J
-0.75
I
I
L_
Time (variable scale)
3
10*
Time (variable scale)
Fig. 6.31 - Results of numerical simulations applied to the 3-CP method. Left: Surface potential ^g ^^^ ^Fn have been calculated and plotted versus time (during a full CP cycle) for an n-type substratey and for an electron emission regime. Upper curves: ^ p ^ (t) ( ) and ^^ (t) ( ) Lower curve: Profile of the applied gate voltage. Right: The corresponding transient currents have been calculated and plotted. The voltage profile is shown in the inset (After Ancona and Saks [48]).
J,L, Autran et al.
476
• The hole capture regime The initial phase (filling of traps by electrons) and the final phase (recombination by hole capture) are the same as before. But when VQ is switched from Vacc to V3 a very different behaviour of the interface traps is observed as visible in Fig. 6.32. Although an electron emission takes place at the beginning of tf^, for traps located over a certain energy level E* (-- Ej + 0.1 eV), this emission phase is followed by hole capture out of equilibrium (large difference between ^^ and ^p^) for traps located between E* and Ep^. This capture phase nearly extends until the end of t3 at which point equilibrium is restored. As long as this hole capture exists (i.e. as long as electrons remain trapped in the interface states located between Epn and Eps), the difference between ^s and 4^pn results in a more negative interface charge than at equilibrium, which induces an additional bending of the energy bands. This additional bending implies a variation of ^s which has not reached the same value as at equilibrium. This effect is called the overshoot effect visible on Fig. 6.32 (dashed curve). Band relaxation and the disappearing of the overshoot effect exist when all traps over the Fermi level are emptied by hole capture. Going from V3 to Vinvfinallyinduces an additional hole capture to empty the states remaining full of electrons between - q4^3 and EF,inv.
This capture results in recombinations taking place during t3 and tf2 and thus contributes to Icp. Consequently, the I^p saturation level is defined by level E* because the traps involved in the recombination process (i.e. filled by hole capture) are located between E* and Ep i^v The calculation of Dj^ during the capture regime needs to correctly evaluate level E* for each value of V3. Moreover, it is absolutely necessary to take into account the variations of ^^ due to the overshoot effect. For all these reasons, the use of experimental results obtained during the capture regime is very critical. For example, a variation of ^^ of a few millivolts can induce a variation in capture cross-sections, larger than one decade. n
0.5
I
I
1
J
1
1
1
1
r-
QUASI-FERMI LEVEL
0.25
10"
1
1
1
1
1
\
1
r
GATE VOLTAGE
1 1 3
HOLE EMISSION ELECTRON CAPTURE
rv
.2
ELECTRON EMISSION
\\
'% -0.25 ••-»
o ^
.0.5 -0.75
0
T
Time (variable scale)
^
Time (variable scale)
Fig, 6.32 - Same diagrams as those of Fig. 6.31 but for the hole capture regime (After Ancona and Saks [75]).
Charge Pumping Techniques
" £ k Z ^ A A A A A A ^
i—r
T + 4 -H
-0.95V -1 05 V
X X
10*
10*
10'^
10
Fig. 6.33 - Experimental and simulated (—) Qcp(t2,V'i) curves for the electron emission regime in a p-channel transistor (After Saks cindAncona[75]).
-1.15V
f=100Hz 0.5 10*^
All
10'
Duration of V3 (s) To conclude and illustrate the method proposed by Saks and Ancona, Fig. 6.33 shows experimental and simulated Icp(t3,V3) curves obtained in the electron emission regime. The good agreement of experimental results and simulated curves shows that the one-dimensional approach is correct to describe the behaviour of interface traps during the 3CP measurements. 10. EXAMPLES OF APPLICATIONS OF CHARGE PUMPING TECHNIQUES All the CP techniques presented in the previous sections make it possible to study, directly on small geometry MOSFETs, such phenomena as: • the influence of technological process steps on the quality of the Si-Si02 interface, • the consequences of aging stresses on the interface trap properties, whether these stresses consist in uniform carrier injection, hot-carrier injection or irradiation. Aging phenomena in MOS transistors are increasingly studied because of their impact on the reliability of small-size components. Before the advent of CP techniques, the uniform degradation of MOS transistors, caused, for example, by radiation or by Fowler-Nordheim tunneling, was mostly studied on capacitor structures. One possible way to measure directly an increase in interface trap density on a MOS transistor was to analyze the slope of the subthreshold current characteristics, which is a partial, indirect and rather insensitive technique, especially for interface state density levels below - lO^ eV-l.cm-2. We show below how a uniform or a non-uniform interface degradation affects the CP response and we present some examples of typical curves. The determination of the spatial distribution of created interface traps is also briefly discussed. 10.1. APPLICATION TO THE STUDY OF A UNIFORM DEGRADATION
The uniform degradation of MOS devices exposed to ionizing radiation and/or to FowlerNordheim injection can be studied directly and quantitatively with the CP technique, even on floating-gate MOS memory devices [5, 57-64].
478
J.L, Autran et aL 10.1.1. Degradation of MOS transistors
Typical ICOCVGL) characteristics recorded using the 2CP technique on uniformly degraded MOS devices are given in Fig. 6.34. The curves obtained after a stress has been applied are generally of a larger amplitude and are shifted with respect to the initial characteristics. 1) The increase in Icp,max reveals an increase in the number of electrically active traps at the oxide/semiconductor interface. The rise and fall edges of the CP curve are also broadened due to the charging and discharging of the fast interface traps. 2) The sideways shift of the curve reveals an evolution of the Vpg and V^ values of the degraded structure. This shift AV is due to the generation of oxide charges in the bulk and/or near the interface. These charges are positive (resp. negative) if the curve shifts towards more negative (resp. more positive) voltages (see Chap. 10 of Vol. 2 of this series). This shift is given by: Ay = -
aox
X
1--
(6.113)
''OX J
^ox
where x is the centroid of the oxide charge, counted from the interface. In most real cases, it is difficult to determine the type of fast interface traps just created since from the shift of the CP curve, only the sign of the net charge (positive or negative) in the oxide and at the interface can be deduced [5]. .\
T
curve c curve b / // curve a \ ' \\ /
; fi
\i
\ b:.^
•
Fig, 6.34 - Illustration of the influence of the interface trapped charge on the ICP(VGL) curves: curve (a) is the CP curve for a low Du (a virgin MOS transistor); curve (b) indicates a high density of donor-like interface traps; curve (c) indicates a high density of acceptorlike interface traps (After Heremans et al I5]h
VGL
The characteristics of Fig. 6.34 make it possible to distinguish between the formation of fast interface traps and the build-up of the oxide charge. Indeed, the total number of fast interface traps in the channel is proportional to the amplitude of the recorded I^p current, while VpB and V^, whose values depend on the fixed oxide charges (Qf) and on the interfacetrapped charges, can be evaluated, to the first order, from the edges of the curve. As illustrated in Fig. 6.35, this CP threshold voltage (extracted from the value of VQL at 50 percent of the maximum CP current) can be plotted as a function of the Fowler-Nordheim injection time for different values of the gate voltage stress. We note that a turn-around effect is observed.
Charge Pumping Techniques
9
VgateslOV Vgate = 11 V Vgate = 12V Vgateri3V
0^
'o >
Fig. 6.35 - Illustration of a turn-around effect observed after FN injection: the threshold voltage of the transistor^ measured from CP curves, is plotted as a function of the Fowler-Nordheim injection time for different values of the stress voltage (After Heremans et al. [5]).
11
o
0
479
10-3 10-2 10-'' 10°
10^
102
103
10^
Injection time (s) 10.1.2. Degradation of EEPROM Memories A floating-gate transistor can be considered as a MOS transistor with a stacked gate dielectric. The charge stored on the floating gate leads to the same electrostatic influence as a uniformly distributed gate oxide charge. By applying the same formulas as those used for conventional MOS transistors, the density of interface traps can be determined, with the same accuracy, using a CP technique, in floating-gate devices. 1013
^ ^
^
1012 •
X
O
^
1010 •
109
-! 10^5
1
io16
1
^QM
Fig. 6.36 - The increase in Dit, observed during the aging of a thin-oxide floatinggate EEPROM device is plotted as a function of the fluence of injected electrons. These Du values have been directly obtained from CP measurements (After Heremans et al. 15]). t
10^8
^
1
10^9
1020
Fluence of injected electrons (cm"^) This application opens new and broad perspectives for correlating externally-observed aging effects in memories (e.g. threshold window opening and closing) to the physical mechanisms responsible for this degradation. The advantage of performing CP measurements directly on floating-gate devices is that the aging of the memory devices can be analyzed under real progranmiing conditions [65], i.e. under high-field conditions with alternating polarities. Moreover, changes in Dit can be observed for small quantities of electron injected during the write cycle, as shown in Fig. 6.36.
7.L. Autran et al.
480
Let us note, however, that during aging no conclusion can be reached regarding charge trapping in the oxide, since charges, uniformly trapped above the entire channel area, cannot be distinguished from charges stored on the floating gate. 10.2. APPLICATION TO THE STUDY OF A NON-UNIFORM DEGRADATION
Although conventional methods of electrical characterization (such as the study of the IDS(^GS) characteristics) let us analyze the uniform degradation of MOS transistors, they do not enable us to correctly study the non-uniform degradation mechanisms, because: i) it is difficult to separate the effects of the fixed charge from those of the interface states; ii) the threshold voltage of a degraded device is no longer physically meaningful because it varies along the channel (which renders the analysis of the IDSC^GS) curve difficult). The CP technique has the advantage of separating the contribution of the interface states from that of the fixed charge and of yielding information specific of the degraded zone of the channel [5]. Unlike the IDSC^GS) curves, the CP response of a degraded transistor; can be considered as the addition of several contributions, each coming from a different part of the channel, each part having its own V^, Dj^ and Qf values. •cp
^s I
l— 'cpi * 'cp2 Pig 5 57 . Schematic representation of the ICP(VGL) curves of a non-uniformly degraded n-channel transistor (see text). Curve I: CP response of area 1. — f 'cp^ Curve II: CP response of area 2. Curve III: global CP response of the transistor (source and drain connected). Curve IV: global response of the I— 'cp2 transistor with disconnected drain. In the inset, the source-substrate junction is on the left and the drainsubstrate junction on the right. (After ^ Heremans et al. [5]).
I
I N Nl IV
Vt2-AVA
VtrAVA
Vfb2
Vbas
10.2.1. Case of an n-channel MOSFET Figure 6.37 illustrates how the global response of an n-channel transistor, non-uniformly degraded along its channel, can be decomposed [5]. In this example, the channel is split into two areas: area 1 is not degraded (low Djti, V^i) while area 2 is degraded (Dit2 > Diti» Vx2 < Vji) due to the presence of a positive oxide charge. Curves 1, 2 and 3 in Fig. 6.37 show the respective contributions of areas 1 and 2 and the global response of the device, for a fixed VQL bias, and with source and drain connected. Curve 4 corresponds to the case where the drain is disconnected. In this example a negative charge is found to be located near the drain (this type of degradation is, for example, encountered after hot electron injection in an nchannel silicon-oxide-nitride-oxide-silicon transistor).
Charge Pumping Techniques
481
10.2.2. Case of a p-channel MOSFET The CP characteristics of a p-channel transistor with a positive charge trapped in the gate insulator over part of the channel can be derived as in the case of the n-channel device. Curves 1 and 2 in Fig. 6.38 represent the CP characteristics corresponding to the channel portions indicated in the inset of Fig. 6.38. Curve 3 is the resulting curve expected when both source and drain are connected during the CP measurement. In this case, the location of the degradation can be identified by disconnecting the source. Indeed, if the source is disconnected, holes from the drain can only reach part 1 of the channel if part 2 is inverted. In this case, the CP curve is expected to behave as shown on curve 4. However, when the drain is disconnected, the CP curve is identical to curve 3. Such a local injection of holes could for example be encountered in a p-type metal-nitride-oxide-silicon device, due to the high hole injection and trapping probability in the nitride layer (see Chap. 5 of this volume). •cp
^s
'cpi + 'cp2 Fig. 6,38 ' Schematic representation of the Icpi^Gh) curves of a non-uniformly degraded p-channel transistor (see text). Curve I: CP response of area I. Curve II: CP response of area 2. h- 'cpl Curve III: global CP response of the transistor (source and drain connected). Curve IV: global response of the transistor with disconnected drain. 'cp2 U \ In the inset, the source-substrate junction is on the left and the drain-substrate junction is on the right. (After Heremans et al. [5]).
aaaiii.-x..i»ji«««.*^
I ii III IV
Vfb2-AVA
Vfbi-AVA
Vt2
Vti
Vbase
10.2.3. An experimental case Figure 6.39. shows experimental ICOCVGL) curves measured on an n-channel transistor previously degraded by using a maximum substrate current [66]. This type of degradation, corresponds to both hole and electron injection [53]. We observe that I^p increases greatly after stress, which indicates the creation of a large number of interface states during aging. Moreover, after injection, the ICDCVGL) curves appear also distorted. The observed distortion means that, after degradation, the total gate oxide charge is positive. The curve obtained for a 180-minute stress, reveals that hole trapping in the gate oxide is more important for a prolonged stress. Its shape corresponds indeed to a hole injection followed by trapping which causes a non-uniform degradation along the channel of the aged transistor [5, 55, 66, 67]. This hole injection is known to follow an electron injection. Difference AVj, between curves 3 and 4 of Fig. 6.39, is attributed to hole trapping, whereas, difference AV2, between curves 1 and 3, may be due to the generation of interface states near the drain.
482
^ ®
y.L. Autran et al.
4/z I '
// '^
^^--.^^^ ^
\ ^
Fig' 6.39 - Icpi^Gi) ^w^^-y obtained for an n-channel transistor with W/L = 3.4/2.4 jUm, stressed under VQ = 5.7 V and V£> = 8 y dwrmg ft 50, 90 and 180 minutes (After Djahli et al. [66]).
-7,2 -6.4 -5.6 -4,8 -4.0 -3.2 -2.4 -1.6 -0,8 0.0 VGL(V)
The quantification of the positive trapped charge in the gate oxide after hole injection is somewhat complicated by the fact that interface traps created very near the drain in an nchannel transistor will, on a CP curve, appear left of the interface traps of the channel itself, even if no fixed positive charge is present. The analysis of Icp(VGL) curves is therefore a powerful technique to study distributions of electrically active defects in n- or p-channel transistors [5, 55, 66-78] as illustrated in Fig. 6.38 and Fig. 6.39. The decomposition of the CP characteristics of degraded devices makes it possible to perform numerical simulations to model and interpret the results [79-80]. Let us also mention the recent development of more specific techniques to determine the spatial distribution of the charge trapped in the oxide layer over the channel [81-83]. 10.3 APPLICATION TO THE DETECTION AND CHARACTERIZATION OF BORDER TRAPS
10.3.1. Definition The concept of "border trap" has been introduced by Fleetwood [84-86] to designate traps located in the oxide, but close to the interface, and capable of taking part in the electrical response of a MOS device under certain circumstances. The amount of border traps which exchange carriers with the substrate is strongly linked to the bias and frequency used. It is generally accepted that these traps interact with the valence or conduction bands of silicon through a tunnel mechanism, directly, or indirectly via fast interface traps [87-93]. This concept leads to several remarks about those interface states called slow states: i) slow states are generally observed when the Si/Si02 system is degraded (e.g. after injection or irradiation); ii) their emission time constant exceeds several seconds (even several hours), so their study is usually carried out by quasi-static or static C-V measurements; iii) these slow states are thought to be located in the oxide and some of them can be considered as border traps.
Charge Pumping
483
Techniques
It is thus important to note that the term "border trap" is strongly linked with the type of measurement technique performed on the device. For example, the largest time constants which can be characterized through CP measurement are typically about 10-^ s. Such a measurement only involves a fraction of slow states which are defined as border traps in the frame of a CP experiment. u
i.eo
a, 15.0 13.S
o
1.70
Slow states + (border traps)
12.0
1.60
+
u
T=l/f Fast states (interface traps)
^+++. . ^
>* 10.3
u
1.50 1.40
• 10 Mrad (Si02)
1.30
- ^ 9.0
1.20
G 7
1.10
Fast states (interface traps)
< 6
1.00
X
Virgin-
U 4
0.90
Q W 3
g s»
Fig. 6.40 ' The recombined charge per cycle Q^f is plotted versus frequency for a virgin device (lower curve and for the same device irradiated at 10 Mrad (Si02.) (upper curve) (After Autran et al. [91]).
O.BO
101
102
103
(04
105
FREQUENCY f (Hz)
10.3.2. Characterization using the standard CP technique The 2CP method using a trapezoidal gate signal at low frequency (less than IkHz) has been recently proposed by Paulsen and al [89-90] as a possible technique to characterize oxide traps located near the Si/Si02 interface. In this method, f varies while other parameters of the gate signal remain constant. • If only fast interface states exist in the interfacial region, the recombined charge per cycle is frequency-independent because all the states in the pumping window are able to exchange carriers and thus take a part in the charge pumping cycle. • But, if both slow and fast states co-exist, the pumped charge, at low frequency, increases when f decreases because slow states respond as soon as their time constant is compatible with the frequency of the gate signal. Figure 6.40 shows the low frequency CP response of a device before and after irradiation. Figure 6.40 shows that the measured value of Qj^ increases when f decreases below 600 Hz. This threshold or breakpoint frequency can be interpreted as the reverse of the time constant of the carrier exchange mechanism which takes place between the semiconductor and the nearest oxide traps. Analytical models of the tunneling mechanism have been developed which give the direct relationship between the time constant of the tunneling process x and the distance x separating the traps from the interface. Using the model proposed by Roy ([94] and quoted by Paulsen [89-90]), we have: *o f 2;cmj 1+
t(x) = -
1
-—^exp(27]ix)
r]2{hl2KyDu
(6.114)
484
J.L. Autran et al.
with 2 Vl =
2mj((l>B+Ec-Ef) 9
(h/2Kr 2
(6.115)
2m2(Ec-Ef)
^2 =
9
(h/2Kr where O B is the height of the potential barrier between the semiconductor and the oxide , m^* and m2* are respectively the mean mass of the electrons in the oxide and in the semiconductor and (EQ - Et), is the energy position of the trap. Figure 6.41 shows plots of x (x) using semi-logarithmic scales for different values of Dj^. If we use the breakpoint frequency evaluated in Fig. 6.40 and a Djt value of about 1012 eV-1 cm-2, this model yields, in this case, for the tunneling distance of radiation-induced border traps, a value between 1.5 and 2 nm. 10^ 10»
h
2 CO
C O
1•
L
10-' h
I
-1
y
/
/
y
Dit = 10 ^m^eV^ ^.^^^ / / / /
Dit = X^^^txi^ei'-^/// / y
/
\
J
/Irradiated Pure-oxide
10» 10-^
i 10-'
//// ' ^
r / /
/^
1
" ^ D j t = 10^\m'eV'
1
Ultra-thin Oxide SUNOS Device
10-^ \
io-»
Dit = 10 \m^eV'
/
/
/
/
1
10
15
1
1
20
25
A
Fig, 6.41 - Characteristic time constant of the tunneling process as a function of the tunneling distance of the traps (from the Si-Si02 interface), given for different values of D^^ and for E^' E^ = O.I eV (After Roy [100] quoted y Paulsen et al. [93]).
1
30
Tunneling Distance [A]
10.3.3, Evaluation of the border trap density using the dual-transistor technique Another method recently developed for the characterization of border traps is the DualTransistor Border Trap (DTBT) charge separation technique. This method, proposed by Fleetwood and coworkers [95-96], combines classical I-V and 2-CP measurements on both nand p-channel transistors fabricated with the same technology. It can provide reasonable quantitative estimates of border trap density by separating the respective contributions of fast interface traps, oxide traps and border traps to n- and p-channel V^ shifts. • The charge-separation method is based on the exploitation of the differences between the communication times of interface, border and oxide traps with the silicon substrate. Two consecutive I-V and 2CP measurements are performed on each transistor:
Charge Pumping Techniques
485
I-V measurements: in this standard I-V technique, the voltage sweep allows part of the interface and border traps to exchange carriers with the underlying silicon, typically in an effective frequency range of -- 1 Hz. All the traps, which cannot exchange carriers in less than -- 1 s, are then called fixed states. Because these traps cannot be separated from the bulk oxide charge, from an electrical point of view, this first measurement leads to a quantitative (higher bound) evaluation of the density of so-called "oxide traps". High-frequency charge pumping measurements: by performing a complementary charge pumping measurement at a frequency of -- 1 MHz, it is possible to separate interface traps from border traps which are electrically active in the ~ 1-10^ Hz frequency range. This second measurement yields thus an estimate of the densities of fast interface traps (which respond in less than ~ 10-^ s) and "border traps" (on time scales between 10-6 to 1 s). • It is important to note that for each transistor type, the energy domains scanned in the bandgap are different. In particular, only interface and border traps in the upper part of the bandgap contribute to the n-channel V^ shifts, while traps in the lower part of the bandgap contribute to the p-channel Vy shifts. As reported in § 5.4.1, the portion of the energy bandgap scanned with the 2CP technique depends on several parameters and especially on the doping concentration at the silicon surface. The doping levels are generally not the same for n-channel and p-channel devices. This difference must be taken into account for an accurate determination of the border trap density. • From an analytical point of view, the n-channel and p-channel V j shifts (AV^) due to the contributions of bulk oxide traps (AV^^), interface traps (AVj^) and border traps (AV^t) can be expressed as follows [96]: AVTn = AV^,^ + AVi,^ + AVi,t^
(6.116)
AVjp = AV^,p + AVi,p + AVi,tp
(6.117)
where subscripts n and p indicate the transistor type. If the transitors have been simultaneously fabricated and degraded (in particular by the same electric field measured during the degradation), it is reasonable to consider that the bulk oxide charge densities for both transistor types are the same, i.e. that AY^^^ « AV^^^ « AWQ^. Assuming that interface and border traps predominantly cause the n-channel V^ to shift positively and the p-channel V j to shift negatively, we can introduce the following notations: AVj^^ = S^, AVj^p = - Sp, AV^^n = yB, AV^tp = - (1 - Y) B, AVQ^ = - H, where S^, Sp, H and B are positive quantities. B is the total density of border traps in the accessible energy range of the silicon bangap and y is the effective fraction of the total border trap charge density that resides in the upper part of the bandgap. With these new variables, Eqs. (6.116) and (6.117) become: AVrn^-H+S^
+ yB
AVTp = -H-^Sp-(l-y)B
(6.118) (6.119)
486
7.L. Autran et aL
Substracting Eq. (6.119) from Eq. (6.118), we obtain: B = (AVrn - ^VTp) - (Sn + V
(6.120)
Quantities S^ and Sp are evaluated from standard charge pumping measurements at - 1 MHz (in order to only involve interface traps during the measurement). We get:
""^
Co.
'^
C,,
(6.121)
where ^^^ and ^gp ^ ^ respectively the n-channel and p-channel bulk potentials. Combining this set of equations into Eqs. (6.118) to (6.120), we obtain: / X B^[AVTn-^VTpj-
^(^itn¥Bn+^itp¥Bp)
(6.122)
1
«.-
^^
•-
r^-[r-2}^
(«-'23,
Since the exact value of yis not known, Fleetwood and coworkers assume that Y « 1/2. This value leads to equal border trap densities (which are physically oxide traps) for both n- and pchannel devices (degraded in the same conditions). The final expressions of the interface, border and oxide trap densities can thus be expressed as follows: ANit =
^
ANht =
^
^ « (^itn¥Bn + ^itpVBp)
^ - (ADi,„yfBn + ^itp¥Bp }
(6-124)
(6-125)
ri CO^[AVT„+AVTP)
^ot
=
^
ADjtnWBn-^itpWBp + 2
,^ ,,^, (6-126)
The oxide charge density is calculated assuming that oxide traps are close to the Si/Si02 interface, like the interface and border traps. In case of a distributed density of traps inside the oxide layer, an additional factor accounting for the charge centroid appears:
487
Charge Pumping Techniques
Co^t„:,[AVTn+^y'Tp ) ^ot
=
tox(^itn¥Bn
2qx
"
^itpWBp)
2x
(6.127)
where x is the distance from the gate to the centroid of the bulk oxide trap distribution. In the important particular case of the charge being distributed uniformly through the gate oxide (in this case x = tox/2), Eq. (6.127) simplifies into C,,[AVTn+AVTp) ANot =
ADitnYBn-ADitpYBp
(6.128)
Figure 6.42 illustrates how the DTBT evaluation technique has been used to monitor ANQJ, ANjt and AN^j in irradiated transistors. Note the respective evolutions of the three densities of traps after 25°C and 100°C anneals. 30 • 6 V, 25*C Anneal
E ^
H^
6 V, 1 0 0 X Anneal
Bulk Oxide Traps 10
O
(A C
Border Traps
Interface Traps _L
I
I I I I I ll
103
10^
L.
I
I I I ll
I
105
I
I
I I I I I
106
Fig. 6.42 - Plots ofANou ^it and ANbt vs. irradiation and anneal time for MOS transistors with non-hardened 50-nm oxides irradiated with 10-keV X-rays at a dose rate of - 9.5 krad (SiOiVmin and annealed at 25°C for ~ 12 hours and at 100°C for ~ I week. The bias applied during irradiation and during anneal was 6V (After Fleetwood et al. [97])
Irradiation and Anneal Time (s) 10.4. APPLICATION TO THE EVALUATION OF GRAIN BOUNDARY TRAPS IN POLYSILICON
TFTs Polysilicon thin-film transistors (poly-Si TFTs) have been extensively investigated in recent years because of their attractive applications to large-area electronics and display devices. It is well known that the electrical characteristics of poly-Si TFTs are significantly influenced by grain boundary traps (GBT) since the source-drain current flows through the polysilicon layer (a cross section of such a transistor can be found in Fig. 2.13 of this volume). It is thus very important to accurately evaluate the properties of these GBTs in TFTs, from an electrical point of view. The methods used to evaluate the GBTs and reported so far, are mainly based on measuring the channel current of the TFT. This current is affected by the potential barrier due to the presence of the grain boundaries. It is thus not directly related to the GBT properties themselves [98]. In order to assess these properties more accurately, it is necessary to measure a current more directly related to the traps. The CP technique is suitable for this purpose because the electron-hole recombination current taking place through the grain boundary traps dominates the CP current [99-102]. Hence, this CP current directly represents the GBT properties.
488
J,L, Autran et al.
10.4.1. Typical CP characteristics in poly-Si TFTs A typical set of 2CP curvqs (i.e I^p vs VQL) is shown in Fig. 6.43 a. In the figure, the pulse width is changed as a parameter under the fixed pulse period of 100 |Lis. We note that the CP current decreases as the pulse width increases since the time to supply holes becomes shorter under the constant pulse period. Such CP characteristics are similar to those obtained in MOSFETs. Here the GBTs play a role similar to that played by interface states in MOSFETs. From Fig. 6.43 a, one may conclude that a large number of acceptor-like and donor-like traps exist at the grain boundary in low-temperature TFTs because Wj has shifted toward more negative VQL values and Vpg toward more positive VQL values in the non-equilibrium conditions (i.e. for short durations of the pulse width). The holes captured in the donor-like traps at the grain boundary near the surface recombine with electrons supplied by the source when the surface switches from accumulation to inversion. Similarly, the electrons captured in the acceptor-like traps recombine with holes supplied through the body terminals when the surface switches from inversion to accumulation. The influence of process temperature is illustrated in Fig. 6.43 b. A sharp reduction in CP current is observed in the case of high-temperature TFTs (maximum process temperature of « 950°C). We also observe a shift of Vpg towards positive voltages, and a shift of V j toward negative voltages when process temperature is increased. These shifts can be interpreted as being due to a significant reduction in the density of acceptor-like and donor-like traps, in the case of high-temperature TFTs. 10.4.2. Density of grain boundary traps The energy distribution Djt(E) of GBT's can be obtained by evaluating how the CP current depends on the pulse fall time tf (or rise time t^) as detailed in § 6.2. We can thus obtain the Grain Boundary Trap density Dt(E) from Eqs. (6.59) and (6.62) respectively, in part of the upper half and lower half of the silicon bandgap (see § 6.2). Figure 6.44 shows an example of the GET density for high- and mid-temperature CP curves measured on TFTs. In this case, the GET density is significantly lower in hightemperature TFTs but increases toward the conduction band edge in this case. 11. CONCLUSION In the last fifteen years, charge pumping techniques have proved to be useful in such areas as the analysis of uniform and non-uniform interface degradations, the study of aging phenomena, the study of SOI structures [103-105] and power MOSFETs [106-108], etc. The main assets of these techniques are that measurements can be performed directly on the transistors themselves even when these are of submicrometer dimensions. They give access to the Dit(E) and a(E) distributions, and can yield the spatial D^^ix) distribution, especially in the vicinity of the drain. Their main features are summarized in Fig. 6.45.
489
Charge Pumping Techniques 1200
1200
-12
- 8 - 4
0
4
- 1 2 - 8 -4 0 4 Pulse Base Voltage Vci- (V)
Pulse Base Voltage Vet. (V)
Fig, 6.43 (a) - Dependence of the 2CP current on VQI in a low-temperature TFT (maximum process temperature of 600°C) with VQJJ = lOV and f=IO kHz (After Koyanagi et al [100]). 20
'> 0)
4->
1
I
I
I
Fig. 6.43 (b) - Comparison of the CP characteristics for medium temperature and hightemperature TFTs with VQH = IOV, f = 10 kHz, pulse width = 20 f4s (After Koyanagi et al. [100]).
»
• Mid Temp. f=100kHz A M i d T e m p . f= lOkHz • M i d T e m p . f= IkHz
1 6 h
N
cn c
'eo
Q
""O
a
X
CO
u
H
Fig. 6.44 - Energy distributions of the GBT density for low - and high-temperature TFTs (After Koyanagi et al. [100]).
-...•' OOkHz 1 OkHz 1 kHz
H i sr h T e m p . H i sr h T e m p. H i gh T e m p .
+J
.oSfig/. OOQO'
0.
1
Energy
0. 2
E-Ei
0. 3
0. 4
(eV)
Recently, low-frequency CP techniques have shown to be promising in the study of emission and capture processes with long time constants and in the electrical characterization of defects in the interfacial area. More recently, it has been shown that it is possible to observe and characterize individual traps in the gate area of very small metal-oxide-semiconductor field-effect transistors (MOSFETs) [109-110]: the obtained CP characteristics do not have the classical "top-hat" shape but reveal the contribution of each trap, and the individual CP response of a single trap is easy to obtain by deconvolution of the global CP current.
490
J.L. Autran et al. STANDARD Usable Waveforms
3-LEVEL
n
f\.\
/V A I V .
Device Temperature
fixed
fixed
Extracted Parameters
Du(E)
Dit(E) a(E)
Approximation used Explorable Energy Range
SPECTROSCOPIC 1
variable (e.g. 7 7 - 4 0 0 K)
|
Di,
1
Dit(E)
CT„ =CTp= Cte
Ey
Ej
Ec ^
«Jn = S = ^^
Ev
Ei
Ec ^ Ey
Ej
Ec ^
F/g. 6.^5 - Summary of the m^xin features of charge pumping techniques
As the dimensions of elementary transistors keep shrinking with progress in device integration, charge pumping techniques are regarded as the only techniques left, capable of characterizing the quality of the Si-Si02 interface directly in the channel area. These techniques should thus remain popular and keep developing in the near future. ACKNOWLEDGEMENTS The authors gratefully acknowledge the helpful contribution of Jose Autran (University of Nice Sophia-Antipolis) to this work. They especially thank Bernard Leroy and Louis-Marie Gaborieau (IBM, IC Manufacturing Plant of Corbeil-Essonnes) for their sustained interest and support. Special thanks are due to their colleagues Sylvie Pierunek, Christophe Raynaud, Carole Plossu, Frederic Seigneur, Christophe Chaneliere, Pascal Masson (INSA de Lyon), Roderick Devine (France Telecom, CNET-Meylan), Jean-Luc Leray, Philippe Paillet, Olivier Flament, and Olivier Musseau (CEA Bruyeres-Le-Chatel), Christian Chabrerie and JeanClaude Boudenot (Thomson CSF Conmiunications) for stimulating discussions. Special thanks are also due to Pr. Andre Vapaille who thoroughly reviewed this text and contributed many useful suggestions.
Charge Pumping Techniques
491
LIST OF REFERENCES [I] [2] [3] [4] [5] [6] [7] [8] [9] [10] [II] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [36] [37] [38] [39] [40] [41] [42] [43]
Barbottin G. and Vapaille A. (Editors), Instabilities in Silicon Devices (North-Holland : Elsevier Science Publishers B.V.), 1986 and 1989. Brugler J.S., Jespers P.G.A., IEEE Trans. Electron Devices, 1969,16, 297. Van Den Bosch G., Groeseneken G., Maes H.E., IEEE Electron Device Lett., 1993,14, 107. Declercq M., Jespers P.G.A., Acta Tech. Belgica, 191 A, 9, 244. Heremans P., Witter S J., Groeseneken G., Maes H., IEEE Trans. Electron Devices, 1989, 36, 1318. Elliot A.B.M., Solid-State Electron., 1976,19, 241. Golder J., Baldinger E., Helv. Phys. Acta, 1971, 44, 387. Leuenberger F., Phys. Stat. Sol. (a), 1971, 8, 545. Shuermeyer F.L., Young C.R., Sutton W.G. IEEE Trans. Electron Devices, 1977, 24, 552. Owczarek A., Kolodziejski J.F., Electron Technology, 1977, 10, 55. Maes H.E., Groeseneken G., Electron. Lett., 1982,18, 372. Backensto W.V., Viswanathan C.R., lEE Proc, 1981,128, 44. Schmitt D., Dorda G. Electron. Lett., 1981,17, 762. Takeda E., Shimizu A., Hagiwara T., IEEE Trans. Electron Device Lett., 1983, 4, 329. Simmons J.G., Wei L.S., Solid-State Electron., 1973,16, 53. Shockley W., Read W.T. Jr., Phys. Rev., 1952, 87, 836. Hall R.N., Phys. Rev.. 1952, 87, 387. Kaden G., Reimer H., Phys. Stat. Sol. (a), 1975, 32, 183. Kaden G., Reimer H. Phys. Stat. Sol. (a), 1977, 44, 117. Kaden G., Reimer H. Phys. Stat. Sol. (a), 1979, 52, 35. Kaden G., Reimer H. Phys. Stat. Sol. (a), 1979, 53, 195 Kaden G., Reimer H. Phys. Stat. Sol. (a), 1980, 59, 719. Groeseneken G., Maes H.E., Beltran N., De Keersmaecker R.F., Insulating Films on Semiconductors Conf. Proc. (Eindhoven : Elsevier Science Publishers), 1983, 153. Groeseneken G., Maes H.E., Beltran N., De Keersmaecker R.F., IEEE Trans. Electron Devices, 1984, 31, 42. Cilingiroglu U., Solid-State Electron., 1985, 28, 1127. Wachnik R.A., Lowney J.R., Solid-State Electron., 1986, 29,447. Van Den Bosch G., Groeseneken G., Heremans P., Maes H.E., European Solid State Res. Conf. Proc. (Nottingham : lOP Publishing Ldt), 1990, 579. Van Den Bosch G., Groeseneken G., Heremans P., Maes H.E., IEEE Trans. Electron Devices, 38, 1820. Balland B., Plossu C , Lubowiecki V., Ledys J.L., Rev. Phys. AppL, 1988, 23, 1837. Autran J.L., Ph.D. Thesis (Villeurbanne : Institut National des Sciences Appliquees), 1994. Sze S.M., Physics of Semiconductor Devices (New-York : Wiley & Sons), 1966. Plossu C , Lubowiecki V., Balland B. Solid-State Commun., 1988, 65, 1231. Henning A.K., Dimauro J.A. Electron. Lett., 1991, 27, 1445. Ancona M.G., Saks N.S., McCarthy D., IEEE Trans. Electron Devices, 1988, 35, 2221. Saks N.S., Ancona M.G. ffiEE Trans. Electron Devices, 1990, 37,1057. Latyshev A.V, Lisovskii G., Lomako V., Soviet Techn. Phys. Lett., 1991, 17,710. Shaw J-J., Wu K., Int. Electron Device Meeting Tech. Dig., 1989, 83. Li X.M., Deen J., Int. Electron Device Meeting Tech. Dig., 1990, 85. Li X.M., Deen.J., Solid-State Electron., 1992, 35, 1059. Autran JL., Seigneur F., Plossu C., Delmas J., Balland B., J. Phys. III., 1993, 3, 1947. Tseng W.L. J. Appl. Phys., 1987, 62, 591. Chung J.E., MuUer R. S., Solid-State Electron., 1989, 32, 867. NicoUian E.H. and Brews J.R., MOS (Metal Oxide Semiconductor) Physics and Technology, (New-York : Wiley & Sons), 1982.
492
[44] [45] [46] [47] [48] [49] [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60] [61] [62] [63] [64] [65] [66] [67] [68] [69] [70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] [82] [83] [84] [85] [86]
/ . L . Autran et al.
Hofmann F., Krautschneider W.H., / AppL Phys., 1989, 65, 1358. Przyrembel G., Krautschneider W.H., Soppa W., Wagemann H.G., European Solid State Res. Conf. Proc. (Bologna: Technoprint),1987, 687. Saks N.S., Ancona M.G. IEEE Electron Device Lett., 1990,11, 339. Saks N.S., Ancona M.G., Chen W., Insulating Films on Semiconductors Conf. Proc. (Eindhoven : Elsevier Science Publishers), 1991, 139. Ancona M.G., Saks N.S. J. Appl. Phys., 1992, 71,4415. Kejhar M., IEEE Electron Device Lett., 1992,13, 344. Autran J.L., Balland B., Rev. Sci. Instrum., 1994, 65, 2141. Ziegler K., Klausmann E., Appl. Phys. Lett., 1975, 26,400. Nicollian E.H., Goetzberger A , Bell Syst. Tech. /., 1967, 1055. Ghibaudo G., Saks N.S. /. Appl. Phys., 1988, 64,4751. Ghibaudo G., Saks N.S. J. Appl. Phys., 1989, 65,4311. Hofmann P., Hansch W. J. Appl Phys., 1989, 66, 3092. Van Overstraeten R.J., Declerck G.J., Muls P.A., IEEE Trans. Electron Devices, 1975, 22, 282. McWhorter P.J., Fleetwood D.M., Pastorek R.A., Zimmerman G.T., IEEE Trans. Nucl. Sci., 1989, 36, 1792. Nguyen-due C., Ghibaudo G., Balestra F., Phys. Stat. Sol. (a), 1991,126, 553. Schwank J.R., Reetwood D.M., Shaneyfelt M.R., Winokur P.S., IEEE Trans. Nucl. Sci., 1993, 40, 1666. Papadas C , Revil N., Ghibaudo G., Mortini P., Pananakakis G., European Solid State Res. Conf. Proc. (Grenoble : Editions frontidres),1993, 543. Moragues J.M., Oualid J., Jerisian R., Ciantar E., /. Appl. Phys., 1993,74, 5078. Saks N.S., Ancona M.G., IEEE Trans. Nucl. Sci., 1987, 34, 1348. Nishioka Y., da Silva E.F., Ma T.P., Appl. Phys. Lett., 1988, 52,720. Heyns M.M., European Solid State Res. Conf Proc. (Nottingham : lOP Publishing Ldt), 1990, 361. Witter J.S., Groeseneken G., Maes H.E., Int. Electron Dev. Meeting Tech. Dig., 1987, 544. Djahli F., Autran J.L., Plossu C., Balland B., Mat. Sci. Eng. B, 1994, 23, 120. Maes H.E., Groeseneken G., Heremans P., Bellens R., Appl. Surf. Sci., 1989, 39, 523. Heremans P., Bellens R., Groeseneken G., Maes H.E., IEEE Trans. Electron Devices, 1988, 35, 2194. Bellens R., Heremans P., Groeseneken G., Maes H.E., IEEE Electron Device Lett., 1988, 9, 232. Maes H.E., Heremans P., Bellens R., Groeseneken G. Quality and Reliability Engineering International, 1991,7,307. Houdt J.v., Heremans P., Deferm L., Groeseneken G., Maes H.E., IEEE Trans. Electron Devices, 1992, 39,1150. Revil N., Cristoloveanu S., Mortini P., Microelec. Eng., 1992,19, 461. Das N.C., Nathan V., J. Appl. Phys., 1993, 74,7596. Das N.C., Nathan V., Semicond. Sci. Technol., 1993, 8, 549. Chen W., Balasinski A., Zhang B., Ma T.P., IEEE Electron Device Lett., 1992,13, 201. Chen W., Ma T.P., IEEE Electron Device Lett., 1992,13, 319. Woltjer R., Hamada A., Takeda E., Semicond. Sci. Technol., 1992, 7, B581 Bellens R., Groeseneken G., Heremans P., Maes H.E., Microelec. Eng., 1992,19,465. Vuillaume D., Marcheteaux J.C., Boudou A., IEEE Electron Device Lett., 1991,12, 60. Habas P., Solid-State Electron., 1995, 38, 891. Chen W., Balasinski A., Ma T., IEEE Trans. Nucl. Sci., 1991, 38, 1124. Tsuchiaki M., Hara H., Morimoto T., Iwai H., IEEE Trans. Electron Devices, 1993,40, 1768. Lee R.G.H., Su J.S., Chung S.S., IEEE Trans. Electron Devices, 1996, 43, 81. Fleetwood D.M., IEEE Trans. Nucl. Sci., 1992, 39(4), 269. Fleetwood D.M., Winokur P.S., Reber R.A., Meisenheimer T.L., Schwank J.R., Shaneyfelt M.R., Riewe L.C., J. Appl. Phys., 1993, 73, 5058. Fleetwood D.M., IEEE Trans. Nucl. Sci., 1996, 43, 779.
Charge Pumping Techniques [87] [88] [89] [90] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110]
493
Dniijf K.G., de Nijs J.M.M., van der Drift E., Granneman E.H.A. and Balk P., Microelectron. Eng., 1993, 22,231. Kerber M., Appl. Phys, Lett,, 1991, 56, 3416. Paulsen R.E., Siergiej R.R., French M. L. and White M.H., IEEE Electron Device Lett., 1992,13, 627. Paulsen R.E. and White M.H., IEEE Trans, Electron Devices,l994, 41, 1213. Autran J.L., Balland B. and Babot D., J, Non-Cryst, Solids,l995,187, 211. Bauza D. and Ghibaudo G., Solid-State Electron,, 1996, 39, 563. Warren W.L., Shaneyfelt M.R., Fleetwood D.M., Schwank J.R., Winokur P.S. and Devine R.A.B., IEEE Trans, Nucl. Sci„ 1994, 41, 1817. Roy A., Ph,D, Dissertation (Bethlehem, PA : Lehigh Univeristy), 1989. Schwank J.R., Fleetwood D.lVl., Shaneyfelt M.R., Winokur P.S., IEEE Trans, Nucl. ScL, 1993, 40, 1666. Fleetwood D.lVl., Shaneyfelt M.R., Warren W.L., Schwank J.R., Meisenheimer T.L., Winokur P.S., Microelectron. Reliab., 1995, 35, 403. Fleetwood D.M., Shaneyfelt M.R., Schwank J.R., Appl. Phys. Lett., 1994, 64, 1965. Levinson L., /. Appl. Phys., 1982, 53, 1193. Koyanagi M., Baba Y., Hata K., Wu I.W., Lewis A.G., Fuse M., Bruce R., Int. Electron Device Meeting Tech. Dig., 1990, 863. Koyanagi M., Baba Y., Hata K., Wu I.W., Lewis A.G., Fuse M., Bruce R., IEEE Electron Device Lett., 1992, 13, 152. Balasinski A., Sundaresan R., Hodges R., Huang K.W., Worley J., Liou F.T., Electrochem, Soc, Meeting Proc, (Miami Beach : The Electrochemical Society), 1994. Saks N.S., Batra S., IVIanning M,, Microelectr Eng, 1995, 28, 379. Wouters D.J., Tack, M.R., Groeseneken G., Maes H.E., Claeys C.L., IEEE Trans. Electron Devices, 1989,36,1746. Ouisse T., Cristoloveanu S., Elewa T., Haddara H., Borel B., loannou D.E, IEEE Trans. Electron Devices, 1991, 38, 1432. Li Y., Wang G., Ma T.P., Int. Electron Device Meeting Tech. Dig., 1995, 643. Habas P., Prijic Z., Pantic D., Microelectr Eng„ 1995, 28, 171. Prevost G., Augier P., Palau J.M., RADECS Proc, IEEE Catalog Number 95TH8147, 1995, 230. Witczak S.C, Galloway K.F., Schrimpf R.D., Titus J.L., Brews J.R., Prevost G., IEEE Trans, Nucl. ScL, 1996, 43, 2558. Saks N.S., Groeseneken G., De Wolf I., Appl, Phys, Lett,, 1996, 68, 1383. Groeseneken G., De Wolf I., Bellens R., Maes H.E., IEEE Trans, Electron Devices, 1996, 43, 940.
This Page Intentionally Left Blank
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) © 1999 Elsevier Science B.V. All rights reserved.
495
CHAPTER 7
THE STUDY OF THERMAL NITRIDATION AND REOXIDATION MECHANISMS USING ISOTOPIC TRACING METHODS by J.-J. GANEM(i) and J.J.R. BAUMV0L(2)
Key items Nuclear reaction analysis Nuclear narrow resonance Isotopic tracing Thermal nitridation Thermal reoxidation Silicon oxynitride films Growth mechanisms Atomic exchanges
(I) Universite Pierre et Marie Curie Groupe de Physique des Solides T-23.2 Place Jussieu 75251 Paris Cedex 05, France
(2) Instituto de Fisica UFRGS Porto Alegre, RS 91540-000, Brazil
496
/./. Ganem and IJ,R. Baumvol
Abstract of Chapter 7. The study of thermal nitridation and reoxidation mechanisms using isotopic tracing methods. The electrical properties of thin films of silicon nitride and silicon oxynitride are closely linked to their structure and atomic composition. These in turn depend on growth mechanisms which were not well understood up to now. In this chapter, we show that the growth of films in well-controlled ambients (alternately natural and isotopically-enriched) combined with high-resolution profiling techniques, enable one to describe, on an atomic scale, the transport and exchange mechanisms taking place. We first recall the fundamentals of isotopic tracing and we describe two techniques used to measure the indepth concentration profiles: step-by-step chemical etching associated with an absolute quantitative analysis using Nuclear Reaction Analysis, and low-energy Nuclear (narrow) Resonance Profiling. By applying these two techniques to the thermal growth of nitride films, carried out on a silicon substrate in gaseous ammonia, we can clarify the part that each chemical species play. We show that film growth is due to the interstitial migration ofNH^ groups. Hydrogen liberated during nitridation diffuses towards the top surface of the nitride film. Likewise, these techniques show that the nitridation of silica in gaseous ammonia is due to the interstitial diffusion of NH^ groups. This diffusion is however accompanied by an atomic exchange (O atoms being substituted by N atoms) taking place near the top surface of the film. During the reoxidation of oxynitride films, these techniques show that the interstitial diffusion of oxygen is accompanied by an (N—>0) exchange and by a decrease in hydrogen content due to the formation of water vapor. The thermal growth of silicon oxynitride films can also be performed in a single step, using gaseous N2O. In this case, the films are devoid of hydrogen and nitrogen remains located near the Si/Si02 interface. Finally, when these oxynitride films are reoxidized, the interstitial diffusion of oxygen is accompanied by an important (0—MD) exchange and by a global loss of nitrogen which migrates towards the top surface. Resume du chapitre 7.: Etude des mecanismes de nitruration thermique et de reoxydation par les methodes de tragage isotopique, Les proprietes electriques des films minces de nitrure et d'oxynitrure de silicium sont etroitement liees a leur structure et a leur composition atomique qui, a leur tour, dependent de mecanismes de croissance qui etaient jusqu 'a present mal connus. On montre dans ce chapitre, que la croissance de films dans des atmospheres controlees, alternativement naturelles et enrichies, couplee a des techniques de profilage isotopique a haute resolution, permet de decrire a I'echelle atomique les mecanismes de transport et d'echange. On rappelle, tout d'abord, le principe du tragage isotopique et I'on decrit deux techniques de mesure des profits de concentration : la dissolution chimique pas-a-pas associee a la determination absolue des constituants par reaction nucleaire, et le profilage a basse energie par reaction nucleaire resonante etroite. L 'application de ces deux techniques lors de la croissance thermique de films de nitrure, sur des substrats de silicium dans I 'ammoniac gazeux, permet de clarifier le role des especes chimiques mises en Jeu. On montre que la croissance dufilm est due a la migration interstitielle de groupements NHx- L 'hydrogene libere lors de la nitruration diffuse vers la surface dufilm. De meme, ces techniques montrent que la nitruration de la si lice dans I 'ammoniac gazeux est egalement due a la diffusion interstitielle des groupements NH^ Toutefois, cette diffusion s'accompagne d'un echange atomique (0->N), qui a lieu essentiellement pres de la surface. Lors de la reoxydation de films d'oxynitrure, ces techniques de tragage montrent que la diffusion interstitielle de I'oxygene s'accompagne d'un echange atomique (N—>0) et d'une diminution de la teneur en hydrogene due a la formation de vapeur d'eau. La croissance thermique sous N2O permet de former en une seule etape et sans incorporer d'hydrogene, des films d 'oxynitrure dans lesquels I 'azote est situe pres de I 'interface. Enfin, lors de la reoxydation de ces films d'oxynitrure, la diffusion interstitielle de I'oxygene s'accompagne d'un echange (0—>0) tres important, et d'uneperte d'azote, ce dernier migrant, globalement, vers la surface.
The Study of Thermal Nitridation
497
Zusammenfassung zu Kapitel 7: Die Untersuchung thermischer Nitridierrungs- und Reoxidationsmechanismen durch isotopische Tracer-Methoden Die elektrischen Eigenschaften dUnner Filme aus Silizium-Nitrid und Silizium-Oxynitrid sind mit ihrer Struktur un ihrer atomaren Struktur eng verbunden. Dieses wiederum hdngt mit den Wachtumsmechanismen zusammen, die jedoch bis heute nicht sehr gut verstanden sind. In diesem Kapitel ziegen wir, dap das Schichtwachstum in kontrollierter Umgebung (entweder natiirlich oder isotopisch angereichert), verbunden mit der Anwendung hochempfindlicher Tiefenprofil-Mep\;erfahren, uns die Beschreibung der Transport- und Austauschmechanismen im atomaren MajSstab erlauben. Zunachst bringen wir die Grundlagen der Isotopen-Analyse in Erinnerung und beschreiben zwei Methoden, die verwendet werden, um Tiefenprofile der Zusammensetzung zu bestimmen: stufenweise chemische Atzschritte verbunden mit der absoluten Bestimmung durch Nukleare Reaktions-Analyse und Niederenergie(scharfe) Resonanz-Profdierung. Bei Anwendung dieser beiden Methoden aufdas thermische Aujwachsen von Nitrid-Schichten, auf SiliziumSubstrate, in gasformigem Ammoniak, konnten wir die Rolle, die jeder beteiligte Partner spielte, aufdecken. Wir zeigen, das das Schichtwachstum durch Zwischengitter-Wanderung von NH^-Gruppen geprdgt ist Wasserstoff, freigesetzt wahrend der Nitridierung, diffundiert zur vorderseitigen Oberfldche der Nitrid-Schicht. Ebenso zeigen diese Methoden, daP die Nitridierung von Siliziumoxid in gasformigem Ammoniak durch Zwischengitter-Diffusion von NH^-Gruppen erfolgt. Diese Diffusion wird jedoch begleitet durch atomaren Austausch (O-Atome werden durch N-Atome substituiert) nahe der Oberfldche der Schicht. Bei der Reoxidation von Oxynitrid-Schichten zeigen diese Methoden, dap die Zwischengitter-Diffusion begleitet wird durch einen Austausch (N —> O) und eine Abnahme der Wasserstoff-Konzentration, hervorgerufen durch die Bildung von Wasserdampf Das thermische Wachstum von Oxinitrid-Schichten kann in einem Schritt durch die Wahl von gasformigem N2O durchgefuhrt werden. In diesem Fall sind die Schichten arm an Wasserstoff und der Stickstoff bleibt nahe der Si/SiO 2-Phasengrenze. Werden diese Oxynitrid-Schichten schliesslich reoxidiert, so wird die Zwischengitter-Diffusion durch einen wichtigen (O -> O) Austausch begleitet, ebenso durch einen allgemeinen Verlust an Stickstoff der zur oberen Oberfldche wandert.
498
/./. Ganem and IJ.R, Baumvol
Chapter 7: The study of thermal nitridation and reoxidation mechanisms using isotopic tracing methods Table of contents 1. Introduction to labelling techniques 1.1. Fundamentals of isotopic tracing 1.2. Determination of depth concentration profiles in very thin dielectric layers 1.2.1. Review of currently used techniques 1.2.2. Fundamentals of Nuclear Reaction Analysis (NRA) 1.2.3. Examples of results obtained by combining NRA with step-by-step chemical etching 1.2.4. Fundamentals of Nuclear reaction Resonance Profiling (NRP) 1.2.5. Examples of results obtained by NRP 2. Mechanisms of thermal growth of silicon nitride films 2.1. Motion of nitrogen 2.2. Motion of hydrogen 2.3. Non-motion of silicon 2.4. Conclusion 3. Mechanisms of thermal nitridation of silica films 3.1. Most likely chemical reactions 3.2. Motion of nitrogen 3.3. Exchange phenomena during nitridation 3.4. Discussion and conclusion 4. Mechanisms of thermal reoxidation of oxynitride films 4.1. Role of oxygen 4.2. The combined role of oxygen and hydrogen 4.3. Role of nitrogen 4.4. Exchange mechanisms 4.5. Symmetrical behavior of the exchange mechanisms 5. Oxynitride films grown in N2O 5.1. Thermal treatment in N2O. A relatively new process 5.2. Growth kinetics 5.2.1. Time dependence 5.2.2. Pressure dependence 5.3. Motion of nitrogen during growth 5.4. Atomic transport mechanisms during growth in N2O 5.5. Reoxidation of N20-based oxynitrides 6. Conclusion List of references
The Study of Thermal Nitridation
499
1. INTRODUCTION TO LABELLING TECHNIQUES The electrical properties of thermal silicon nitride and oxynitride films are tightly linked to their structure and composition, the latter being, in turn, closely related to the growth mechanisms. Although numerous experimental results about the growth kinetics of these films, as well as about the kinetics of nitrogen incorporation into Si02 films, have been published, these results do not provide all the information necessary to model the nitridation process. A good understanding of the atomic transport mechanisms, and of the various chemical reactions which take place between the nitriding species and the growing dielectric film, is mandatory to improve the reliability of such dielectrics. ! • ! . FUNDAMENTALS OF ISOTOPIC TRACING
Isotopic tracing is potentially the most powerful tool available today to determine, on an atomic scale, the mechanisms at work during the thermal treatment of dielectric films. The method has been widely explained by S. Rigo in Chap. 1 of Vol. 1 of this book series [23]. Regarding the thermal oxidation of silicon, isotopic tracing has yielded vital information about the growth mechanisms. More recently it has been extensively used to understand the initial stages of "dry" oxidation which remains a subject of scientific debate [1,2]. The labelling of a given atom makes it possible to follow its migration in the growing dielectric film. To achieve this, the element to be traced should have a stable and rare isotope. Fortunately, this is true of the constituents of silicon nitride and oxynitride films (i.e. Si, O, N and H) as shown in the first column of Table 7.1. Isotopic tracing during thermal growth consists in performing thermal treatments alternately in natural and in isotope-enriched atmospheres, and in determining the in-depth concentration profile of the labelled isotope. By comparing the experimental depth profiles to theoretical profiles obtained through a probabilistic modeling of the atomic transport mechanisms [3], one can identify the growth mechanisms. 1.2. DETERMINATION OF DEPTH CONCENTRATION PROFILES IN VERY THIN DIELECTRIC LAYERS
1.2.1. Review of currently used techniques To measure the depth concentration profiles of the various elements encountered in thin layers of silicon nitride (< 6 nm) and silicon oxynitride (< 15 nm), we must use a high resolution depth analysis technique. A good depth resolution is particularly necessary in this case because (as we shall see next) concentrations vary rapidly underneath the surface of the growing film and at the dielectric/silicon interface. Secondary Ion Mass Spectroscopy (SIMS), although very sensitive to the atomic masses of the elements encountered in nitrides and oxynitrides, is difficult to use for very thin films because of transitory effects. These effects take place during the initial stage of sputtering and during the sputtering of interfaces (where the medium varies rapidly), and degrade both depth resolution and sensitivity.
500
JJ, Ganem and IJ,R: Baumvol
Alternative techniques must thus be used. Let us mention here the most commonly used ones: • Sputter-Auger Electron Spectroscopy (Sputter-AES), • X-ray Photoelectron Spectroscopy associated with chemical etching (XPS-chemical etching), • Medium Energy Ion Scattering (MEIS), • Nuclear Reaction Analysis associated with chemical etching (NRA-chemical etching), and • narrow Nuclear reaction Resonance Profiling (NRP). So far, only the last two methods have been successfully used to measure the migration of labelled atoms (isotopes) during the thermal growth of silicon nitrides and oxynitrides. They both possess the following suitable characteristics: i) a good in-depth resolution (better than 1 nm near the surface), ii) a high sensitivity for all the isotopes encountered in silicon nitride and silicon oxynitride films (of the order of 10^^ atoms/cm^). Moreover, both permit an absolute determination of atomic amounts, regardless of the matrix. The nuclear reaction yields do not depend on the matrix. Furthermore, in the case of NRP, the analysis is non-destructive. The fundamentals of these two methods are briefly recalled below and their main characteristics are given in Table 7.1. Non-resonant nuclear reactions Isotope 2H
180 160 14N 15N
Nuclear reaction
Incident particle energy (MeV)
Detection angle (degree)
2H(3He,p)4He 180(p,a)15N I60(d,po)l70 l4N(d,ai)l2c l5N(p,aY)l2c
0.70 0.73 0.81 1.45 1.00
150 150 90 150 150
Sensitivity (x 10l3.cm-2)
0.1 1.0 10 10 0.1
Resonant nuclear reactions Isotope
Natural abundance
Nuclear reaction
Resonance energy ER (keV)
Width of resonance peak (eV)
15N
0.365 0.204 4.68 3.05
15N(p,ay)l2c l80(p,a)l5N 29Si(p,Y)30p 30Si(p,Y)31p
429 151 324 620
120 100 100 70
180 29Si 30Si
Table 7.1 - Characteristics of the isotopes and of the nuclear reactions usedfor isotopic tracing experiments.
The Study of Thermal Nitridation
501
1.2.2. Fundamentals of Nuclear Reaction Analysis (NRA) The NRA technique consists in irradiating the target with charged particles which possess an energy sufficiently high so that they can penetrate the Coulomb barrier. Under these circumstances, a variety of nuclear reactions can occur. For a given incident particle and a given incident energy, each element encountered in the target can react and produce secondary particles whose energy depends on the reaction heat (Q). For example, to determine the amount of oxygen present in a target we can use nuclear reaction l6o(d,p)l'70. In this case, an incident beam of monoenergetic deuterons is used at an energy of 0.8 MeV for which the nuclear reaction cross-section varies only slowly with energy. Thus, as the incident deuteron looses energy by passing through the target, the probability that the nuclear reaction occurs remains unchanged. Each nuclear reaction event produces a proton with an energy of 2.4 MeV, which can be detected, and an ^^O nucleus in various states of excitation (the fundamental state is usually preferred for analysis purposes). The number of protons, measured with a surface barrier detector, in a given geometry and for a given dose of incident deuterons, is proportional to the areal density of l^O atoms encountered in the target. By comparing this number of protons with that observed from an l^O standard sample measured in the same experimental conditions, we can deduce the true areal density of ^^o in the target. 1.2.3. Examples of results obtained by combining NRA with step-by-step chemical etching The in-depth concentration profile of a given isotope in a film can be determined by combining a progressive chemical etching (carried out in a diluted buffered HF solution) with the determination, by NRA, of the total amount of isotopes remaining in the film after each etching step. By differentiating the curve giving that total amount as a function of film thickness, we get the local concentration of this isotope versus depth. This method leads to a depth resolution of about 1 nm across the whole nitride or oxynitride sample. Figures 7.1 a & b illustrate how the method has been applied to determine the concentration profile of deuterium (D) in an Si02 film thermally nitrided in D-enriched NH3. Figure 7.1 a a shows the dissolution curve which, when read from right to left, gives the amount of deuterium remaining in the film after each etching step, as a function of the total amount of oxygen plus nitrogen (N+O), also measured by NRA. Since the N and O atoms are only encountered in the oxynitride film, and since the amount of deuterium is rather small compared to the amount of N and O, the sum of the N and O atoms present in the film is an appropriate way to measure depth. We can thus use an equivalent depth scale, such that a quantity of lO^^ N atoms/cm^ is equivalent to 0.188 nm of a pure silicon nitride film and a quantity of 10^^ O atoms/cm^ is equivalent to 0.226 nm of a pure silicon oxide film [7]. The local concentration profile, i.e. [D] = D/(N+0) plotted versus (N+O), is obtained by differentiation of the dissolution curve as represented in Fig. 7.1 b.
JJ, Ganem and LJ,R, Baumvol
502
0.015
0.6
—
r-
Interface
'^
O H2:;
/ •
j ^
4
^w
Surface (b) I
35 N + 0 [ 1015 atoms/cm2 ]
70
-
»
35 N + 0 [ 1015 atoms/cm2]
70
Fig. 7.1 ' Illustration of Nuclear Reaction Analysis combined with step-by-step chemical etching, applied to a silicon oxide film nitrided in ND3 [7]. Left) The total amount of deuterium remaining after each etching step is plotted as a function of the total amount of remaining (N+0) atoms. Right) Plot of the deuterium depth concentration profile, obtained by differentiation of Curve a.
1.2.4. Fundamentals of Nuclear reaction Resonance Profiling (NRP) Unlike NRA, Nuclear reaction Resonance Profiling (NRP) uses a narrow resonance peak (of energy ER) of the nuclear reaction cross-section. By bombarding the target with particles of incident energy Ep greater than ER, we can identify and discriminate atoms located at depth e such that: Ep-ER e =
(7.1)
dx ratio dE/dx being the stopping power of the incident particle in the matrix. This formula only applies, of course, in the absence of energy fluctuations. By measuring the number of emitted particles (a or 7-rays) as a function of incident energy Ep, we obtain the so-called excitation curve. An example of excitation curve, corresponding to reaction ^^©(p, a) ^^N, with ER = 151 kev, is given in Fig. 7.3. If the target has a uniform concentration of the isotope of interest, and in the absence of energy fluctuations, the excitation curve is rectangular. The width of the plateau is proportional to dE/dx convoluted by the instrumental energy resolution function (the latter function being the convolution of the Lorentzian resonance line shape with the Gaussian ion beam spread). Another type of information is contained in such excitation curves: the height of the plateau is nearly proportional to the average atomic concentration of the analyzed element (e.g. 15N, I80) in the layer.
The Study of Thermal Nitridation
503
detector detection angle incident beam sample Fig. 7.2 - Schematics of the NRP detection technique.
For targets with a non-uniform distribution of isotopes, the excitation curves are more complex and the atomic depth concentration profiles are determined by means of a computerbased simulation program called SPACES [7]. The depth resolution of this profiling technique depends mainly on the width of the nuclear reaction resonance peak, on the stability of the beam energy, on the stopping power of the incident particles, and on the measurement geometry. For the isotopes described in Table 7.1, in materials like silicon nitride and silicon oxynitride, using a well stabilized accelerator, and for a tilt angle of 65° (see Fig. 7.2), one obtains a depth resolution of approximately 1 nm near the surface. 1.2.5. Examples of results obtained by NRP Figure 7.3 illustrates how the NRP method has been used to determine the 1^0 concentration profile in a silicon oxynitride film (Si^^OxNy) thermally reoxidized in dry I8O2. A 16-nm-thick Sil602 layer has first been nitrided in NH3 (P[NH3] = 30 mbar, T = lOOOX, t = 60min) and then reoxidized in I8O2 (P[^^02] = 100 mbar, T = lOOOX, t = 30 min). The experimental excitation curve of nuclear reaction l80(p,a)l5N, shown in Fig. 7.3, has been measured around the resonance energy of the reaction at 151 keV. A tilt angle of 70"" has been used to enhance the depth resolution. The dots correspond to the experimental data, whereas the solid lines represent simulated excitation curves obtained by using program SPACES (the upper solid line is the sum of the excitation curves contributed by each arbitrary thickness interval used for simulation). The resulting l^O concentration profile, i.e. [1^02] = 1^0/(16o+18o+N), is plotted versus depth, in the inset. 2. MECHANISMS OF THERMAL GROWTH OF SILICON NITRIDE FILMS The thermal growth of silicon nitride films on silicon is usually performed in gaseous ammonia (NH3) because the processing temperatures required (~ 900°C), are lower than those needed to promote nitride growth in N2 (>1200°C) [4,5]. When a silicon wafer is first exposed to ammonia, the adsorption, decomposition, and reaction of NH3 molecules at the Si surface promote the formation of one or two monolayers of silicon nitride as explained in Chap. 1 of this volume. The subsequent growth of the silicon nitride film depends on the transport of the nitriding species across this initial layer.
504
/./. Ganem and IJ.R. Baumvol
100 400 00
c o o ^
0
6
10
15
Depth (nm) 200
0
2
4
6
E - E R (keV) Fig. 7.3 - Excitation curve of nuclear reaction ^^0(p,a)^^N around the energy of the resonance at 151 keV (dots). The solid lines represent the simulated excitation curve obtained by using program SPACES. The ^^O concentration profile deduced from the excitation curve is shown in the inset.
Thermal growth is found to be very fast during the early stages, it slows down when the film thickness reaches about 2 nm, and then stops for a film thickness above 5 nm [4-6]. Nitride growth is said to be self-limited. Since the final film thickness is so small, the growth mechanisms are difficult to study. The isotopic tracing of nitrogen and hydrogen enables us to better understand the growth mechanisms. Three types of anmionia gas may be used: pure (electronic-grade) ammonia (l^NH3), l^N-enriched ammonia (I5NH3), ^ ^ ^H-enriched ammonia (^^N'^H^ also symbolized by ND3). 2.1. MOTION OF NITROGEN
To study the motion of nitrogen atoms during thermal growth in anmionia, the nitridation process may be performed in two different sequences: • in the first sequence, growth takes place in a ^^NU^ atmosphere (1st step) followed by growth in a 1^NH3 atmosphere (2nd step), • in the second sequence, the order is reversed (first growth in l^NH3 then in 15NH3). The [N] profiles (i.e. 14N/(14N+15N) versus (14N+15N) or 15N/(14N+15N) versus (14N+15N)) are obtained by combining NRA with chemical etching. The profiles of Fig. 7.4 a correspond to Si (100) wafers which have been nitrided first in 14NH3 (100 mbar, 900°C, 4 hours) then in 15NH3 (100 mbar, 900°C, 4 hours). The profiles of Fig. 7.4 b, correspond to the reverse sequence [7].
The Study of Thermal Nitridation
1
505
1
25 2^ ^0.5 II
A
\/Surface
h Interface
Yy
•
/ \
• - •
•
••
4
a)
j
14N
(a) 1 8
+ 15N (1015 cm-2)
12
b)
4 8 14N + 15N (1015
Fig. 7.4 ' Concentration profiles measured after a two-step thermal growth in ammonia [7]. a) Growth in ^"^NH^ followed by growth in ^^NHj. b) Growth in ^^NH^ followed by growth in ^"^NH^. (open squares correspond to [^"^N], black dots correspond to [^^N]. See text for detail).
We note that the nitrogen atoms which are incorporated during the second step remain mostly in the surface region and that there is an appreciable overlap of the [l^N] and the [l^N] profiles near the surface. This behaviour is fairly different from that observed for oxygen, during the thermal growth of Si02 in dry O2. In that case, isotopic tracing shows that oxygen introduced during the second step accumulates mostly at the Si/Si02 interface [3, 23]. 2.2. MOTION OF HYDROGEN
Thermal nitridation can also be performed entirely in a ND3 atmosphere. This procedure allows a quantitative analysis of hydrogen, devoid of contamination effects introduced by exposure to air. By measuring the deuterium profile, using NRA and chemical etching, we conclude that, after nitridation, D is mostly encountered close to the surface [7]. By performing nitridation first in ND3 then in NH3, we can show that a large amount of exchange takes place between H and D (large compared with the l^N
506
J.J. Ganem and I.J.R. Baumvol
2.3. NON-MOTION OF SILICON
The silicon atoms do not move during growth. This has been illustrated by the isotopic tracing of Si, using a 1.4nm-thick layer of 29si epitaxially deposited on a natural siUcon wafer, and by measuring the profiles of 29si before and after thermal nitridation in NH3. The result is shown in Fig. 7.5. The fact that the rising edges of the excitation curves of the 29Si(p,7)30p nuclear reaction, around the energy of the resonance at 324 keV, measured before and after thermal nitridation, coincide, proves that the 29si atoms have not moved and thus that the Si species in general are immobile during growth [9]. 800
1
*-
'
'
'
Cii«^n/'
1 ourtacc
600
•
• s »o
l
400 1
r
o
>
*
200
1
J
0
•
0
~|
•
0 •
J 00
•
h
00 • • •
1 1
-j
Before nitridation After nitridation
0
0
I
,
00 <
••
• «
J 0
0
• ° •
^
0 41n a » a a •
1
•
'
•
•
J '
E - E R (keV) Fig. 7.5 ' Excitation curve of the ^^Si(p, jj^^^P nuclear reaction near the resonance at 324 keV obtained from a 1.4-nm-thick layer of ^^Si, epitaxially deposited on a (100) silicon wafer, before (•) and after (o) thermal nitridation in NHj [9]. 2.4. CONCLUSION
From the above observations, we can conclude that the nitridation of silicon proceeds due to the transport of NHx species through the growing film. NHx moves towards the nitride/silicon interface, either as an interstitial defect reacting with the nitride network or as a substitutional defect driven by a diffusion mechanism. This transport is accompanied by a slight exchange of nitrogen atoms coming from the gas phase with nitrogen atoms already incorporated in the network (N4->N exchange). The motion of hydrogen is complex. Hydrogen is incorporated in the network, via the NHx species. Exchange phenomena take place whereby hydrogen atoms from the gas phase can substitute hydrogen atoms already incorporated ( H ^ H exchange). Moreover, part of the hydrogen atoms are liberated and outdiffuse in molecular form. Let us note, however, that the depth profiling of hydrogen is extremely difficult given the small thickness (< 4 nm) of the thermal nitride films and the absence of low-energy nuclear resonance reactions.
The Study of Thermal Nitridation
507
3. MECHANISMS OF THERMAL NITRIDATION OF SILICA FILMS In microelectronics applications, thermal oxynitride films are preferred to thermal silicon nitride films because they can be produced in thicker layers. Oxynitrides are commonly obtained by nitriding silica layers in gaseous NH3 [10]. (The thermal nitridation of silica directly in N2 is impossible (at T < 1 200°C) since no nitrogen atom gets incorporated in the network). Isotopic tracing enables us to better understand the various mechanisms at work during nitridation. 3.1. M O S T LIKELY CHEMICAL REACTIONS
The diffusing NH3 molecules react differently depending on their location across the film. Ammonia molecules chemisorb at the surface of silica at temperatures above 650°C and react as follows: Si'O-Si + NH3 ^ SiNH2 + SiOH
{12)
At the Si02/Si interface, because of the existence of free silicon atoms and considering the change in free energy of the chemical reactions, the following reactions can take place: Si02 •h3Si-\'4 NHj 4=> 2 Si2N20 + 6 H2 2 Si02 + Si-^4 NHj ^ SisN4 + 4 H2O
(7.3) (7.4)
Finally, in the bulk of silica, the nitriding species can react with the oxide network following: Si-O'Si + NH3 ^ Si2NH + H2O
(7.5)
This explains why silamine (Si2NH) and silanol (SiOH) groups, as well as silicon nitride and silicon oxynitrides are observed in silica films nitrided in NH3 [11,12]. The transport of the nitriding species during the thermal nitridation of the very thin («10 nm) Si02 films used for VLSI applications is rendered more complex by the closeness of the Si02/Si interface which acts either as a reactive zone, or as a reflecting wall. 3.2. M O T I O N OF NITROGEN
In order to isolate the influence of the Si02/Si interface, thermal nitridation should be carried out using thick (1 to 2 |Lim) Si02 films. In this case, the transport of the nitriding species proceeds in the absence of a reflecting wall. Several 1 |im-thick Si02 films have thus been nitrided in NH3 for: 30 s, 60 s, and 300 s (with P[NH3] = 1 bar, T = 700°C). The resulting [N] profiles, measured by SIMS, for these three nitridation times are shown in Fig. 7.6. These profiles can be fitted [13] with exponential functions of depth. The theory of diffusion in Si02, when the diffusing species also reacts with the silica network [14], gives indeed a concentration profile of nitrogen that decreases exponentially as a function of depth from the surface down into the bulk of the Si02.
J.J. Ganem and I.J.R. Baumvol
508
These profiles lead us to conclude that the main transport mechanism is the interstitial diffusion of NH3, and (less probably) that of NH^, (x<3), reacting with the siUca network.
1.e+18
1.e+17
K
\
300s
k '. 50s
Fig. 7.6-N concentration profiles, measured by SIMS, for SiOj samples nitrided in NH3 (1 bar, 700°C) for various durations, (after [13] slightly modified.)
V V. V/•^
1.e+16
« 11 11 1 1 1
0.0
I M^hf^M'..'^
I I 1< 11 1 1 1
0.2
M I 1 I 1 I • 1 1. ^ i l i . . 1 I . I t . .
0.4
0.6
* . . . . > t ..
0.8
.
1.0
Depth (|im) 3.3. EXCHANGE PHENOMENA DURING NITRTOATION
The incorporation of nitrogen into the Si02 film being nitrided is mostly due to the substitution of O atoms by N atoms [17]. At the same time the N and H atoms already incorporated in the oxynitride network are exchanged for N and H atoms coming from the gas phase. As shown next, the reaction of N with the Si02 network always involves an intermediate stage in which H bridges the reaction [15]. Most of the incorporated N atoms are found near the surface and near the interface (at least one monolayer of Si3N4 is formed at the interface) [16]. The exchange phenomena can be confirmed and quantified by measuring the total amounts of N and H isotopes remaining in the oxynitride films after the alternate nitridation of the Si02films.Two nitridation sequences may be used: (i) Nitridation in l^NHs (1st step) followed by l^NHj (2nd step), followed by l^NHj (3rd step); (ii) Nitridation in ND3 (1st step) followed by NH3 (2nd step), followed by ND3 (3rd step). Samples of silica films, 16 nm-thick, have been submitted to either sequence carried out in the same conditions (P[NH3] = 30 mbar, T = 1000°C, each step lasting 60 min.) [17]. The total amounts of i^N, 15N, and (^^N +15N), measured by NRA after each step, have been plotted in Fig. 7.7 a. Likewise, the total amounts of D and (D+H) measured by NRA after each step have been plotted in Fig. 7.7 b. We note in both figures that, although exchange mechanisms do take place, the total amount of nitrogen and that of hydrogen tend to saturate with nitridation time.
The Study of Thermal Nitridation 1
1
1
1
14N
16
509
1
+
15N
_ / ^
.
i J O
\
15N
(a) 1
14NH3
a)
1
1
15NH3
«
^^NH3
Nitridation step
1
b)
Nitridation step
Fig. 7.7 - Illustration of the (N<->N) and (H<->H) exchange mechanisms taking place during the nitridation of silica. a) Total amounts of ^^N, ^"^N and (^^N + ^"^N) measured by NRA in an oxynitride film obtained by successive nitridation steps of a 16-nm Si02 film in ^"^NHs (1st step), ^^NHs (2nd step), and ^"^NH^ (3rd step). b) Total amounts of(H-\-D) and D measured by NRA in oxynitride films prepared in ND^ (1st step), followed by NH^ (2nd step), followed by ND^ (3rd step).
In Fig. 7.8 we compare the [l^N] profiles assessed by NRP after simple and alternate nitridations. The first profile has been measured on a 16 nm-thick silica layer nitrided in 15NH3 while the second profile has been measured after a two-step sequence (first in 15NH3 then in 14NH3). For each step, the experimental conditions have been the same: 100 mbar, lOOO^C, 60 min [17]. We note that the N^^N exchange occurs mostly at the prface of silica. These experimental results show that the atomic exchanges, N<->N, and H^^H, take place mostly at the surface. This is also true of the 0^->N exchange [17].
Fig. 7.8 - Concentration profiles of ^%, as measured by NRP, in oxynitride samples obtained by thermal nitridations in ^^NH^ (—) and in-^^NHj followed by ^^NH^( ).
6 8 10 Depth (nm)
510
/./. Ganem andlJ.R, Baumvol
3 A. DISCUSSION AND CONCLUSION
The chemical equilibrium of the reactions which occur during thermal nitridation and the large mobility of the H and H2O species determine the amount of hydrogen which, at the end, remains in the oxynitride film. This amount is much smaller than the incorporated amount of N. The three atomic species (N, O, and H) taking part in the process are transported both towards the surface and towards the Si02/Si interface: the motion of N is due to the diffusion of NH3 (or NH^) whereas the migration of H and O is due to the diffusion of the H and H2O species produced by reactions such as (7.3), (7.4) and (7.5) [17]. The chemical species which reach the surface tend to desorb. By so doing they lower their concentration undemeath the surface and induce new atomic exchanges. The nitriding species are transported through the oxynitride film in spite of the diffusion barrier created by the incorporation of N in the Si02 film. This diffusion is fueled by an interstitial mechanism combined with a reaction with the silica network. The result is that an appreciable number of N atoms reach the Si02/Si interface, where they accumulate in the form of stable compounds such as Si3N4 or SiO^Ny [11,12]. For long nitridation times (typically around 1 hour), [N] below the surface increases at a much smaller rate than near the interface. This can be explained by the predominance of the N^^N exchanges over the N<-^0 exchanges in the near-surface region. On the other hand, H accumulates below the surface of the oxynitride film and in the region just above the oxynitride/Si interface as shown in Fig. 7.1 b. 4. MECHANISMS OF THERMAL REOXIDATION OF OXYNITRIDE FILMS The thermal reoxidation of oxynitride films in dry O2 is an essential complementary process step which removes hydrogen from the film. It is also used to decrease the concentration of nitrogen at the Si02/Si interface, thereby improving the electrical characteristics of this interface. 4.1. R O L E OF OXYGEN
The thermal reoxidation of oxynitride films causes the incorporation of additional O atoms mainly in the surface and interface regions. In this case, however, the increase in thickness of the silicon oxynitride film proceeds at a rate which is smaller than that corresponding to the thermal growth of a pure Si02 film in dry O2. This stems from the fact that oxygen diffusion is slowed down by the nitrogen-rich regions of the oxynitride film (which behave as diffusion barriers). It is also due to the enhanced rate of atomic exchanges. 4.2. COMBINED ROLE OF OXYGEN AND HYDROGEN In Fig. 7.9 we present the variations in deuterium content (measured by NRA) observed in an oxynitride film during its reoxidation in dry O2 (P[02] = 100 mbar, T = 1000°C).
The Study of Thermal Nitridation
20
40 60 Reoxidation time (min)
511
80
Fig. 7.9 ' The amount of deuterium remaining in an oxynitride film is plotted as a function of the reoxidation time in dry O2. The oxynitride had first been obtained by nitridation of a silica film in ND^ (see text) [17].
The oxynitride had initially been formed by the nitridation in ND3 (P[ND3] = 30 mbar, T = 1000°C, t = 60 min) of a 20 nm-thick Si02 film. The elimination of hydrogen from the oxynitride films during thermal reoxidation in dry O2, as illustrated in this figure, is a consequence of the diffusion and desorption of the H and H2O species which are formed by the cross-linking of the chemical reactions taking place in the system. However, one cannot attribute all the transport of oxygen during reoxidation to the high mobility of OH species because the amount of H encountered in the oxynitride films is one to two orders of magnitude smaller than the amount of incorporated O. It follows that part of the oxygen transport during reoxidation must be due to a mechanism similar to that responsible for the thermal growth of Si02 in dry oxygen [3].
^ o^
^
6
8
10
12
14
Depth (nm) Fig. 7.10 - [^^N] depth profiles, measured by NRP, observed after thermal nitridation of an Si02film in ^^NH^ (—), and after its reoxidation during 30 min ( j and 60 min (-- — ) .
512
/./. Ganem and IJ.R, Baumvol
4.3. R O L E OF NITROGEN
The high concentration of N atoms usually found at the surface of oxynitride films is almost completely erased by reoxidation due to an exchange of N atoms with O atoms. Moreover, the O atoms which diffuse to the oxynitride/Si interface react with Si thus promoting film growth, so that a new interface is formed having a smaller concentration of nitrogen. Profiles of [^^N] measured before and after reoxidation in O2 of a silicon oxynitride film are shown in Fig. 7.10. The 16-nm-thick Si02 film was initially nitrided in 15NH3 (30 mbar, lOOO'^C, 60 min) and then reoxidized in dry oxygen for 30 min and 60 min (P[02] = 100 mbar, T = 1000°C). Figure 7.10 clearly shows that during reoxidation, the N atoms migrate toward the surface and that a substantial part of them is lost through desorption. 4.4. EXCHANGE MECHANISMS
The inset of Fig. 7.3 shows an [^^O] depth profile measured after the thermal reoxidation in I8O2 ^f ^ silicon oxynitride film produced with natural gases. NRA experiments show that an exchange of atoms takes place during reoxidation. N and O atoms belonging to the oxynitride film are exchanged with freshly introduced O atoms. Figure 7.11 illustrates this exchange. In this figure, the total amounts of ^^o, ISQ, and ^^N atoms, and their sum, have been plotted after a silicon substrate was submitted to four consecutive treatment steps: 1-Oxidation in I6O2, P(02) = 100 mbar, 1050°C, 90 s, 2-Nitridation in l^NHs, P(NH3) = 30 mbar, lOOOX, 60 min, 3-Reoxidation in I8O2, P(02) = 100 mbar, lOOOX, 30 min, 4-Reoxidation in I8O2, P(02) = 100 mbar, lOOOX, 60 min.
100
Fig. 7.11 - Total amounts, measured by NRA, of ^^O, ^^O, ^^N, and O^O +^^0 +^%j after different thermal treatment steps (see text) [17].
15NH3
^^^2
^^02
(30 mm) (60 mm) Thermal treatments
The Study of Thermal Nitridation
513
4.5. SYMMETRICAL BEHAVIOR OF THE EXCHANGE MECHANISMS
There exists a symmetry between the mechanisms taking place during the thermal nitridation of Si02 films in NH3 and the mechanisms at work during the thermal reoxidation in dry O2 of oxynitride films. This symmetry may be due to the reversibility of the chemical reactions occurring in the system. The nitridation of silica proceeds via an atomic exchange between the nitriding species and the O atoms of the film while the reoxidation of an oxynitride film proceeds via an atomic exchange between the oxidizing species and the N atoms of the network. N atoms (or O, in the case of reoxidation) are incorporated in all regions of the nitrided (or reoxidized) films. This indicates that the prevailing transport mechanism for both migrating species is, in all cases, interstitial diffusion accompanied by reaction with the film network. Especially for oxygen, this represents a major change from the usual transport mechanism encountered in Si02 films. (Indeed, during the thermal oxidation of silicon, oxygen diffuses without reacting with the silica network, and reaches the Si02/Si interface where it reacts with silicon.). The symmetry can be extended somewhat further. During the thermal nitridation of an oxynitride, an important exchange takes place between the nitrogen atoms of the oxynitride film and those coming from the gas phase. In a synmietrical manner, during the thermal reoxidation (of an oxynitride), the O atoms of the oxynitride film are exchanged with those coming from the O2 gas phase. 5. OXYNITRIDE FILMS GROWN IN N2O The thermal treatment of silicon wafers in nitrous oxide (N2O) is one of the most promising ways of producing very thin (< 10 nm) and reliable gate dielectrics. This technique allows one to grow, in a single process step, a silicon oxynitride film free of hydrogen and possessing excellent electrical characteristics. However, the growth mechanisms of these films are still unknown and isotopic tracing techniques should help in identifying them. 5.1. THERMAL TREATMENT IN N2O. A RELATIVELY NEW PROCESS
Many authors have shown [18, 19] that a direct thermal treatment in N2O leads essentially to the growth of a Si02 film with a small concentration of nitrogen (1-5 atom %), mostly located in the region near the dielectric/Si interface. A recent study [20] has revealed that after the Rapid Thermal Processing (RTP) of Si wafers in N2O, nitrogen is found only near the interface, whereas after processing in a conventional (Joule effect) fumace, nitrogen atoms are also detected in the bulk of the oxide film (RTP and fumace processes using N2O are described in detail in Chap. 1 of this volume). From thermodynamic considerations, the authors suggest that atomic oxygen, produced by the decomposition of N2O in the RTP fumace, is responsible for the final nitrogen distribution. On the other hand, in a conventional fumace in which the gas is in equilibrium, only N2, O2 and NO groups (and no O atoms) are available to fuel the growth of the oxynitride film.
JJ. Ganem and LJ,R. Baumvol
514
The isotopic tracing of oxygen and nitrogen, using l^N and l^o, can bring important new information on the growth mechanisms of oxynitride films in N2O. Unfortunately, the cost and the availability of isotope-enriched N2O gases make these experiments very difficult to carry out. Nevertheless, the RTP growth of silicon oxynitride films in 15N2O, as well as their reoxidation in 1^62 in a conventional furnace have been studied [21]. 5.2. GROWTH KINETICS
As expected, the growth of oxynitride films in N2O depends both on time and on the gas pressure P[N20]. 5.2.1. Time dependence The growth kinetics of oxynitride films on Si in N2O, in an RTP furnace, is illustrated in Fig. 7.12 for the following processing conditions: P[N20] = 30 mbar, 1000°C. The film thickness is determined by measuring the total amount of oxygen with NRA, and is expressed in units of lO^^ O atoms/cm^. The conversion to nanometers can be performed by means of the Si20 equivalent thickness formula, namely: lO^^ O atoms/cm^ = 0.226 nm. We note that growth is rather fast at the beginning, but slows down for longer thermal treatments, although there is no observed saturation with these experimental conditions. The rate at which nitrogen is incorporated, as measured by NRA, is also given in Fig. 7.12. The N "concentration" (i.e. ratio N/(N+0)) in the oxynitride films is found to be approximately 2% that of oxygen for all processing times. The incorporation of nitrogen also slows down for longer RTP times. The measured amounts of O and N both vary with processing time as t^-5, showing that the incorporation of nitrogen and oxygen atoms in the growing dielectric is probably driven by a diffusion mechanism^*\
60
1
50 E 0 1—1
0
1
T
1
1
0 I
40 ^
30 20
\
^ 0
/ •
i-H
-^
o -\
N
10
0
a
-X)
1
1
•
1
50
100
150
200
0 250
Fig. 7.12 - Illustration of Rapid Thermal Treatment in N2O with P(N20) = 30 mbar and T = lOOO^'C. Upper curve: Growth kinetics of silicon oxynitride films on Si. Lower curve: Kinetics of N incorporation during growth [22] (see text for detail).
Time (s) {*) See Chap. 1 of Vol. 1 [23] for a more detailed discussion on time dependence laws.
The Study of Thermal Nitridation
515
5.2.2. Pressure dependence In Fig. 7.13, the thickness of the oxynitride film (expressed in units of 10^^ O atoms/cm^) has been plotted versus P[N02], the pressure of N2O in the RTF furnace. The corresponding amount of N incorporated in the film has also been plotted. The duration of the RTF process in all cases is 60 s, and the processing temperature is 1000°C. We note that the film thickness depends strongly on F[N20] in the lower pressure range. For pressures above 200 mbar, the film thickness seems to be independent of the gas pressure. The amount of incorporated N increases with gas pressure, while no saturation effect is observed in the pressure range investigated. The log-log plotting of the data shown in Fig. 7.13 a leads to straight lines, from which a pressure dependence of F^-^^ for O, and F^-^ for N are extracted (Fig. 7.13 b). This indicates that the nitriding species should result from a first-order decomposition of nitrous oxide, and that the oxidizing species should result from a second-order decomposition of the nitrous oxide^'. y^j
' ' '
"1
10
0
i -
I
S o
l.-^^
^
10 -
e
AJ
r—(
o
o
^
1 0
100
200
300
400
Pressure (mbar)
1
1
1
•
• • • • • !
10
11
1 — 1 1 1 1
N
III
t — 1 — 1 —
0,1
100
Pressure (mbar)
Fig. 7.13 - Illustration of the role played by pressure P[N20] during RTF growth in N2O (1000°C, 60 s). a) The thickness of the oxynitride film (in units of 10^^ O atoms/cm^) is plotted versus F[N20] (top curve). Likewise, the amount of incorporated N atoms is plotted versus F[N2^] (bottom curve). b) The same results are presented using a log-log plot. [22]. 5.3. MOTION OF NITROGEN DURING GROWTH
The excitation curves of the 15N(p^ocY)12c nuclear reaction, around the energy of the resonance at 429 keV, are shown in Fig. 7.14 for different sequences of growth in isotopically enriched N2O in an RTF furnace. (F[N20] = 30 mbar, lOOOX, 80 s (for each step)). In Fig. 7.14 a, the excitation curves corresponding to two growth sequences: one in 1^N20 and one in l^N20 (1st step), followed by l'^N20 (2nd step) are shown, as well as the curve that results from the subtraction of these two excitation curves (shadowed). (*) See Chap. 1 of Vol. 1 [23] for a more detailed discussion of pressure dependence laws.
516
JJ, Ganem and IJ.R. Baumvol
The hatched areas below and above the zero level represent, respectively, the local loss and the local gain in l^N after the second growth step in l'^N20. We see that the second step in l'^N20 pushes the ^^N distribution deeper into the growing oxynitride film. Furthermore, the area below the excitation curve (which represents the total amount of l^N) decreases after the second treatment step in 14N2O. Thus, during the second step, part of the l^N atoms are lost, while the total amount of nitrogen (l^N+^^N) increases. We can conclude that, during a thermal oxynitridation in an N2O ambient, at least two phenomena occur: • the migration of nitrogen to the dielectric/Si interface, • the local loss of nitrogen. In Fig. 7.14 b are shown the excitation curves obtained for the following three growth sequences: 15N2O, 15N2O (1st step), followed by 14N2O (2nd step), and 15N2O (1st step) followed by 14N2O (2nd step) and again followed by l'^N20 (3rd step). The third process step in l'^N20 produces a further growth of the oxynitride film, and the l^N distribution is pushed into the film, while being always located in the region near the new interface. However, during the third step, no additional loss of ^^N is observed showing that the local loss mechanism occurs only in the early stages of growth. During the subsequent oxynitridation stages, the prevailing phenomenon seems to be the migration of N towards the dielectric/Si interface. 8001
800
•T
'
r-
2nd step
600 h 600
I
400
Samples Surfacq
3rd step
§400 o
g 200
oh*^
200
-200 h -400 -1
a)
fe^ 0
1
2
1
3
E - E R (keV)
b)
2
3
E - E R (keV)
Fig. 7,14 ' Illustration of the motion of nitrogen during RTP treatment in N2O. a) Excitation curves of the ^^N(p,a'}j^^C nuclear reaction, measured after the 1st and 2nd processing steps described in the text. The curve that results from the subtraction of these two excitation curves is also represented, b) Excitation curves measured after the three growth steps described in the text.
Another technique of interest for the study of atomic transport mechanisms consists in performing a treatment in 15N2O followed by a treatment in 14N2O, and in the reverse order, i.e. 14N2O followed by l^N20. This has been performed in the same RTP conditions as those mentioned in the previous paragraph [22].
The Study of Thermal Nitridation
517
The ensuing l^N profiles, determined by NRP, are shown in Fig. 7.15. The ^^N profile for the sample obtained by a single growth step in ^^^2^ ^^ ^^^ shown in Fig. 7.15. In all three cases, nitrogen is only encountered at the oxynitride/silicon interface. Since the samples produced in the two-step sequence are perfectly symmetrical with respect to the two N isotopes, we can say that the ^^N profile determined for the sample grown in the second sequence (^^N20 followed by ^^N20) corresponds to the ^^N profile for the sample grown in the first sequence (I5N2O followed by ^^N^O). Figure 7.15 thus reveals the existence of a mixture of ^^N and ^^N near the interface for the oxynitride film grown in the first sequence (I5N2O followed by l'^N20). We can conclude that a second nitridation step in N2O redistributes the N atoms already incorporated during the first growth step: the newly incorporated N atoms are deeper in the film, and the N atoms already present are either pushed towards the surface or removed from the oxynitride film.
5
10 Depth (nm)
15
Fig. 7.15 - Illustration of the motion of nitrogen during RIP treatment in N2O. The ^^N profiles, measured by NRP, are shown for three growth sequences. The solid line (—) corresponds to a one-step growth in ^^N. The two dashed lines correspond to samples grown in two steps. Line ( ) corresponds to the sequence ^^N20 followed by ^'^N20 and line (— - -^ corresponds to the reverse sequence. Arrow 1 indicates the location of the interface after the one-step sequence. Arrow 2 indicates the location of the interface for the other two sequences [22]. 5.4. ATOMIC TRANSPORT MECHANISMS DURING GROV^TH IN N2O
In order to understand the atomic transport mechanisms which underly the above-described oxynitridations, we must consider the chemical reactions taking place in the system. The heating of the N2O gas near the Si wafer produces the following primary decomposition: N20<=>N2'^0
(7.6)
which can give rise to several secondary reactions: N20 + 0<=>N2 + 02 N20 + 0^2NO
(7.7) (7.8)
518
/./. Ganem and IJ.R, Baumvol
As mentioned in Sect. 3, no N is incorporated when oxynitride films are heated in N2. Thus, one can say that the NO, O, and O2 species resulting from the decomposition of N2O and the ensuing reactions are the species mostly responsible for N20-based growth and for the incorporation of N. From the time and pressure dependence mentioned above, we can conclude that the incorporation of nitrogen is driven by a diffusion mechanism. The nitriding species (most probably NO) diffuse into the growing film via an interstitial mechanism with a weak probability of reacting. Atomic oxygen, resulting from the decomposition of N2O, is probably responsible for the removal of N from the near-surface region as shown in ref. [20]. Molecular oxygen can fuel growth by a mechanism rather similar to that taking place during the thermal growth of Si02 films in dry O2: it diffuses through the growing film to react with Si at the interface (as explained in Chap. 1 of Vol. 1 [23]). Atomic oxygen could also take a part in the mechanism of oxygen incorporation. The oxygen atoms from the gas phase are thought to react at the sample surface and thus induce an exchange phenomenon between the oxygen from the gas phase and the oxygen from the film network. 5.5. Reoxidation of N20-based oxynitrides The l^N and ^^O distributions measured by NRP for various reoxidation times in 1^02 are shown in Fig. 7.16. A (100) silicon wafer has been initially nitrided in an RTF fumace in a 15N^16O ambient (10 mbar, 1090°C, 180 s) and reoxidized in a conventional fumace in ^^02 (100 mbar, 1090X) for 20 min, 40 min, and 120 min. We note in Fig. 7.16 a that, after the initial thermal treatment in nitrous oxide, N is encountered near the dielectric/Si interface in a rather small concentration (around 2%). During reoxidation, the nitrogen atoms diffuse in the film and drift to the sample surface. A significant loss of nitrogen across the film is also found, most probably due to the diffusion of defects (mainly interstitialcies) (see ref. [21] for more detail). We can infer from Fig. 7.16 b that during reoxidation, the oxygen atoms react at the interface to form new silicon oxide layers, while near the surface and in the bulk of the oxynitride film, a significant exchange takes place between the ^^o brought by the gas and the 1^0 of the film. An exchange of this magnitude has never been observed in the case of a silicon oxide being thermally reoxidized in the same "dry" conditions [3]. There is no evidence that the defects responsible for the motion of nitrogen and oxygen are identical. The behaviour of nitrogen can be explained by the existence of a concentration gradient of defects which favors their migration towards the surface [21]. 6. CONCLUSION In this chapter, we have reviewed what is presently known about the mechanisms of atomic transport and atomic exchange taking place during the thermal growth of silicon nitride and silicon oxynitride films. A few special aspects revealed by isotopic tracing techniques combined with controlled growth conditions are worth summarizing:
The Study of Thermal Nitridation
{'-"Before reoxidation 1
120 min
100 H
Before reoxidation
^ 2-1 1 2
1
40 min
1
U=^.— n^"-0 a)
\
\ r""""T n
5
40 min
20 min
40 min
J
519
10
15
120 min \
\ f
20
1 25
Depth (nm)
1 30
1J
35H-
^
80-
^
60-
»
402000
b)
1
1
I
120 min \
1
1
r*
1
1
1
j .J !-| 1
r
5
10
-T
15
20
25
1—pj_
1 — '
30
35
Depth (nm)
Fig. 7.16 - Illustration of the motion ofN and O atoms during the reoxidation ofN20-based oxynitrides. (The oxynitride film, originally grown in ^^N2^^0 is reoxidized in ^^O^, [21]. a) Profiles of ^^N measured by NRP, before reoxidation ( ) , after 40 min of reoxidation (—), and after 120 min (-—). The arrows indicate the location of the interface after each step, b) Profiles of ^^O, measured by NRP, during reoxidation : after 20 min (....), after 40 min (—), and after 120 min. (—-/
• The thermal growth of sUicon nitride films on Si in NH3 is basically driven by the dissociative adsorption of ammonia, and the subsequent interstitial migration of NH^ species (1 < X < 3) reacting with the growing nitride film. The continuous decomposition of the NH^ species produces H atoms which outdiffiise and desorb from the film surface, leaving rather small, although still measurable, amounts of hydrogen in the silicon nitride film, mainly in the surface region. • The thermal nitridation of Si02 films, in NH3, takes place essentially through an atomic substitution of O for N (N^f^O exchange). The atomic transport is due to the interstitial diffusion of NH3 reacting with the silica network. Both N and H are found underneath the surface and at the oxynitride/Si interface. Exchanges between the N and H atoms coming from the gas phase and those of the oxynitride film are also observed. Most of the atomic exchanges occur in the surface region of the film. The main mobile species are NH3 and H2O, the latter being produced by the chemical reaction of NH3 with Si02. • The mechanisms at work during the thermal reoxidation, in dry O2, of the oxynitride films are analogous to the mechanisms taking place during the thermal nitridation of silica. After reoxidation, the oxygen atoms are encountered at the sample surface as well as at the dielectric/Si interface. The incorporation of O during reoxidation is accompanied by a loss of N (through an 0<->N exchange). H is removed from the oxynitride film due to an additional production of H2O, which outdiffuses and desorbs. • Unlike in nitride films formed by the nitridation of silica in NH3, in oxynitride films grown by RTP in N2O, N is found near the oxynitride/Si interface only. Right after the initial stages of the oxynitridation, a local decrease in nitrogen is observed as well as a migration of nitrogen to the dielectric/Si interface. In fiirther stages, such a local decrease is no longer observed.
520
JJ. Ganem and IJ,R, Baumvol
• During the thermal reoxidation, in dry O2, of N20-based oxynitride films, O is observed to diflfUse interstitially, migrating to the oxynitride/Si interface to form the new oxide. The diflfiising oxygen atoms react in the bulk and are subjected to an exchange mechanism with the oxygen atoms already present in the film. Nitrogen atoms diffuse from their original location, which results in a net migration to the sample surface and a loss of nitrogen. Acknowledgements The authors wish to thank G. Barbottin and A. Vapaille for their suggestions and comments. They want to express their gratitude to G. Barbottin for his help in editing the English version of this text. List of References [I] E. Gusev, H.C. Lu, T. Gustafsson and E. Garfiinkel, Phys. Rev. B52, 1759 (1995). [2] I. Trimaille, F.C. Stedile, J.-J. Ganem, I.J.R. Baumvol and S. Rigo, "Mechanisms of Thermal Growth of Very Thin Films of Si02 in Dry O2" in The Physics and chemistry of SiOj and Si-SiOj Interface, in Proc. 96-1 edited by H.Z. Massoud, E.H. Pointdexer and C.R. Helms, The electrochem. soc, Pennington N.J., (1996), p 59 [3] I. Trimaille and S. Rigo, Appl. Surf ScL 39, 65 (1989). [4] P. Murarka, C.C. Chang and A.C. Adams, J. Electrochem. Soc. 126, 996, 1979. [5] Y. Hayafiiji and K. Kajiwara, J. Electrochem. Soc. 129, 2102, 1982. [6] J.J. Ganem, S. Rigo, I. Trimaille, G.N. Lu, P. Molle, Nucl. Instr. Meth. B64, 778, 1992. [7] I.J.R Baumvol, F.C. Stedile, J.-J. Ganem, S. Rigo and I. Trimaille, J. Electrochem. Soc. 142, 1205 (1995). [8] C.U.S. Larsson and A.S. Flodstrom, Surf. Sci. 241, 353, 1991. Idem 271, 349, 1992. [9] I.J.R. Baumvol, L. Borucki, J. Chaumont, J.-J. Ganem, S. Rigo, W.H. Schulte, F.C. Stedile and I. Trimaille, "Isotopic Tracing of Si During Thermal Nitridation of Si3N4 Ultrathin Films", Nucl. Instr. and Meth. B, Phys. Rev. B118 (1996) 499. [10] F.H.P.M. Habraken, A.E.T. Kuiper, Y. Tamminga, J.B. Theeten, J. Appl. Phys. 53, 6996 (1982). [II] H. Wong, B.L. Yang, and Y.C. Chmg, Appl. Surf Sci. 72, 49 (1993). [12] M. Bhat, J. Ahn, D.L. Kwong, M. Arendt and J.M. WhitQ, Appl. Phys. Lett. 64, 1168 (1994). [13] E. Breelle, S. Rigo, J.A. Kilner and J.J. Ganem, Appl. Surf Sci. 81, 127 (1994). [14] S. Rigo, F. Rochet, B. Agius and A. Straboni, J. Electrochem. Soc. 129, 867 (1982). [15] J.B. Oude Elferink, F.H.P.M. Habraken, W.F. Van Der Weg, E. Dooms, M. Heyns and R de Kersmaecker,yl/?/7/. Surf Sci. 39, 219 (1989). [16] K. Yamamoto, M. Nakazawa, Jpn. J. Appl. Phys. 33, 285 (1994). [17] I.J.R. Baumvol, F.C. Stedile, J.-J. Ganem, S. Rigo and I. Trimaille, "Thermal Nitridation of Si02 Films in Ammonia: The Role of Hydrogen", J. Electrochem. Soc, 143, 1426 (1996). [18] Y. Okada, P. J. Tobin, K. G. Reid, R. I. Hegde, B. Maiti, and S. A. Ajuria, Trans. Electron Devices, 41, 1608 (1994). [19] M. L. Green, D. Brasen, K. W. Evans-Lutterodt, L. C. Feldman, K. Krish, W. Lennard, H.-T. Tang, L. Manchanda, and M.-T. Tmg,Appl. Phys. Lett. 65, 848 (1994). [20] E. C. Carr, K. A. Ellis, and R. A. Buhrman, Appl. Phys. Lett. 66, 1492 (1995). [21] J.-J. Ganem, I. J. R. Baumvol, S. Rigo, F. C. Stedile, and I. Trimaille, "Dry Oxidation Mechanisms of Thin Dielectric Films Formed Under N2O Using Isotopic Tracing Methods", Appl. Phys. Lett. 68, to be published (1996). [22] J.-J. Ganem, I. J. R. Baumvol, S. Rigo, F. C. Stedile, and I. Trimaille, impublished results (1996). [23] G. Barbottin, A. Vapaille, Instabilities in silicon devices. Vol. 1, North-Holland/Elsevier (1986).
PART II SILICON DEVICES IN RADIATION ENVIRONMENTS
This Page Intentionally Left Blank
523 FOREWORD Part n of this volume contains five chapters entirely devoted to radiation effects and radiation-induced instabilities, with a special emphasis on phenomena encountered in space. In Chap. 8, we introduce the basic terms and definitions used in the field of radiationmatter interactions. We then describe the natural radiation environment encountered in space around the earth and show that its various components can be and have been modeled. This is justified by the fact that space is one of the most demanding environments and because the basic concepts introduced here are valid for other types of radiation surroundings. In Chap. 9, the major interactions of photons and particles with solid matter are reviewed from a physical point of view. The concepts, the mechanisms and the basic units are thoroughly described. We see that the end product of such interactions depends greatly on: the nature of the incoming particle, its mass, its charge state, its energy, as well as on the nature of the target material. In Chap. 10, the effects of radiation on semiconductor materials and devices characteristics are discussed. We see that the radiation-induced changes in material and device properties can be linked, either to ionization phenomena, or to displacement damage, or to both. At this point, it becomes obvious that the effects of radiation are of a complex nature and that the generation of defects is partially counterbalanced by the annealing behaviour of these very defects. In Chap. 11, some of the electrically active defects, both intrinsic and extrinsic, encountered in silica or at the Si-Si02 interface, and which play a role during and after irradiation, are reviewed. We see that the irradiation of a device leads to charge build-up (i.e. carrier trapping) in the insulating layers and to the generation of new defects in silicon, in silica and at the silicon-silica interface, through complex mechanisms which are beginning to be better understood. Finally in Chap. 12, we present another family of radiation-induced disturbances, which affect highly integrated circuits, called Single Event Phenomena. These are due to intense ionization phenomena occurring when single highly energetic particles (e.g. cosmic rays) pass through some sensitive part of a highly integrated device. We see that this disturbance, which can be modeled, can be either temporary and "easily" remedied, or destructive. These five chapters should give engineers and researchers alike, a good basis to understand the major aspects of radiation-induced instabilities in electronic components. For even more detailed descriptions of radiation-component and radiation-system interactions, the reader is referred to the following four recently published books. Ma and DressendorfeVy Ionizing Radiation effects in MOS Devices and Circuits, Wiley, 1989. Messenger and Ash, The effects of Radiation on Electronic Systems, Van Nostrand, 1992. Holmes - Siedle and Adams, Handbook of Radiation Effects, Oxford, 1993. Messenger and Ash, Single Event Phenomena, Chapman & Hall, 1997.
This Page Intentionally Left Blank
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) © 1999 Elsevier Science B.V. All rights reserved.
525
CHAPTER 8
THE SPACE RADIATION ENVIRONMENT
byD.BRAUNIGd)
Key items Flux Fluence Dose Linear energy transfer Solar radiation Cosmic rays Van Allen belts Cut-ofF mechanisms Environment models Mission modeling
(1) Hahn-Meitner-Institut Berlin GmbH Department AT Glienicker Str. 100 14109 Berlin, Germany
526
D, Brdunig
Abstract of Chapter 8: The space radiation environment In many civilian and military applications, electronic components must operate in a radiation environment, and thus may experience instability phenomena. In Section 1 of this chapter, we introduce the parameters which enable us: to fully characterize the particles encountered in a radiation environment and to describe their effects on matter. We then describe the two basic constituents of the environment encountered in space: solar particles and cosmic rays. Particles can be emitted by the sun, either continuously (solar wind) or sporadically (solar flares). The rest of the galaxy behaves also as a continuous source of radiation (the cosmic rays). In Section 3 we show that there exists around the Earth, zones where particles are preferentially trapped (the so-called Van Allen belts). The Earth's magnetic field is responsible for this trapping. This field also shields our planet by filtering out most of the solar and galactic particles. In the last section we show that, given some hypotheses, the radiation environment of the Earth can be modeled. The models enable us to predict the fluxes and the energy spectrum of the particles that a spacecraft will encounter during a mission in space and thus help us compute the probability that a "Single Event Phenomenon" occur or that a component fail. We finally give a few examples illustrating the use of these models. Resume du chapitre 8 : Le rayonnement spatial Dans de nombreuses applications civiles et militaires, des composants electroniques sont amenes a fonctionner dans un environnement radiatif et peuvent devenir instables ou etre endommages. Dans la premiere section de ce chapitre, nous rappelons les parametres qui permettent de caracteriser : les particules rencontrees dans un environnement donne, et leur action sur la matiere. Nous decrivons ensuite les deux sources du rayonnement spatial : le soleil et la galaxie. Le soleil est a I 'origine de particules emises en continu (vent solaire) ou de maniere sporadique (lors des eruptions solaires). Le reste de la galaxie contribue a un fond continu de rayonnement (le rayonnement cosmique galactique). Dans la section 3, nous montrons qu 'il existe autour de la terre des zones de piegeage privilegiees pour les particules, encore appelees ceintures de Van Allen. Le champ magnetique terrestre est a Vorigine de ce piegeage. Ce champ joue par ailleurs le role d'un ecran protegeant la terre de la plupart des particules galactiques et solaires. Nous montrons dans la derniere section que, moyennant certaines hypotheses, I'environnement radiatif terrestre peut etre modelise. Les mode les permettent de predire les flux et les energies des particules qu 'un vehicule spatial va rencontrer et aident ainsi a chiffrer la probabilite qu 'un "evenement singulier" ou qu 'une panne se produise. Nous donnons enfin quelques exemples qui illustrent I 'application de ces modeles. Zusammenfassung zu Kapitel 8: Die Weltraumstrahlung In zahlreichen zivilen und militdrischen Anwendungen miissen elektronische Bauelemente in strahlungsbelasteter Umgebung arbeiten und dabei Instabilitdtsprobleme erfahren. In Abschnitt 1 dieses Kapitels werden die Parameter eingefuhrt, die es uns ermoglichen, sowohl die Eigenschaften der daran beteiligten Strahlungsspezies als auch ihre Wechselwirkung mit dem Material vollstdndig zu beschreiben. Anschliessend werden die zwei grundsdtzlichen Arten der im Weltraum anzutreffenden Strahlung beschrieben: die solare und die kosmische Strahlung. Teilchen konnen von der Sonne abgestrahlt werden, sowohl kontinuierlich (solarer Wind) oder sporadisch (SonnenausbrUche) oder der Weltraum selbst ist eine Quelle kontinuierliche Strahlung (kosmische Strahlung) Im Abschnitt 3 wird beschrieben, dafi im Umfeld der Erde Gebiete existieren, in denen geladene Teilchen vorzugsweise eingefangen werden (die sogenannten Van Allen-GUrtel). HierfUr ist das Erdmagnetfeld verantwortlich. Dieses Magnetfeld wirkt fUr einen grojien Teil der kosmischen und solaren Strahlung als Abschirmung der Erde. Im Abschnitt 4 wird gezeigt, dafi die Strahlungsumgebung der Erde, unter Beriicksichtigung einiger Hypothesen, modelliert werden kann. Diese Modelle gestatten die Vorhersage von FlUssen und energetischer Verteilung der Strahlung fur eine spezifische Mission und damit die Belastung der elektronischen Komponenten an Bord eines Raumfahrzeugs. Dies wird an einigen Beispielen demonstriert.
The Space Radiation Environment Chapter 8: The space radiation environment Table of contents List of symbols and abbreviations used 1. Introduction 2. Definitions and units 2.1. Definitions related to particle properties 2.1.1. Flux 2.1.2. Fluence 2.1.3. Energy and momentum 2.1.4. Species 2.2. Definitions related to particle-matter interactions 2.2.1. Exposure 2.2.2. Radiation-absorbed dose 2.2.3. Dose equivalent 2.2.4. Linear Energy Transfer 2.3. Additional definition 3. The space environment 3.1. Introduction 3.2. Solar radiation 3.3. Galactic cosmic rays 3.4. Trapped radiation in the Earth's magnetosphere 3.4. L A brief description of the Earth's magnetic field 3.4.2. The trapping and filtering properties of the magnetosphere 3.4.3. Some properties of the Van Allen Belts 3.4.4. Two anomalous regions: The SAA and the Horns 3.5. Cut-off mechanisms for protons and heavy ions 3.6. Role of the orbit characteristics 4. A survey of environment modeling and mission modeling 4.1. Modeling the Earth's radiation environment 4.2. Examples and mission considerations 5. Summary and conclusion Acknowledgements List of references
527
528
D. Brdunig
LIST OF SYMBOLS AND ABBREVIATIONS USED
/\
~
Atomic mass (e.g. for carbon, A = 12)
B
Tesla
Strength of the magnetic field
c
m.s-1
Velocity of light (c = 2.99792 x 108 m. s-1)
E
eV, keV, MeV
Energy
L
-
Mc lUwain's parameter
mo
kg
Rest mass of a particle
M
Tesla. cm3, A.m2
Momentum of the Earth's magnetic dipole
P
MV/amu
Rigidity of a particle
P
kg.m.s-1
Momentum of a particle
R(0)
cm, m
Distance away from the center of the Earth (measured in the plane of the magnetic equator)
R(X)
cm, m
Distance away from the center of the Earth (measured at geomagnetic latitude X)
R.
Unit of Earth's radius (Re = 6.371 10^ cm)
Z
Atomic number
X
m
Photon wavelength Geomagnetic latitude
0(E)
cm"2.s"^MeV-l
Differential flux of particles
0(>E)
cm'^.s'l
Integral flux of particles with energy greater than E
4)(E)
cm'2.MeV-l
Differential fluence of particles
0(>E)
cm'2
Integral fluence of particles with energy greater than E
amu
Atomic mass unit
LEO
Low Earth Orbit
LET
Linear Energy Transfer
SAA
South Atlantic Anomaly
The Space Radiation Environment
529
1. INTRODUCTION Pieces of electronic equipment are increasingly used in hostile environments, which may cause acute functionality or reliability problems. The most commonly encountered hostile environments, where radiation endangers electronics, are the following ones: Space. Nuclear reactors. Medical therapies. Material testing. Semiconductor processing (ion implants. X-ray lithography, plasma etching, etc. Nuclear waste processing (robotics). High-energy particle accelerators. Nuclear warfare. Of all these radiation environments only one will be described in some detail in this chapter, namely that encountered by spacecraft electronics. The reason we chose to treat only this example is that the application of electronics in space is one of the most demanding tasks in an engineering sense. Moreover, the way radiation and reliability aspects are dealt with for space applications can also be applied to other areas. Most particles encountered in space are also encountered in other environments: electrons, protons, photons, heavy ions, fission particles. Neutrons are very rare in space (beyond the upper atmospheric layers) and their effects will therefore only be mentioned very briefly in this chapter. We indicate next, in Tab. 8.1, for the types of radiation environments in which electronic components and equipment are currently used, the types of radiation (particles and photons) encountered, as well as their energy range. The ranges indicated are those of concem for hardened electronics but actual ranges may be much greater. The aim of this short chapter is mostly to provide the reader with an overview of the radiation environment encountered in space. More specialized chapters can be found in other chapters of this book. • How particles and photons interact with matter is reviewed in Chap. 9. • How radiation interacts with the materials making up silicon devices and how it affects device functioning is reviewed in Chap. 10. • Some of the defects (natural or radiation-induced) encountered in silica and the role they play in radiation-induced phenomena are described in Chap. 11. • Finally, how high-energy ions trigger so-called "Single Event Phenomena" in highly integrated silicon devices is detailed in Chap. 12.
D. Braunig
530
These five chapters should give engineers and researchers alike a good basis to understand the major aspects of radiation-induced instabilities in electronic components. For even more detailed descriptions of the natural radiation environment and of radiationcomponent and radiation-system interactions, the reader is referred to five recently published books [39-43]. Particular application in radiation environment Space electronics
Reactor engineering
1 Medical instrumentation 1 Material characterization 1 Semiconductor processing 1 Robotics
1 High-energy accelerators
1 Military equipment
Types of radiation encountered Electrons Protons Ions Quanta Fast neutrons Thermal neutrons Electrons X-Quanta X-rays Various types Various types Depends on application, (mostly X,-Quanta in reactor engineering) Electrons Protons Heavy ions 7-Quanta Compton electrons Fast neutrons
Energy range of concern 0.5-10 MeV 5-450 MeV up to a few GeV 0.1-22 MeV 0.1 to 14 MeV 0.026 eV 1 MeV (not significant) 0.1 to 10 MeV < 0.5 MeV From below 1 eV to 1 MeV From below 1 eV to 1 MeV
1
0.1 to 10 MeV 1 GeV and more 1 GeV and more 1 to 1000 MeV 1 MeV (average energy) From 1 keV to 1 MeV (not significant) From thermal to 14 MeV |
Tab, 8.1 - Radiation environments, types of radiation encountered and energy ranges of concern,
2. DEFINITIONS AND UNITS To be able to describe a given radiation environment and to quantify its effects on electronics, we must first introduce new sets of definitions and units specific to this field: • the first group of definitions is associated with the properties of the particle itself, • the second group describes the interactions of the particle with matter, • a third group describes the source of the particles. 2.1. DEFINITIONS RELATED TO PARTICLE PROPERTIES
2.1.1. Flux Flux O designates the number of particles or photons flowing through, or impinging upon a unit area per unit time. It is expressed in [cm'^.s'l].
The Space Radiation Environment
531
In the case of photons, the term flux is often called intensity. When the particles, or the photons, are distributed in energy, in other words, when there exists an energy spectrum, one distinguishes between integral flux O (> E) and differential flux O (E). The integral flux is the total flux of those particles whose energy is above a given energy level E, whereas the differential flux is the rate of change of the flux with energy, at a specified energy E. Therefore, the integral flux is obtained by integrating the differential flux above energy E, which can be written : 0{>E) = \0{E)'dE
(8.1)
E
Because of this definition, an integral flux is always a decreasing function of energy. Fluxes of space particles are usually described as "omnidirectional", (which means that particles often come from all directions) and are usually considered as isotropic. For isotropic fluxes, it is sometimes useful to introduce the concept of flux per unit solid angle dQ (expressed in steradian). The flux can then be expressed as a number of particles per unit area, unit time and unit solid angle. For isotropic fluxes, unit [cm-^.s-^] is equal to [471 cm-2.s"l.sterl]. In space, fluxes are generally expressed as an average over a period of time and over a given orbit rather than representing an instantaneous value. Let us note, however, that the electron and the proton fluxes of the Van Allen belts (see § 3.4.2) are not onmidirectional but spiral around the magnetic field lines (as illustrated in Fig. 8.8). This peculiarity can be very important in hardening satellite systems. 2.1.2, Fluence Fluence O is the number of particles flowing through, or impinging upon a unit area, over a longer period of time. It is a time-integrated flux and it is expressed in [cm-^]. Just as we did when defining fluxes, we distinguish between "integral", "differential" and "solid-angleresolved" fluences. In Fig.8.1 the differential and integral proton fluences encountered for a specific space mission have been plotted versus energy. 2.1.3. Energy and momentum The energy E of a particle or a photon is usually expressed in units of eV, keV or MeV. Another common expression is often used, namely energy per nucleon expressed in [MeV/amu], where amu is the atomic mass unit of the energetic particle (e.g. A(H) = 1, A(He) = 4, A(C) = 12). These definitions apply to the kinetic energy of the particle. For instance, 1 MeV expresses the kinetic energy an elementary charge gains in an electrostatic potential of 1 MV. Let us note that a particle should be considered as relativistic when its kinetic energy approaches the energy equivalent of its rest mass (e.g. electrons whose energy approaches 0.511 MeV or ions whose energy, expressed in GeV, approaches - A).
532
D. Braunig Integral and Differential Ruence (LEO,cinxilar,800kn%98^ 1.00E+13
1.00E+12 jDifferemiai hiuenoe in p^cnrr^zsmnev | ^
IH^^
1 '"^*.»^_^
1.00E+11 lU
^H
O 2 1.00E+10
^Kji
^^ "-^'^^^
1.00E-H)9
y-
—p^ 1.00E408
'—
\
Integral Ruenoe in p/an^2Br
1.00E+07 1.00E-01
I.OOE+OO
1.00E+01
1.00Ef02
PROTON ENERGY in MeV
Fig. 8.1 - The integral and differential proton fluences encountered for a circular orbit (800 km, inclination 98°), are plotted versus energy.
The momentum p of a particle is given by p=mv, where m is the mass of the particle and v its velocity. There exists a relativistic relationship linking energy and momentum, expressed as : P'C^^E'{E
+ 2'mo'C^)
(8.2)
where nio is the rest mass of the particle and c is the velocity of light. The energy of a photon is given by E = hv = hc/A., where h is Planck constant, v is the frequency of the photon and X is its wavelength. The momentum of a photon is given by: p = hv/c. 2.1A. Species The term "radiation species" includes photons and particles. Photons may differ in energy, whereas particles may differ in energy, mass and charge state. It is sometimes useful to identify a particle by its name, mass, number of charges within the nucleus and its charge state. For instance in expression 2 He
, He stands for Helium, A is the atomic mass (e.g. 4),
Z is the charge of the nucleus in units of elementary charge and 2+ indicates a doubly charged state. When an atom is totally ionized, and this is a common occurrence in space, then its charge Z is approximately equal to A/2 for all species except for Hydrogen, where Z/A equals 1. Some properties of particles commonly encountered in space are summarized in Tab. 8.2 below.
The Space Radiation Environment Species
Mass
Atomic mass
533 Rest mass
1 Electrons
9.1M0-31kg
0.000549
0.511 MeV
1 Protons
1.672-10-27 kg
1.007277
938.259 MeV
Neutrons
1.675-10-27 kg
1.008665
939.553 MeV
« 6.710-27 kg
4.0026
= 4GeV
1 Helium ions
Tab, 8.2 - Some properties ofparticles commonly encountered in space. 2.2. DEFINITIONS RELATED TO PARTICLE-MATTER INTERACTIONS
To properly describe how energy is transferred from an energetic particle to an absorbing material, intemational definitions have been introduced. Some of the definitions and units used in this chapter are briefly described next. A much more thorough description can be found in Chap. 9. 2.2.1. Exposure The exposure (E) describes the amount of ionization charges generated by a radiation species per unit mass of standard atmosphere. Exposure is expressed in units of Coulomb per mass [C/kg]. An older unit is the Roentgen (= 2.56-10-4 C/kg). 2.2.2. Radiation-absorbed dose The dose (D) is the energy absorbed per unit mass of the material being irradiated (e.g. silicon). A dose of 1 Joule per kilogram is called a Gray (Gy). A unit still commonly used in the literature is the rad, which is just 10"^ Gray. The nature of the material being irradiated should always be mentioned, e.g. 1 Gy(Si). For high-energy charged particles, most of the absorbed energy is consumed in ionization phenomen. There results that the terms "dose" and "ionizing dose" are sometimes used interchangeably. This approximation is of course not valid for neutral particles such as neutrons, or for low-energy ions. 2.2.3. Dose equivalent The dose equivalent (H) has the same meaning as the radiation-absorbed dose except that it introduces a qualifying and weighting factor q to account for the fact that human tissue responds differently to different ionizing radiation. The unit of dose equivalent is the Sievert (Sv). It has the same dimension as the radiation-absorbed dose since we can write: H = q.D, where q is equal: to 1 for electrons and y 's, to 10 for neutrons and protons and even to 20 for a's from radionuclides. The older unit of dose equivalent is the rem, which corresponds to an absorbed dose of one rad.
534
D. Brdunig
2.2.4. Linear Energy Transfer Other definitions are needed to express the amount of energy transferred per unit pathlength. The electronic stopping power dE/dx is a ratio which describes the energy which a charged particle loses through ionization phenomena when travelling through a material (e.g. silicon). The Linear Energy Transfer (LET) is just a different term for electronic stopping power with a dimension of MeV/|im. (The electronic stopping power is sometimes normalized to the specific mass of the absorbing material (i.e. dE/pdx), in which case it is expressed in MeV.cm^/g). The energy lost through ionization phenomena is calculated by using the well-known Bethe-Bloch equation. The slowing down due to ionization is accompanied by the generation of electron-hole pairs. The effects of such a generation will be covered in more detail in Chaps. 9, 10, 11 and 12. The LET value of a particle depends both on its atomic mass and on its energy. Thus, for a flux of various particles of various energies, we are led to define a LET spectrum, giving the number of ions corresponding to a given LET. Some preliminary examples of LET spectra will be given in § 4.2 of this chapter. 2.3. ADDITIONAL DEFINITION
Activity of a radioactive substance The activity (A) of a radioactive source is defined as the number of transitions occurring per second. The unit of activity is called the Bequerel (Bq) whose dimension is [s"l]. An older unit is the Curie (Ci), which equals 3.7-10^0 per second. 3. THE SPACE ENVIRONMENT 3.1. INTRODUCTION
The Earth is part of our Sun-dominated planetary system and it travels through the space of our galactic system. Due to its own magnetic field, the Earth can be considered, in a first approximation as a magnetic dipole. There exists, however, a number of interdependencies which link the Sun's activity, the galactic radiation and the shape and magnitude of the geomagnetic field. The Earth is, to a large extent, protected from outside radiation by its magnetic field. This field acts as a shield against the solar wind (see below) and creates a cavity called the geomagnetic cavity, illustrated in Fig. 8.2. The field deflects also the cosmic rays, i.e. energetic ions coming from outer space, as we shall see in § 3.5. Spacecrafts (satellites, launchers, space stations, space probes, etc.) are exposed to the following types of radiation: • • • •
solar radiation, mainly solar flare protons and solar wind particles, galactic cosmic rays, protons and electrons trapped in the radiation belts, Bremsstrahlung due to the scattering of energetic electrons in the spacecraft material.
The Space Radiation Environment
535
MAGNETOPAUSe
DAYSIDECUSP
DAYSIDECUSP
MAGNETOPAUSE CURRENTS
PLASMASPHERE
Fig. 8.2. - Illustration of the geomagnetic cavity (for more detail see [11]).
The origin of these contributions - except for Bremsstrahlung - will be discussed in the following paragraphs in more detail. Models currently used to quantify the amount of radiation received by a spacecraft will be presented in Sect. 4 and some examples will be given. 3-2. S O L A R RADIATION
The solar radiation encountered in space originates from the solar wind and from solar flare events. The solar wind is due to the constant emission, by the sun, of low-energy particles consisting of protons (91.2%), singly and doubly charged He ions and a very small amount of electrons whose energy is less than 500 eV. Because of the Earth's magnetic field, solar wind particles cannot come close to the Earth except through the polar regions [1], Solar Flares are bursts of radiation strongly correlated with the cycles of sun spot activity at the Sun's surface. Due to their large fluxes and high energies, the particles emitted during a solar flare constitute a severe problem for a spacecraft but on a probabilistic basis only. For instance, an intense period of solar activity occurred in March 1991 and triggered a sequence of major effects, including the generation of a second inner radiation belt around the Earth, functional anomalies in satellites, and power surges in electrical power grids on the ground [2]. The cycle of growing and vanishing sun spot groups on the Sun's surface has a duration of approximately 22 years, with a reversal of the magnetic fields at the Sun's surface every 11 years. During this cycle, fluctuations of the magnetic fields take place in the upper layer of the solar atmosphere. A consequence of these fluctuations is an outpouring of X-rays, radio waves and UV-radiation as well as an emission of solar wind plasma and energetic particles. These photons and particles are emitted during solar flares and solar storms and their fluxes vary over several orders of magnitude (see for instance [3]).
536
D.
Brdunig
There are anomalous large (AL) solar flares, which are rare, last for periods of up to 8 hours and contribute most of the high-energy solar particles. Ordinary (OR) solar flares occur very frequently, last for a few minutes and do not contribute significantly to the overall solar flux.
I
r
1955
n—r
1965
1980 August 1972
Fig..8.3 - Illustration of solar cycles. Both the number of sun spots (—) and the proton fluences due to solar flares (histograms) are represented (see text) [39].
Both AL events and OR events are illustrated in Fig. 8.3 for the last three complete cycles (19, 20, 21). The continuous line of Fig. 8.3 is a plot of the number of solar spots observed during these cycles. The peaks correspond to the fluence of emitted particles (mostly protons) observed during AL or OR flares. Seen from the vicinity of the Earth, one distinguishes between simultaneous and delayed solar events, depending on the velocity of the individual constituents. The first group (travel time 8.3 minutes) consists of visible light, radio waves, UV-light and X-rays, while the second group (travel time 20 minutes to 50 hours) consists of solar flare and solar storm particles. When entering the Earth's magnetosphere, the charged particles produce large disturbances of the geomagnetic field lines. The amount of exposure of a spacecraft to solar radiation is of course strongly dependent on the orbital parameters of the craft. The ranges in flux and energy of the particles encountered in the solar wind and in solar flares is illustrated in Fig. 8.11. 3.3. GALACTIC COSMIC RAYS
There exists a galactic cosmic radiation in deep space. It is distributed uniformly and is considered as omnidirectional, although for Low Earth Orbits (LEO), the shadow of the Earth plays a significant role in its distribution. The main constituents of this radiation are protons (85%), He ions (14%) and about 1% heavier nuclei. The abundance of heavy nuclei is similar to the universal distribution of elements.
537
The Space Radiation Environment
However, hydrogen and helium have a smaller relative abundance in the galactic cosmic rays whereas the nuclei of the iron group as well as lithium, beryllium, boron and other nuclei with an odd Z number are enhanced in flux, as visible in Fig. 8.4 [5].
o
> 0^
Element-atomic number Fig. 8.4 - Relative abundance of the elements contributing to cosmic rays compared to universal abundance.
I > Fig. 8.5 - The differential fluxes of the most abundant constituents of cosmic rays are plotted versus energy, (after [5]).
in
10^
10^
10^
Kinetic energy in [MeV/amu]
10^
538
D. Brdunig
The energy of cosmic rays extends over an extremely vast range, from 10 to 10^^ MeV. The differential energy spectra of the cosmic ray ions in the neighborhood of the Earth exhibit a maximum at 1 GeV/nucleon. Outside the geomagnetic field, the flux of cosmic ray particles is approximately 4 nuclei per cm^ per second, mainly consisting of protons [4]. Because of the interactions with the solar wind and with the interplanetary magnetic field, this flux is reduced at the lower energy end and its fluctuations are related to the solar activity. Figure 8.5 presents the differential spectrum of four of the most abundant nuclei [6, 7]. The reason for the increase in H and He ion fluxes at low energies is due to the spallation of heavy ions into lighter ones. The integral flux of cosmic rays is represented as a function of energy in Fig. 8.13. 3.4. TRAPPED RADIATION IN THE EARTH'S MAGNETOSPHERE
3.4.1. A brief description of the Earth's magnetic field In a first approximation, one can consider that the Earth acts a magnetic dipole (at least within a distance of about 5 Earth radii). The dipole momentum [M] expressed in [Tesla.cm^] can be written^^^: M = /?f • 0304 • 7 0 " "^ = 7M -10^^ T.cm^
(8.3)
where R^ is the Earth's radius, which equals 6.371.10^ cm, [8]. The magnetic field and the associated field lines can be described by the following set of equations using polar coordinates:
5a)=
M ^4-3cos^ (X)
• R{0f
and
(8.4)
cos^ (X)
2 R{X)----R(0)-cos (X)
(8.5 a)
or
L{X)^
R{X) Re
= Rio) ——cos 2(,^ U)
(8.5 b)
Re
Here B{X) is the magnitude of the magnetic field, which remains tangent to a field line, as a function of A., X is the geomagnetic latitude and R(0) is the distance at which the field line intersects the equatorial plane. This allows an R(A.) representation of the geomagnetic properties of the Earth, as illustrated in Fig. 8.6. Parameter L was introduced by McHlwain in his B-L space [9, 10]. L is a reduced form of the locus of the magnetic field lines and is equal to the geocentric distance at which the shell intersects the magnetic equator. It is measured in units of Earth radii Re. ^^^ In the MKS unit system, M = 8.27.1022 A.m^.
The Space Radiation Environment
539
North
0 1 South
2
3 4 5 Distance in Re
Fig. 8.6 - Illustration of the geomagnetic field lines and ofparameter used to describe them.
At constant L, the value of B varies from a minimum at the magnetic equator to a maximum where the field lines intersect the surface of the Earth. The B-L space is a fixed and idealized coordinate system which does not take into account any temporal change. 3.4.2. The trapping and filtering properties of the magnetosphere The shape of the magnetic field lines has several important consequences which we detail next [11, 12]: • the geomagnetic field acts as a filter for certain types of charged particles entering the Earth's magnetosphere, • there are allowed cavities where particles are trapped and, conversely, forbidden zones where particles of different properties are rejected, • the polar regions are more easily accessible than the equatorial plane for particles of identical properties, • the properties of the geomagnetic filter function enable electrons and protons to form a stable and structured radiation belt, where the abundance of these particles is significantly greater than outside the magnetosphere, • the same filter function rejects a large portion of heavy charged ions whose energy is insufficient to penetrate the inner regions of the magnetosphere (for more details see § 3.5),
540
D, Brdunig
• the stable trapping of electrons, protons and light ions results in a structured set of radiation belts (Van Allen belts), illustrated in Fig. 8.7, which is stationary and whose fluctuations in density and shape is mainly caused by variations in solar activities. Thanks to this trapping and filtering effect, the Earth is relatively protected from outside radiation phenomena. ^
Solar wind Geomagnetic tail
Radiation belts
Fig. 8.7 - Cross-sectional view of the geomagnetic cavity featuring: the solar wind and the associated shock wave, the magnetopause and the radiation (Van Allen) belts (after [42],
3.43. Some properties of the Van Allen Belts The shape of the geomagnetic field and especially the regions of maximum magnetic field strength near the geomagnetic North and South poles determine the motion of the trapped particles. The particles of velocity v are submitted to a force given by F = q v A B . They spiral around and move along the magnetic field lines and are reflected at "conjugate mirror points", as illustrated in Fig. 8.8. The location of these mirror points on a field line depends on the energy of the particle itself. Moreover, the particles drift in a direction perpendicular to the field lines. As a result of their opposite charges, electrons drift Eastward whereas protons drift Westward (azimuthal drift). Typical features of the motion of energetic particles at low altitudes are shown in Tab. 8.3. Particle
Gyration radius
Gyration period
Bounce period
Azimuthal drift period
velocity p=v/c
10 MeV protons
50 km
0.01s
0.5 s
4min
0.2
1 MeV electrons
0.6 km
10|LIS
0.1s
lOmin
0.9
Tab. 3 ' Some characteristics of the motion of particles trapped at low altitudes (^1.5 R^)
The Space Radiation Environment
541
Magnetic field line
Fig. 8.8 - Spiralling and bouncing behaviour of electrons and protons within the geomagnetic field.
The trapping zones are structured into an "inner" and an "outer" belt as illustrated by Figs. 8.9 a, b, c. Protons are entirely trapped within the inner belt and possess energies ranging from 0.5 MeV to more than 400 MeV. In the equatorial plane, their energy decreases conversely with the radial distance from the Earth as shown in Fig.8.9 a. Electrons have energies of up to 7 MeV in the outer belt with fluxes exceeding those of the inner belt (E < 5 MeV). Due to the action of the Sun we have to distinguish between the day and night sides. There are strong variations in shape and population of the belts including diurnal cycles and the 11-year solar cycle as shown in Tab. 8.4 for low altitudes. The outer Van Allen radiation belt typically extends from the plasmasphere to somewhat beyond the geosynchronous altitude, depending on the geomagnetic activity. SOLAR MAX
SOLAR MIN
1
Electron intensities
higher
lower
1
Proton intensities
lower
higher
Tab. 4 - Influence of the solar activity on low-altitudes particles fluxes.
3.4.4. Two anomalous regions: The SAA and the Horns For Low Earth Orbits (LEO) (typically above 500 km) there exist two important contributions to the overall radiation level due to the shape of the geomagnetic field lines: the South Atlantic Anomaly (SAA) and the polar Horns [11]. The SAA is due to the fact that the geomagnetic axis is titled by approximately 11° off the Earth's rotation axis and is displaced by about 500 km towards the Westem Pacific as illustrated in Fig. 8.10. This results in stronger field values at LEOs and correspondingly higher electron and proton fluxes in this geographic region (above Brazil). This is of particular significance for space electronics orbiting on an LEO with a large inclination since the radiation flux is larger by several orders of magnitude in this region compared to other regions of the mission. An illustrative example was given by the Hubble space telescope.
542
D . Brdunig Geostationary orbit Large space telescope ^4
•o
"£ o Q. LU
O "Q. O to 10
20
30
altitude in 10'km
a) electrons
geomagnetic axis
>so(Qr min ' solar max
protons
geomagnetic axis 4
b) Electrons with E > 1 MeV
c) Protons with E > 10 MeV
Fig. 8.9 - Illustration of the Van Allen belts, a) Schematic cross section of the Van Allen Belts in the equatorial plane. Several integrated fluxes of electrons and protons are plotted as a function of altitude. The proton distribution in the inner zone and the dual distribution of the electrons (inner and outer zones) are clearly visible. An LEO and a geostationary orbit are indicated (after [37]). b) Set of isoflux contours describing the trapping belts for electrons of energy > I MeV. c) Idem for protons of energy > 10 MeV.
The Space Radiation Environment
543
Before the 1993 retrofitting of the telescope electronics, every time the spacecraft went through the intense radiation belts of the SAA, the memories suffered from enhanced dark currents and their contents had to be corrected. The Homs at mid-latitude levels are tails of the outer electron belt coming very close to the Earth because of the geomagnetic field. Figures 8.9 a and b illustrate this feature very clearly as well as Fig. 8.12 based on the application of the AE-2 model [13].
Fig. 8.10 - Origin and illustration of the South Atlantic Anomaly (after [42]).
3.5. CUT-OFF MECHANISMS FOR PROTONS AND HEAVY IONS
The penetration of charged particles into the geomagnetic space, in particular in the case of solar flare protons and cosmic heavy ions, is hampered by the shielding properties of the Earth's magnetic field. The more energy a particle possesses, the closer to the Earth it can get. A quantitative description of these "cut-off mechanisms uses a specific term, the rigidity P, whose value determines how easily a charged particle is reflected by the geomagnetic field [8]. The rigidity P of a (relativistic) particle of energy E is given by:
P = pcA/Z
= —ylE^-\'2'm^'C^'E
(8.7)
In this expression m^ is the rest mass of the particle, A is the atomic mass unit (amu), Z is the charge of the nucleus and E is the energy of the particle expressed in MeV. The dimensions of P are [MV/amu]. In the case of protons, ratio A/Z equals 1. For heavier ions, A/Z equals 2 or is very close to it. For low-energy particles, the rigidity is proportional to E^^^ whereas in case of high energy it is proportional to E. We can calculate the distance (L) in units of Earth radii, at which an incoming particle of rigidity P (expressed in MV/amu) and moving in a meridian plane can come close to the Earth [42]. It yields: L=
15.9 10^
cos X
(8.8)
D. Braunig
544
The rigidity concept [14, 15] is used as well to describe the flux spectra of solar protons, for instance as: ^p{>P)
where Op
(8.9)
= ^Pf)-exp\
is the total proton flux for an event expressed in [# protons/cm^] and PQ is
characteristic of a particular solar event. As seen from Fig. 8.11, protons can reach a region corresponding to a geosynchronous orbit (i.e. L = 6 to 7) only if their energy exceeds 87 MeV (for ions 23 MeV/amu). Particles can reach Low Earth Orbits (LEO) only if their energies are greater than 3 GeV for protons, and 1 GeV/amu for ions. For LEO, in addition, atmospheric effects must be considered. But for a polar orbit of nearly 90° inclination, a much lower energy is needed to reach these regions. 12
III
10
H L 6
m\ IONS 10
a)
100
1000
Energy (in MeV for protons and MeV/amu for ions)
23 MeV/n 12 MeV/n
Fig. 8,11 - Illustration of the cut-off mechanism, a) illustrates the penetration depth of solar and cosmic particles in L coordinates (in units of Earth radii) as a function of energy (in units of MeV/amu (ions) and MeV (protons)), b) is a visualization of that cut-off effect giving the total energy required to penetrate the magnetosphere, (after [11]).
The Space Radiation Environment
545
3.6. ROLE OF THE ORBIT CHARACTERISTICS
Due to the shielding behaviour of the geomagnetic field, how satellite electronics will be affected depends on the orbit used. For instance, on a geosynchronous orbit (i.e. at an altitude of about 36 000 km), the main constituents of the encountered radiation are electrons in the 0.5 to 8 MeV range. Therefore, a complete shielding of the equipment is very difficult to achieve due to the generation of Bremsstrahlung by these high-energy electrons. Since the conmiunication satellites which are on such orbits have a mission duration of more than 7 years, the (total) absorbed dose can be high and the electronic components must be able to withstand these high accumulated dose values. Solar flares must also be taken into account on a statistical basis. For Low Earth Orbits (LEO), i.e. for altitudes ranging from 200 to 800 km, one must distinguish between equatorial and polar orbits. Because of the configuration of the geomagnetic field lines, particles encountered on polar orbits are primarily protons and highly energetic ions. Overall, the level of radiation encountered depends strongly on the altitude of the spacecraft since only a few hundred kilometers in altitude may cause large differences in flux due to the steep increase in proton flux at the tail of the inner Van Allen belt. Only a very accurate knowledge of the energy and flux distribution of the radiation species permits a reliable prediction of the radiation threat. Models currently available to describe the environment are discussed next. Let us note that an accurate prediction must also take into account the shielding effect of the equipment itself. 4. A SURVEY OF ENVIRONMENT MODELING AND MISSION MODELING The modeling of the radiation environment is important because it enables: 1) a calculation of the fluxes encountered by the spacecrafts, and 2) a forecast of the type of problems the electronic parts (especially the semiconductor components) will experience during a mission. 4.1.
MODELBSG THE E A R T H ' S RADIATION ENVIRONMENT
From the beginning of unmanned spaceflights, experimental data were gathered to get a comprehensive picture of the Earth's radiation belts. Due to limitations in measurement equipment and theoretical modeling, the description of the constituents of the Earth's environment and of their distribution had to be continuously improved. Today, the descriptions of the environment are still "stationary" ones, with a few exceptions, and for example do not illustrate the temporal changes due to variations in solar activities. Up to now, 8 models describing the Earth's environment have been successively established for electrons (AE-) as well as for protons (AP-). They are called AE-1, AE-2, AE-3, AE-4, AE-5, AE-5 projected (AE-5P), AE-6, AE-8 and AP-1, AP-2, AP>3, AP-4, AP-5, AP-6, AP-7, AP-8. Following the NASA-Publication NSSDCAVDC- A- R&S 91-29 by James I. Vette [13], a short tabular description of the individual models is given next (see Tab. 8.5). Figure 8.12 gives an illustration of data gathered in the AE-2 model.
546
D, Brdunig
The CREME model was developed at the Naval Research Lab to have a tool for predicting Single Event Upsets caused by cosmic rays. A series of reports [31 - 34] entitled "Cosmic Ray Effects on Microelectronics (CREME)" linked the Linear Energy Transfer (LET) of ions and its spectrum (number of ions versus LET) to the SEU sensitivity of individual integrated circuits. The CREME model describes the space environment and the radiation-component interactions leading to SEU and contains estimates of individual ion fluxes from protons up to Uranium^^\ It also takes into account reductions in flux intensity due to solar modulation, geomagnetic cut-off and the Earth's shadowing effect. The transport code includes heavy ion fragmentation and ionization losses for each element individually.
Fig, 8.12 - Illustration of the electron belts. Isoflux lines have been computed (using the AE-l model), for electrons of energy > 1 MeV.
90 80 70 60 50 40 30 20 K) 0 10 20 30 40 50 60 70 80 90 NORTH GEOGRAPHIC LATITUDE SOUTH
SOLPRO [35] is a simple statistical model predicting solar flares and is based entirely on satellite spectral measurements of the 20th solar cycle. Figure 8.13 sunmiarizes and illustrates the relative importance of each radiation species encountered in space.
(2)
Ions with atomic masses larger than that of Fe play no significant part.
The Space Radiation Environment
547
Van Allen electron belt Model
Range [Re]
Energy range [MeV]
AE-1
1.2-3
0.3-7
Omnidir. Integral Flux
[17]
AE-2
1.1-6.3
0.04-7
Solmax, SAA and Homs
[18]
AE-3
6.6
0.01-5
GEO, SOLMAX, SOLMIN
[19]
AE-4
3.0-11.0
0.04-4.85
Time var. SOLMIN, SOLMAX
[20-21]
1
AE-5
1.4-2.8
0.04-4
Inner zone, atmospheric cut-off
[22 - 23]
1
AE-6
1.2-11
0.04-5
Decay times, Starfish
[24]
No release
[25]
The most advanced model yet
[26]
AE-7 AE-8
1.2-4/3.011/2.4-3
0.04-7
Remarks
References
Van Allen proton belt Range [Re]
Energy range [MeV]
AP-1
1.17-3.15
30-50
Integral flux, solar cycle var.
[17]
AP-2
1.17-3.51
15-30
Integral flux, solar cycle var.
[17]
AP-3
1.17-2.9
>50
Integral flux, solar cycle var.
[17]
AP-4
1.17-4.6
4.0-15.0
Integral flux, solar cycle var.
[17]
AP-5
1.2-6.0
0.1-4
Variation, differential flux
[27]
1.2-4
4-40.0
Improved data
[28]
AP-7
1.15-3.0
50-500
Improved data
[29]
AP-8
1.15-6.6
0.1-400
Static model with solar cycle
[30]
Model
|AP-6
Remarks
References
Solar flares and cosmic rays I
Model SOLPRO
1CREME
Remarks
References
Solar Flares
[35]
Cosmic Rays
[31 - 34]
Tab. 8.5 - Models currently (1995) available describing trapped particles, solar protons and cosmic rays. 4.2.
EXAMPLES AND MISSION CONSIDERATIONS
To illustrate the possibilities of modeling, we present next three examples which have been calculated using a software called Space Radiation [36], based on the CREME model. These examples are: the geosynchronous orbit in the best and the worst-case parking position, the impact of solar activity for a circular LEO and the influence of orbit inclination on the LET spectrum for an LEO.
D, Brdunig
548
Solar wind protons 10
10
C/5
10
Trapped electrons
q
I—peak
Geostationary 10
Y Trapped Protons-peak
Solar protons Major flare
X! US
10
7-Year average
Galactic Cosmic rays
10-^
10^
10
10
1
10
10
10
10
Particle energy E [MeV] Fig. 8.13 - Integral spectra of particles encountered in space [37].
In Fig. 8.14 the electron fluence per cm^ and per day as a function of electron energy is shown for two locations, which represent the worst and the best-case conditions for a geosynchronous orbit. The best-case location is at L = 7,70° West, and the worst-case is found for L = 6.6, 160° West. The radiation exposures between the two locations differ by about one order of magnitude for intermediate energies (because of the altitude, the protons play no part and only electrons contribute to the absorbed dose).
The Space Radiation Environment
1.00E+13
^ -d
1.00E+12
e
1.00E+11
W A N
^
1.00E+10 1.00E+09 1.00E-I-08
J3
1.00E-I-07 1.00E+06 1.00E+05 c3 ;-4
<
1
geosynchronous Orbit
•e-
X
549
^
IN
^ ^
1
^ [
1 1
P
1
|WORSTCASE:160"W,L=6.6|
£>.>—
|BESTCASE:70*W.L=7|
I —
^
1
)
N
i
1.CX)E+04 2
3
4
Energy [MeV]
Fig. 8.14 - The (averaged) integral electronfluxesdetected on a geosynchronous orbits for best and worst-case parking positions^ versus energy (after [36]).
In Fig. 8.15 the daily averaged integral electron flux encountered on a circular orbit (600 km) with a 60° inclination, for low solar activity, is compared to the electron flux encountered for maximum solar activity. The electron integral fluence (per cm2) averaged over a period of one day shows a pronounced difference, particularly at low energies as already indicated in Tab. 8.4, because of an enhanced electron fluence for Solar Max periods. Finally, the dependence of LET spectra encountered for LEO's on the inclination angle of the orbit are indicated in Fig. 8.16 as an example which will be described in more detail in Chap. 10. Whereas the fluences for low (BO'') and medium (60°) inclinations are quite similar, the polar orbit (90°) exhibits much more particles per unit area and unit time due to the weaker shielding capabilities of the geomagnetic field at that location. The sharp cut-off at about 500 MeV.cm^/g reflects the strong contribution of protons in the low LET range, since their LET never exceeds this value. 5. SUMMARY AND CONCLUSION More and more items of electronic equipement are being used in space aboard spacecrafts. While in space, they are submitted to a wide array of particles, such as: electrons and protons of the Van Allen Belts, protons and heavy ions emitted during solar flares and protons and heavy ions which make up the cosmic rays. The performance of on-board electronic components and equipment may degrade quickly if precautions are not taken.
550
D. Brdunig
Fig. 8.15 Daily averaged integral electron flux encountered on a circular orbit (600 km, 60°) versus energy (after [36]).
• -e-
1E-^02 1E-02
lE-OI
lE-^OO
1E^01
Energy [MeV] 1.00E+07
q ^ W
90° 1.00E+06 60°
A
-eo
|30 ol
1.00E+05
13 ^S
N
1.00E+04 r r\ rVsh1- /en/ Pir OliOuiai v^i uii ^\j\M/wr\iiiy
Q 1.00E+03 1.00E+00
1.00E+01
1.00E+02
1.00E+03
LET [MeV.cm2.g-i] Fig.8. 16 Daily integralfluenceof particles encountered on a circular orbit (600 km) for different inclinations versus LET. (after [36]).
The Space Radiation Environment
551
The need for an accurate knowledge of the Earth's radiation environment has thus become imperative. Computer models of environment, such as AP-8 for protons and AE-8 for electrons, developed by NASA, have been widely used to predict the radiation threat for a given space mission. A severe disadvantage of these models is that they describe a stationary situation that does not correctly reflect the real situation. Therefore activities are underway at NASA and ESA to study the dynamic behaviour of our radiation environment and to improve the accuracy and the reliability of the predictions. ACKNOWLEDGEMENTS The author wishes to thank his colleagues at the Hahn-Meitner-Institut for fruitful discussions and the editors G. Barbottin and A. Vapaille for their thorough review. LIST OF REFERENCES [I] King J.H., J. Spacecraft 11, No. 6, (1974), p.401. [2] Shea M.A.,D.F. Smart, J.H. Allen and D.C. Wilkinson, "Spacecraft Problems in Association with Episodes of Intense Solar Activity and Related Terrestrial Phenomena During March 1991", lEEE-NS 39,6 (1992), p. 1754. [3] Adams J.H. Jr and A. Gelman, IEEE NS-31,6, (1986), p.l212. [4] Byrne F.N.," A Survey of Solar Flare Phenomena", Space Set. Rev. 3,(1964), p.319. [5] Petersen E.L., "Basic concepts on Single Event Upsets" lEEE-NSREC Short Course, July 1983, Gatlinburg/Tennessee. [6] Adams J.H. Jr., "The Natural Environment Inside Spacecraft", lEEE-NS 29,6, (1982), p. 2095. [7] Adams J.H. Jr., "The Variability of Single Event Upsets Rates in the Natural Environment", lEEE-NS 30, 6,(1983), p.4475. [8] Stormer C , Polar Aurora, Oxford University Press, London,1955. [9] Mclllwain C.E." Magnetic Coordinates" in Radiation Trapped in the Earth Magnetic Field, Ed. B.M. McCormac (Reidel,Holland), (1966), p. 45. [10] Mclllwain C.E., J. Geophys. Res. 66, No.ll, November 1961, p. 3681. [II] Stassinopoulos E.G., " Radiation Environments of Space", lEEE-NSREC: Short Course, Reno, Nevada, July 16, 1990. [12] Haffner J.W., Radiation and Shielding in Space, Academic Press, 1967. [13] Vette J.I.," The NASA/National Space Science Data Center Trapped Radiation Environment Model Program (1964-1991)", NSSDCAVDC-A-R&S 91-29, National Space Science Data Center, Greenbelt,Maryland, November 1991. [14] Rosen A., "The Dynamics of the Outer Zone", Space Science, Ed.D.P. Le Galley (Wiley, New York), (1963), p. 275. [15] Webber W.R., "Solar Flare Proton Data", Nucleonics 21, (1963),p. 154. [16] Nichols D.K., "Trends in Electronic Parts Susceptibility to Single Event Upset Space Station Environment", JPL D-2767, September 1985. [17] Vette J.I.,"Models of the Trapped Radiation Environment", Vol.1: Inner Protons and Electrons NASA SP3024, Vol.1, 1966. [18] Vette J.I., A.B.Lucero and J.A.Wright, "Models of the Trapped Radiation Environment", Vol.2: Inner and Outer Zone Electrons, NASA SP-3024, Vol.2, 1966. [19] Vette J.I., A.B. Lucero and J.A.Wright, "Models of the Trapped Radiation Environment", Vol.3: Electrons at Synchronous Altitudes, NASA SP-3024, Vol.3, 1966.
552
D.
Brdunig
[20] Singley G.W. and J.I. Vette, "The AE-4 Model of the Outer Radiation Zone Electron Environment", NASA GSFC National Space Science Data Center, NSSDC 72-06, August 1972. [21] Singley G.W. and J.I. Vette, "A Model Environment for Outer Zone Electrons", NASA GSFC National Space Science Data Center, NSSDC 72-13, December 1972. [22] Teague, M.J. and J.I.Vette, "The Inner Zone Model AE-5 ", NASA GSFC National Space Science Data Center, NSSDC 72-10, November 1972. [23] Teague, M.J. and J.I.Vette, "The Use of the Inner Zone Electron Model AE-5 and Associated Computer Programs", NASA GSFC National Space Science Data Center, NSSDC 72-11, November 1972. [24] Teague M.J., K.W.Chan and J.I.Vette "AE-6: A Model Environment for Trapped Electrons for Solar Minimum", NSSDCAVDC-A-R&S 76-04, National Space Science Data Center, Greenbelt, Maryland, March 1976. [25] Chan K.W., M.J.Teague, N.J.Schofield and J.I.Vette," Modeling of Electron Time Variations in the Radiative Belts", American Geophysical Union Geophysical Monograph 21, Quantitative Modeling of Magnetospheric Processes, June 1978. [26] Vette J.I.," The AE-8 Trapped Electron Model Environment", NSSDCAVDC-A- R&S 91-24, National Space Science Data Center, Greenbelt,Maryland, November 1991. [27] King J.H.," Models of the Trapped Radiation Environment", Vol.4: Low Energy Protons, NASA SP- 3024, Vol.4, 1967. [28] Lavine J.P. and J.I.Vette," Models of the Trapped Radiation Environment", Vol.5: Inner Belt Protons, NASA SP-3024, Vol.5, 1969. [29] Lavine J.P. and J.I.Vette," Models of the Trapped Radiation Environment", Vol.6: High Energy Protons NASA SP-3024, Vol.6, 1970. [30] Sawyer D.M. and J.I.Vette," AP-8 Trapped Proton Environment for Solar Maximum and Solar Minimum",NSSDC 76-06, National Space Science Data Center, Greenbelt, Maryland, December 1976. [31] Adams, J.H., R.Silberberg and C.H.Tsao, "Cosmic Ray Effects on Microelectronics",Part l:The Near Earth Particle Environment", NRL Memorandum Report 4506, August 25,1981. [32] Adams J.R.," Cosmic Ray Effects on Microelectronics", Part 4, NRL Memorandum Report 5901, December 31, 1986. [33] Adams J.H., J.R.Letaw and D.F.Smart," Cosmic Ray Effects on Microelectronics", Part 2: The Geomagnetic Cutoff Effects, NRL Memorandum Report 5099, May 1983. [34] Tsao C.H., J.H.Silberberg, J.H.Adams and J.R.Letaw," Cosmic Ray Effects on Microelectronics", Part 3: Propagation of Cosmic Rays in the Atmosphere, NRL Memorandum Report 5402, August 1984. [35] Stassinopoulos E.G., "SOLPRO: A Computer Code to Calculate Probabilistic Energetic Solar Proton Fluences", Rep. NSSDC No. 75-11, National Space Science Data Center, Greenbelt, MD, April 1975 [36] SPACE RADL\TION, Users Manual, DOS-Version 2.0,Trademark of Severn Communications Corporation, 1992. [37] Holme-Siedle A. and Adams L. The Radiation Design Handbook, ESA PSS-01-609, Draft, 1989 [38] McGuire at al. "Solar flare particle fluence during solar cycles 10-20 and 21", 18th Int. Ray Conf., Vol.4,1983, p.66 Recent books on the effects of radiation on electronic components [39] [40] [41] [42]
Ma and Dressendorfer, Ionizing Radiation effects in MOS Devices and Circuits, Wiley, 1989. Messenger and Ash, The effects of Radiation on Electronic Systems, Van Nostrand, 1992. Holmes - Siedle and Adams, Handbook of Radiation Effects, Oxford, 1993. Boudenot J.D., L'environnement spatial. Collection "Que sais-je ?", Presses Universitaires de France, 1995 (in French) [43] Messenger and Ash, Single Event Phenomena, Chapman & Hall, 1997
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) © 1999 Elsevier Science B.V. All rights reserved.
553
CHAPTER 9
AN OVERVIEW OF RADIATION-MATTER INTERACTIONS
by W.R. FAHRNER (D
Key items Basic units Absorption cross section Scattering cross section Stopping power Range Displacement defects Ionization (phenomena) Electron-matter interactions Ion-matter interactions Photoelectric effect Compton effect Pair production Attenuation coefficient Neutron-matter interactions
(1) Fernuniversitat Gesamthochschule Fachbereich Elektrotechnik Bauelemente der Elektrotechnik D'58084 Hagen, Germany
554
WR, Fahrner
Abstract of Chapter 9: An overview of radiation-matter interactions Electronic components are increasingly used in terrestrial or space radiation environments. Inside these components, radiation gives rise to electrical phenomena which can only be understood and modeled if the basic physics of radiation-matter interactions is well understood. This physics is detailed in this chapter. We first indicate, in Section 2, the definitions and the basic units one uses to describe both the radiation sources and the irradiated material. In Section 3 we define the concept of interaction cross section, applied to the absorption or to the scattering of particles in matter, as well as the concepts of stopping power and range. Two types of "defects" are created by radiation: displacement damage and ionization phenomena. In Section 4 we describe how these defects are generated and how they recombine. We also describe two examples of radiation environments encountered by electronic devices during their manufacturing: ion implantation and lithography. Energetic electrons can interact elastically with the nuclei of the target atoms, or inelastically with their shell electrons. They can also generate Bremsstrahlung radiation when stopped by the target material. The first two mechanisms are described in Section 5. Energetic ions interact with matter in ways similar to electrons. We show in Section 6 that, by making suitable hypotheses about the shape of an "interaction potential", we can deduce expressions both for the interaction cross section of ions andfor their stopping power. Energetic ions can be encountered during device manufacturing and in space (cosmic rays). In Section 7 we describe the three basic mechanisms taking place when photons interact with matter: the photoelectric effect, the Compton effect, and pair production. Any of these three mechanisms can prevail depending on photon energy and on the atomic mass of the target material. We end this long chapter by describing (in Section 8) how neutrons interact with matter. Two mechanisms prevail: either the neutron collides with a nucleus or it is captured by the nucleus. In the first case the primary "knock-on atom" can generate a cascade of secondary collisions, while in the second case neutron capture is followed by the emission of particles which, in turn, can generate collisions. This leads us to define a "damage function" (useful for damage modeling). Resume du chapitre 9: Un apergu des interactions rayonnement-matiere Les composants electroniques sont de plus en plus utilises dans des environnements radiatifs terrestres ou spatiaux. Us sont dans ce cas le siege de phenomenes electriques qui ne peuvent etre compris et modelises que si les mecanismes physiques des interactions rayonnement-matiere sont bien connus. C'est le sujet traite dans ce chapitre. On commence par indiquer, dans la section 2, les definitions et les unites de base qui permettent de decrire aussi bien les sources de radiations que les cibles irradiees. Dans la section 3 on definit le concept de section efficace d'interaction (absorption ou diffusion) des particules dans la matiere, ainsi que les concepts de pouvoir d'arret et de profondeur de penetration. Deux categories de defauts sont generees par les radiations: les defauts de deplacement et les effets d'ionisation. On decrit dans la section 4 leur genese et lafagon dont ils se recombinent. On decrit egalement deux exemples d'environnement radiatif rencontres par les composants lors de leur fabrication : limplantation ionique et la lithographie. Les electrons energetiques peuvent interagir elastiquement avec les noyaux de la cible, ou inelastiquement avec le cortege electronique des atomes. Ils peuvent egalement generer un rayonnement de freinage (Bremsstrahlung) lors de leur deceleration dans la matiere. Les deux premiers mecanismes sont decrits dans la section 5. Les ions interagissent avec la matiere selon les memes mecanismes que les electrons. On montre dans la section 6 que moyennant certaines hypotheses sur la forme du "potentiel d'interaction", on peut en deduire des expressions pour la section efficace ^interaction et le pouvoir d'arret. On rappelle que Von rencontre des ions energetiques aussi bien lors de la fabrication des composants que lors de leur utilisation dans Tespace (rayonnement cosmique).
An Overview of Radiation-Matter Interactions
555
Dans la section 7 on decrit les trois mecanismes de base de I'interaction photon-matiere: Veffet photoelectrique, Veffet Compton et la production de paires. On montre que I'un ou I'autre de ces effets predomine selon Venergie du photon incident et le numero atomique de la cible. Ce long chapitre se termine par une description de Veffet des neutrons sur la matiere (section 8). Deux mecanismes predominent alors : la collision avec le noyau de Vatome cible, ou la capture par ce meme noyau. On montre que collision et capture sont suivies d'autres collisions secondaires qu'iI faut prendre en compte au travers d'une fonction "dommages" si Von veut mode User les effets. Zusammenfassung zu Kapitel 9: Eine Ubersicht der Wechsehvirkungen von Strahlung undMaterie Elektronische Bauelemente werden in zunehmendem Mafi in strahlungsreicher Umgebung aufder Erde oder im Weltraum benutzt. Diese Strahlung gibt Anlafi zu elektrischen Erscheinungen, die nur verstanden oder modellmdfiig beschrieben werden konnen, wenn die grundlegende Physik der Wechselwirkungen von Strahlung undMaterie gut verstanden ist Diese Physik wird in diesem Kapitel in Einzelheiten diskutiert. Zuerst fuhren wir in Abschnitt 2 die Definitionen und Grundeinheiten vor, die man sowohl fiir die Strahlungsquellen als auch die bestrahlten Materialien benutzt. In Abschnitt 3 definieren wir den Begriff der Wirkungsquerschnitte sowohl fiXr Absorption als auch Streuung von Teilchen inMaterie undweiter die Begriffe Bremsvermogen und Reichweite. ZweiArten von "Defekten" werden durch Strahlung erzeugt: Versetzungsschdden und lonisation. In Abschnitt 4 beschreiben wir, wie diese Defekte erzeugt werden und wie sie rekombinieren. Wir beschreiben auch zwei Beispiele strahlenreicher Umgebung, wie sie von elektronischen Bauteilen bei ihrer Herstellung angetroffen wird: lonenimplantation und Lithographie. Energiereiche Elektronen konnen mit dem Kern der Targetatome in elastische Wechselwirkung treten, bzw. in inelastische mit ihren Schalenelektronen. Sie konnen auch Bremsstrahlung erzeugen, wenn sie im Targetmaterial abgebremst werden. Die ersten beiden Mechanismen werden in Abschnitt 5 beschrieben. Energiereiche lonen treten in dhnliche Wechselwirkung wie Elektronen. Wir zeigen in Abschnitt 6, daJ3 wir aufder Grundlage geeigneter Annahmen fiber die Form eines "Wechselwirkungspotentials" Ausdriicke sowohl fUr ihre Wechselwirkungsquerschnitte als auch ihr Bremsvermogen ableiten konnen. Energiereiche lonen tauchen bei der Bauelementherstellung und im Weltraum auf (kosmische Strahlung). In Abschnitt 7 beschreiben wir die drei grundlegenden Mechanismen, die stattfinden, wenn Photonen mit Materie in Wechselwirkung treten: den photoelektrischen Effekt, den Compton-Effekt und die Paarerzeugung. Jeder dieser drei Mechanismen kann vorherrschenje nach Photonenergie und atomarer Masse des Targets. Wir beenden dieses lange Kapitel mit der Beschreibung (in Abschnitt 8) der Wechselwirkung von Neutronen mit Materie. Zwei Mechanismen herrschen vor: Entweder stofit das Neutron einen Kern oder es wird durch ihn eingefangen. Im ersten Fall sehen wir, daJ3 das primdr gestofiene Atom eine Kaskade von Sekunddrstofien erzeugen kann, wdhrend im zweiten Fall dem Neutroneneinfang eine Emission von Partikeln folgt, die ihrerseits Stofie erzeugen konnen. Diesfiihrt uns zur Definition einer "Schddigungsfunktion" (die niitzlich zur modellmdfiigen Beschreibung der Schddigung ist).
556
W,R. Fahrner
Chapter 9: An overview of radiation-matter interactions Table of contents List of symbols and abbreviations used 1. Introduction 2. Basic units and definitions 2.1. A first classification of the various interactions 2.2. The radiological units 2.2.1. Units characterizing a radiation source 2.2.2. Units characterizing the radiation target 2.3. Additional definitions and remarks 2.3.1 Relation between exposure and absorbed dose 2.3.2 The exposure rate of a point source of gamma rays 2.3.3 Biological effects versus energy deposition 2.4.Summary 3. Basic concepts related to interaction probability and energy exchange 3.1. Four types of interaction 3.2.The concept of interaction cross section 3.2.1. The absorption cross section 3.2.2. The differential scattering cross section 3.2.3. The total interaction cross section 3.3. Concepts related to the energy exchange 3.3.1. The energy transfer 3.3.2. The stopping power 3.3.3. Range and projected range 3.3.4. The nuclear and electronic contributions to the stopping power 4. An overview of radiation-induced defects and radiation environments 4.1. Displacement defects 4.2. Ionization phenomena 4.3. Some examples of radiation environments 4.3.1. The space environment 4.3.2. The (civilian) nuclear environment 4.3.3. The manufacturing environment 5. The electron-matter interaction 5.1. The sources of-electrons and the main interactions 5.2. Elastic interaction with the nucleus 5.3. Inelastic interaction with the shell electrons 5.3.1. Energy transferred to one shell electron 5.3.2. Energy transferred to all electrons 5.3.3. Energy loss per unit path length 5.3.4. Stopping power 5.3.5. Range, straggling (electrons)
An Overview of Radiation-Matter Interactions 5.4. Conclusion 6. The ion-matter interaction 6.1. Main origins and properties 6.2. The elastic interaction of ions with target nuclei 6.2.1. The approach used 6.2.2. The various interaction potentials and the associated cross sections 6.2.3. Calculation of the nuclear stopping power 6.3. The inelastic interaction of ions with shell electrons 6.4. Ranges, straggling (ions) 6.5. Conclusion 7. The photon-matter interaction 7.1. The origin and sources of photons 7.1.1. The physical origin of photons 7.1.2. Photon sources 7.2. The photoelectric effect 7.3. The Compton effect 7.3.1. Momentum and energy conservation 7.3.2. The momentum of the Compton electron 7.3.3. The shift in wavelength of the incident photon 7.3.4. The kinetic energy of the Compton electron 7.3.5. The relationship between the two scattering angles 7.3.6. Compton scattering in the relativistic case 7.3.7. Quantum and energy scattering 7.4. Pair production 7.5. The total linear attenuation coefficient. 8. The neutron-matter interaction 8.1. The general properties of the neutron 8.1.1. The neutron mass 8.1.2. The neutron charge 8.1.3. The neutron spin 8.1.4. The gyromagnetic ratio 8.2. An overview of neutron sources 8.2.1. Characteristic parameters of neutron sources 8.2.2. The various neutron sources 8.3. Neutron interaction with solids 8.3.1. The collision process 8.3.2. The capture process 8.4. CoUision process versus capture process 9. Conclusion Acknowledgements List of references
557
558
W.R. Fahmer
List of symbols and abbreviations used A A b c D D Dq Dq DN
E E E Ec Eion
Ed EG EN
Ekin EB
Etot
F h H I
J m mo niair Nj
P Pe q R R
Rp ARp
cm2 -
cm cm/s or m/s Gy or J/kg Gy/s or W/kg Sv or J/kg Sv/sorW/kg cm2 C/kg A/kg eV eV eV eV eV eV eV eV eV N Js J.s eV l/cm2s kg kg kg 1/cm^ kg-cm/s kg-cm/s C cm cm cm cm
Area Atomic mass Impact parameter Velocity of light (c=2.9979-10^ m/s in vacuum) Dose Dose rate Dose equivalent Dose rate equivalent Damage function (for neutrons) Exposure Exposure rate Energy Critical (threshold) energy Ionization energy Displacement energy Energy gap Neutron energy Kinetic energy Bremsstrahlung energy Total relativistic energy Force Planck constant (h = 6,626.10'^'^ J.s) Rationalized Planck constant (h = hlln) Average atomic ionization energy Flux Mass (of a particle or atom) Rest mass (of electrons) Mass (of air) Density of absorbing (or scattering) atoms Momentum (of a particle) Momentum of an electron Elementary charge (q=1.6.10-i^C) Total range Mean range Projected range Straggling
An Overview of Radiation-Matter Interactions
r
Yd
cm cm2.eV/kg cm2.eV/kg cm2-eV/kg eV eV s cm^ cm/s cm/s cm/s2 Vcm 1/cm^-s
Z
-
Zl Z2
-
S Sn Se
T,
V(r) X
-
Ratio (p= v/c) Ratio(7 = (l-v2/c2)-i/2)
-
Deflection angles after a scattering event Absorption cross section (pair production) Wave length Free path length Total attenuation coefficient Number of atoms displaced by one neutron Density Cross section Absorption cross section Scattering cross section Differential scattering cross section Differential scattering cross section Interaction cross section (neutrons) Spheric angle Absorption cross section (photoelectric effect) Atomic mass unit, Arbitrary unit
*>>
7
K
cm2
X X \^
cm cm 1/cm
\)
-
P a
kg/cm3 cm2 cm2 cm2 cm2/J
^a ^sc 5as/dT da^/dQ a (En)
Distance Stopping power Nuclear stopping power Electronic stopping power Energy transferred. Average energy transferred Maximum energy transferred Time Volume Velocity (of a particle) Electron velocity, neutron velocity (resp.) Electron acceleration (deceleration) Potential Distance Rate of displaced atoms per unit volume Atomic number Charge state of the projectile Charge state of the target atom
cm^/ster cm2
n
-
xk amu, a.u.
cm2 -
559
560
W.R. Fahrner
FOREWORD This chapter is intended to provide the reader with the basic physics of how particles and quanta interact with matter. We have assumed that most electronics engineers have little experience in nuclear physics. We have restricted ourselves to those phenomena governing (solid state) device operation and failure. 1. INTRODUCTION This chapter is the second in a series of five devoted to radiations and to their impact on silicon devices. We saw in Chapter 8 that electronic components are increasingly used in radiation environments. We also described in detail the natural (radiation) environment surrounding our planet which poses a serious threat to increasingly sensitive large scale integrated circuits used in space. Radiations (photons and particles) can trigger two basic types of "defects" in silicon devices, namely displacement damage and ionization phenomena. These "defects" modify the electrical characteristics of the materials making up the device and thus can cause a parametric or a functional failure. This is well described in Chap. 10. Defects (natural or radiation-induced) exist also in silica or at the Si-Si02. interface They play an important role during and after irradiation in phenomena such as : charge build-up and interface states creation. The nature of defects encountered in silica and various charge buildup phenomena are examined in Chap. 11. When travelling through the silicon substrate of a device, highly energetic ions can ionize the material in such a way that the ensuing "photocurrent" is able to cause a logical "upset". This so-called "single event upset" (SEU) is now a well-identified threat to large scale integrated circuits. It will be described thoroughly in Chap. 12. However before we describe all these phenomena and in order to be able to quantify and model them, we must give the reader enough background material on radiation-matter interactions. This is what we attempt to do in the present chapter. To quantify the effects of radiation on matter, we need basic units and definitions. We present them in Section 2 of this chapter and we explain how these units and definitions fall into two categories depending on whether they characterize the radiation source or the irradiated target. Two concepts are vital to describe and model the effects of radiation on matter: the interaction cross section describes the probability that a particle interact with the material either through absorption or through scattering. The stopping power is used to quantify the amount of energy that a particle can exchange during its travel through the material. Given that the incoming particle can in most cases either interact with the electron shell of the target atom, or with its nucleus, or with both, two contributions to the stopping power can be distinguished. This is all described in Section 3, where we also show that the range of a particle, i.e. the distance that a particle travels inside a material, can be expressed as a function of stopping power.
An Overview of Radiation-Matter Interactions In Section 4 we give a brief overview of radiation-induced defects and of possible radiation environments. In solids, radiations can induce two broad categories of "defects": When the incoming particle collides with a lattice nucleus, it generates primary defects (interstitials and vacancies) which in tum combine to form secondary defects (vacancy clusters, A and E centers, etc...)- When the incoming particles (or quanta) interact with the electron shell, they can generate electron-hole pairs. In silicon ionization stops as soon as irradiation stops, and the generated carriers either recombine or are swept away by the electric field. The same occurs in silica, but in that case some carriers (predominantly holes) remain trapped which leads to the formation of a space charge and of interface states. The exposure of electronic components to radiation environments is becoming widespread. Apart from the military (nuclear) environments, devices may also be subjected to civilian (nuclear) environment and/or to space radiations. (Only the latter case will be dealt with extensively in this book.) During their manufacturing, devices are also subjected to radiations during such steps as: ion implantation and lithography. We give two examples of dose calculations in such cases in Section 4. Energetic electrons can interact with target atoms in several ways. They can collide elastically with the nucleus, or experience inelastic collisions with the shell electrons. Both cases are described in Section 5. (A third case, the generation of Bremsstrahlung, will be briefly dealt with in Section 7). In each case we can calculate the energy lost by the incoming electron and its stopping power. We show that the latter varies little with energy between 1 and 10 MeV and depends little on the target material. Wefinallyexplain why the concept of mean free path cannot be used for electrons because of large energy and range stragglings, and why we prefer instead to use the range concept. Energetic ions interact with matter in at least two ways. They either collide elastically with target nuclei or they collide inelastically with the shell electrons of the target atoms. We show in Section 6 that it is possible to derive an expression for the differential cross section and for the (nuclear) stopping power, in the case of elastic collisions, if we assume that the "interaction potential" takes a given form. For inelastic collisions the expressions of the stopping power are similar to those derived earlier for electrons. A third mechanism exists whereby energetic ions (especially protons above 10 MeV) can be captured by the target nuclei and trigger a nuclear reaction. All these effects are encountered when cosmic rays (made up of energetic ions) and especially energetic space protons strike components flown in space. Many results relative to ion-matter interactions had been already obtained in the past because ion implantation is so prevalent in the semiconductor industry, and experimental values for the projected range of ions in matter have been gafhered for a long period. Some examples are also given in Section 6. Electronic devices are also submitted to energetic electron fluxes during their fabrication and when they are used in space or in a "nuclear" environment. In Section 7 we first review the physical origin of energetic photons (UV, X-rays, 7-rays), and we describe their most commonly used sources. Photons interact with matter through at least three different mechanisms: the photoelectric effect, the Compton effect, and the pair production.
561
562
W.R. Fahrner
Each of these mechanisms can become predominant depending on the energy of the photon and on the nature of the material being irradiated. These three mechanisms are thoroughly described. Finally some data on photon attenuation coefficients in air, aluminium and lead are given. Neutrons are encountered naturally in space (in the upper atmosphere) or anywhere else as a byproduct of nuclear reactions. In Section 8 we first review the general properties of neutrons and we describe some of the most widely used neutron sources. Neutrons interact with matter in two ways: either through a collision process or through a capture process. In the first case, if enough energy is transmitted to the primary "knock on" atom, a cascade of displacement defects may follow. In the second case, neutron capture is followed by a nuclear reaction and an emission process. The emitted particles may also generate recoil atoms. In both cases, the modeling of neutron damage requires that a "damage function" be established. Large scale integrated circuits will be increasingly used in space and in terrestrial radiation environments. The effects of radiations on electronic devices will thus continue to be a dynamic field and some basic aspects of radiation-matter interactions may even have to be reexamined in the process. 2. BASIC UNITS AND DEFINITIONS When an electronic device is used in a radiation environment, the particles and quanta most likely to be encountered are : - neutrons - photons (UV, X-rays, gamma rays) - electrons -ions - protons (protons belong to ions, of course, but have gained such importance that they are mentioned separately). These various particles and photons interact with matter in many different ways and there exists several manners of classifying these interactions. 2.1. A FIRST CLASSIFICATION OF THE VARIOUS INTERACTIONS
The most widely used approach to classify interactions in the field of electronic materials is to look at the by-product of the interaction. - When a radiation removes an atom from its regular (lattice) position, a displacement damage has been created. - When a radiation creates an electron-hole pair, we say that ionization has taken place. This distinction between displacement damage and ionization phenomena is justified by the fact that these "interactions" have different consequences on the electronic properties of the materials, as described at length in Chap. 10. - Displacement damage leads to the creation of additional carrier traps and recombination centers in silicon; and to the creation of additional carrier traps in silica.
An Overview of Radiation-Matter Interactions
563
- Ionization leads to the creation of photocurrents in silicon whereas, in silica, it leads to carrier (mostly hole) trapping (carrier trapping in turn generates a charge build-up and interface states). Although, as we shall see later on, all particles generate (directly or indirectly) both displacement damage and ionization phenomena, one of these two effects usually predominates for a given material and a given energy range. It is therefore a useful and widely used approach to discuss these two effects separately. To be able to quantify these various interactions we first need to define a set of units. 2.2 THE RADIOLOGICAL UNITS
Two types of radiological units are used: those characterizing the radiation source, and those characterizing the (irradiated) target. 2.2.1 Units characterizing a radiation source: The activity. The activity. A, of a radionuclide or of an isomer containing N atoms at time t, is equal to the average value
= X'N
(9.1)
The Sl-unit of activity is the Becquerel [Bq] : 1 Bq = 1/s. Most physicists still use the "Curie"-unit [Ci], 1 Ci = 3.7 IQio Bq . The activity of 1 g of 226Ra is 1 Ci. The fluence. The fluence, F, indicates the number of particles or quanta emitted by a source, an accelerator etc. per unit area.The fluence is usually expressed in [cm-^]. Another expression for fluence is "integrated flux". The flux. Theflux,(j), indicates the number of particles or quanta emitted by a source, an accelerator etc. per unit area and unit time.The flux is usually expressed in [cm"^ s-^]. Both fluence and flux are also used to describe the number of particles per cm^ (resp. per cm^/s) impinging on a target. 2.2.2 Units characterizing the radiation target: The exposure. The exposure, E, generated by an ionizing irradiation^ is given by ratio dQ/dm^ where dQ is the electric charge of the ions produced in an elementary volume of air (dVa) whose mass is dm^ (dm^ = pa dV^): E = dQ/dm^=(l/ pJdQ/dV^
(9.2)
Only positive or negative charges are added up to yield dQ ; the air is held at standard conditions. The exposure is usually expressed in [C kg-i] . However, most physicists still use the "Roentgen"-unit [R], 1 R = 2.58 10-4 C/kg. Unfortunately the same letter is used to designate both exposure and energy.
564
W.i?. Fahrner 9
The exposure rate. The exposure rate, E, is the time derivative of the exposure: E = dE/dt
(9.3)
In the SI system, the exposure rate is expressed in [A kg"i] . Most physicists still use the "R/h"-unit, lR/h = 7.17 10-8 A/kg. The absorbed dose. The absorbed dose, D, is the ratio of the amount of energy, dE, transferred to an absorbing material of volume dV, and of mass dm (dm = p dV): D = dE/dm
= (l/
p)'dE/dV
(9.4)
The Sl-unit of dose is the Gray [Gy]. 1 Gy = 1 J/kg . Most physicists still use the "rad"-unit [rad], 1 rad = lO-^ J/kg . Since the dose is absorbed by a specific material under test, say Si, Si02, etc., the unit is often written more precisely in the form of Gy(Si), Gy(Si02), etc. The dose rate. The dose rate, D , is the time derivative of the absorbed dose, D^dD/dt
(9.5)
The dose rate is usually expressed in [Gy S"^]. 1 Gy/s = 1 W/kg . Most physicists still use the "rad/h"-unit, 1 rad/h = 2.78 10-6 w/kg . The dose equivalent. The dose equivalent, Dq, is equal to the absorbed dose, D, multiplied by an appropriate modifying factor, q described next: Dq^Dq
(9.6)
The Sl-unit of Dq is the Sievert [Sv]. 1 Sv = 1 J/kg . Most physicists still use the "rem"-unit, 1 rem = 10"^ J/kg (rem = roentgen equivalent man). In the older standards, q is the inverse ratio of the dose required (from a given source) to provoke a given biological effect, to the dose required from a 200 keV X-ray source to provoke the same effect. In this case, q is termed the relative biological effectiveness (RBE). Factor q depends on the given radiation species and energy in a somewhat arbitrary way due to the arbitrary choice of biological effect. But in the majority of cases this is a handy and practical definition. For specific applications however, q is considered equal to the "quality factor" QF. The QF factor is related, on an empirical basis, to the Linear Energy Transfer (i.e the energy lost by a particle per unit path length) measured using water as an absorber. In Table 9.1, we present the quality factor, QF, as a function of the linear energy transfer.
565
An Overview of Radiation-Matter Interactions
QF
LET [keV/nm] <3.5 7 23 53 <175
1
1 2 5 10 20
Table 9.1: Quality factor vs. linear energy transfer (after [I])
In Table 9.2, quality factors are listed for various types of radiations: Type of radiation
QF
X-rays and 7-rays p-rays, E„ax > 0.03 MeV p-rays, En,ax < 0.03 MeV Natiarally occurring a-particles Heavy recoil nuclei Neutrons: Thermal to 1 keV 10 keV 100 keV 500 keV IMeV 2.5 MeV 5 MeV 7 MeV 10 MeV 14 MeV 20 MeV 1 Energy not specified
1 1 1.7 10 20 2 2.5 7.5 11 11 9 8 7 6.5 7.5 8 10
Table 9.2: Quality factors for various types of radiations (after [2])
The dose rate equivalent. The dose rate equivalent, Dq, is the time derivative of the dose equivalent, Dq. Da = dD^ / dt
(9.7)
In the SI system, Dq is expressed in [Sv s-i]. 1 Sv/s = 1 W/kg . Most physicists still use the "rem/h"-unit, 1 rem/h = 2.78 10-6 W/kg .
566
W,R, Fahrner
2.3 ADDITIONAL DEFINITIONS AND REMARKS
Some additional remarks and examples might be useful for a better understanding of the above definitions. 2.3.1 Relation between exposure and absorbed dose The convenience of the historical units is based on the fact that 7 /? <=» 0.87 rad
(for X- and ganmia rays in air), or
1R
(9.8)
Energy E is identified with EQ, the radiation energy (e.g. of a ganrnia ray flux) penetrating in the bulk of the substance of volume A dx (A is the area in this case) and of absorption coefficient |LI(X). For small values of dx we can consider that |LI(X) = const, so that dE/(p'A'dx) = '- EoH/(p'A)
(9.9)
The left term stands for the absorbed dose. For two different materials (e.g. human tissue, subscript t, and air, subscript a) we find D, =DJiii,/p,)/(iii,/pJ
(9.10)
The ratio (|LI/ pt)/(|ia/ Pa) has been measured to be 1.1. It follows that D^ = 0.956 10-^ J/kg for an exposure of 1 R .Thus an exposure of 1 R leads to an absorbed dose in a human tissue of 2:1 rad 2.3,2 The exposure rate of a point source of gamma rays We can try to link the amount of ionization generated in air per unit time and unit volume, to the activity of the nearby source. This exposure rate can be expressed as: E = rA/ r^
(9.11)
where r is the distance separating the air probe mass from the source, A is the source activity, and T is the specific gamma ray constant. T is expressed in [Cm^/kg] although most physicists still use: dim F = R m2/(h Ci) . For some common radionuclides we find the following F values.
An Overview of Radiation-Matter Interactions
Nuclide
137cs
r[Rin2/hCi] 0.31
567
60Co
192ir
24Na
226Ra
170Tm
1.30
0.50
1.77
0.80
0.002
Table 9.3: Specific gamma ray constants for various radionuclides.
From the above table we see that a crade approximation for T expressed in [Rm^/h- Ci] is r = 1 . It follows that, as a rule of thumb, the activity A of a source expressed in [Ci] produces an exposure rate expressed in [R/h] of the same value for a distance of 1 m, •
E
<^h{\m). 2.3.3 Biological effects versus energy deposition
Knowing that the dose lethal to man, is D^ « 500 rad = 5 Gy and assuming that a man weighs 70 kg and consists mainly of water, let us calculate the corresponding energy deposited. His body temperature rises after irradiation, AT, is given by Q = m c AT = m D j . Using c = Cwater = 1 kcal/(°K.kg) = 4.185 10^ J/(°K.kg), we find
AT = Dj/c^^,^^ =
[5/4J85'10^]K
- 10'^ ""K
The energy absorbed by this man is E = 70 kg-5 J/kg = 350 J . The combustion energy of wood is about 15,000 J/g . Thus a piece of wood with a mass
m^^^^=350J/15fi00(J/g)^0mg delivers the same amount of energy as the lethal radiation dose. This shows that the danger associated with radiations, for a human being, has nothing to do with the absorbed energy as such. 2.4 SUMMARY
We sunamarize below the important radiological parameters and units and how to convert them from the SI system to other often used systems.
W.R. Fahrner
568
Unit (in other system)
Unit (SI system)
Parameter Symbol
Name
Symbol
Dimension
Name
Symbol
Conversion Factor
Activity
A
Bequerel
Bq
s-l
Curie
Ci
lCi=3.7 lOl^Bq
Fluence
F
-
-
cm_2 ^
-
-
-
Flux
O
-
cm-7^-s-1^
-
-
-
Exposure
E
-
-
c.kg-1
Roentgen
R
1R=2.58 10-4c/kg
Exposure rate
• E
-
-
A.kg-1
Roentgen/ hour
R/h
lR/h=7.17 10-18 A/kg
Absorbed dose
D
Gray
Gy
J.kg-1
rad
rad
1 rad=10-2 J/kg
Dose rate
• D
Gray/s
Gy/s
W.kg-1
rad/hour
rad/h
lrad/h=2.78 10-6w/kg
Dose equivalent
Dq
Sievert
Sv
J.kg-1
rem
rem
1 rem=10-2 J/kg
Dose rate equivalent
Dq
Sievert/s
Sv/s
W.kg-1
rem/hour
rem/h
Name
1
o C/5
s
i rem/h=2.78 10-6 w/kg
Table 9.4: The important radiological parameters and units
3. BASIC CONCEPTS RELATED TO INTERACTION PROBABILITY AND ENERGY EXCHANGE We have defined in Section 2 the units which let us quantify the effects of radiations on matter. Let us now introduce and describe two additional concepts which will enable us to describe and model the matter-radiation interactions, namely: the interaction cross section and the stopping power. 3.1 FOUR TYPES OF INTERACTION
Particles or quanta can interact with the shell electrons or with the nucleus of a target atom, and this in an elastic or an inelastic manner. The possible interactions then fall into four categories
An Overview of Radiation-Matter Interactions i.
elastic scattering on shell electrons (e.g. Compton effect, Thomson scattering)
ii.
inelastic scattering on shell electrons (e.g. excitation, ionization)
iii.
elastic scattering on nuclei (e.g. Rutherford scattering, proton-proton scattering)
iv.
inelastic scattering on nuclei (e.g. nuclear resonance scattering)
569
The terms "elastic" or "inelastic" are defined according to the balance of kinetic energy. If the sum of the kinetic energies of the two scattering partners is the same before and after the scattering event, the process is termed "elastic", otherwise it is termed "inelastic". 3,2 THE CONCEPT OF INTERACTION CROSS SECTION
Originally introduced for the kinetic gas theory, the concept of cross section has found widespread applications wherever interactions at an atomic scale occur, as e. g. in nuclear physics, electrochemistry, and semiconductor electronics. When a beam of particles or photons strikes a target, the incoming radiation may be either absorbed by the target material, or scattered, or both. It is thus useful to define a cross section for either one of these interactions. 3.2.1 The absorption cross section The basic idea behind this concept is illustrated in Fig. 9.1. A flux j ^ of particles or quanta (dim JQ = cm-^s-i) penetrates the absorber. After going through the layer of thickness dx , only j(x) particles leave the absorber. The difference: dj = Jo-j(x) , is proportional to the incoming flux (the more incoming particles, the more absorption processes take place), and to the number of absorbing atoms per unit area Nj dx (the more target atoms, the higher the interaction probability). Flux difference dj can thus be written: dj = -a^'NT'j'dx
(9.12)
where the minus sign indicates a loss in flux intensity. The proportionality constant, a^ , has the dimension of an area, [cm^] and is called the absorption cross section. A conmion unit of cross section is the bam. 1 bam=10"^'^ cm^. In terms of statistics, dj/j^ is the probability that an absorption event take place. According to Eq. (9.12), this ratio can be rewritten as an area ratio, namely a^ x (number of absorbing atoms)/(total area). Thus, c^ x (number of absorbing atoms) must be the area of all atoms together (facing the beam) and a^ must be the (effective) area of a single atom, as shown in Fig. 9.1.
W,R, Fahrner
570
absorber j(x) Jo
particles, quanta
particles, quanta
dx
-H - •
X
0
Fig. 9.1: Attenuation of afluxof particles or quanta by an absorber
Let us note however that the geometrical and the effective cross sections can differ greatly. A simple example of such a discrepancy is the large Coulomb attraction of two particles as represented in Fig. 9.2. There the absorption cross section is vastly superior to the atomic dimensions of the Coulomb center. To derive Eq. (9.12) we tacitly assumed that the density of absorbing centers is small. This means that there is virtually no overlap of the target atoms after projection on a plane perpendicular to the incoming flux. Values of absorption cross section can be computed from macroscopic measurement data. When integrating Eq. (9.12), one obtains j(x)=Joe
-GaNjX
(9.13)
where factor GaNj can be identified with the absorption coefficient |ii from Lambert's law. It follows that ^a
^^i/Nj
(9.14)
An Overview of Radiation-Matter Interactions
571
Fig. 9.2: Trajectories of a particle in an attracting potential.
3.2.2 The differential scattering cross section Let us note that the absorption cross section is the result of a simple transmit/absorb decision. A one-dimensional description is thus sufficient. This is not true anymore, when scattering is considered. The experimental situation and the theoretical description become then more complicated as described next. In contrast to the absorption experiment (where the absence of the particles is recorded) the presence of particles is now a measure of the scattering cross section. The elementary flux dj of particles, whose trajectories, after scattering, are found between angle 'd and angle 'd + diJ (i.e. between the cones whose half sunmiit angles are '& and 'b + &b, i.e. inside solid angle dQ=27i: sin 'b && (see Fig. 9.3) is given by (9.15)
dj^^'dQ'NTJ(x)dx Ratio das(t2)/dQ is called the differential (scattering) cross section and
dG,(Q) dQ
dQ
is
defined as the probability to find a scattered particle between the cones of half angles ^ and iJ+di^.
Similarly (da3(T) / dT) dT is defined as the probability to find a recoil atom with an energy comprised between T and T+dT ( T stands for the energy transfer, as explained next in §3.3.1). Integrating J (das('d) / dQ) dQ or j (das(T)/dT)dT yields the total scattering cross section.
572
W,R. Fahrner
Ei-T
Fig. 9.3: Scattering of a positively charged particle by a positively charged center (The figure is still valid if both charge and center are negatively charged.)
The particles found inside the solid angle dQ = 271 sin ^ di>, have travelled - prior to scattering - through an annular surface of area 2K b db as indicated in Fig. 9.3. It is thus convenient to define dc^^ = (das(d)/dfll) dQ such that dc^^ is the portion of c^^ crossed by those ions recovered in dQ , i.e. da^^ =27tbdb
(9.16)
Thus da.
•dn = 2Kbdb
(9.17)
^dT = 2Kbdb dT
(9.18)
and similarly d(j
This last definition is often helpful, because expression b(T) potentials of the form V = V(r).
can be derived for many
An Overview of Radiation-Matter Interactions
573
3.2.3 The total interaction cross section When the differential scattering cross section, da/dQ, is integrated over the total solid angle (i.e. 47c), the total cross section, a^^, and thus the total number of scattered particles are obtained. The total number of particles removed from the incoming beam is given by the sum of the absorption and scattering cross sections. It follows that the total interaction cross section is given by: ^ =^a+^.c
(9.19) ->
In the textbooks, one starts with a given potential V (r) (usually simplified to V(r)) of the scattering atom and calculates the differential cross section dcJdQ . In practice, the cross section is measured (by measuring dj(Q), N^, j , dQ, dx) and the potential V(r) is deduced. The knowledge of V(r) is mandatory to confirm the structure models of the target atoms. 3.3 THE CONCEPTS RELATED TO THE ENERGY EXCHANGE
When an incident particle of kinetic energy Ei is scattered by a lattice atom, it transfers part of its energy to that atom. If we call T the transferred energy, the incoming particle possesses energy Ei - T after elastic scattering as illustrated in Fig. 9.3. If the transferred energy (also called recoil energy) exceeds the displacement energy (E^) of the lattice atom, the latter becomes a displaced (recoil) atom. 3.3.1 The energy transfer Since there exists a one-to-one relationship between scattering angle 'd and transmitted energy T, counting the (scattered) primary particles dj, comprised between angles "& and 'd+d'd, is equivalent to counting the secondary particles which have recoil energies between T and dT. We can thus write:
di»
d^
dT
dT
Expression i^(T) is given by the kinetics of the two-body collision, especially by the interatomic potentials. 'd(T) means that: if the primary particle is found at an angle 'd after the collision, it has transferred energy T to the scattering atom (i.e. to the nucleus and/or to its shell electrons). When the differential cross section das(T)/dT is known, the total cross section can be obtained by integration: Gsc = l(d(Js(T)/dT)'dT
(9.21)
T
We can define an average energy transfer
574
W.R. Fahmer
da
^^^ = ^7-^7df-
= ^ l^- ^-^^
(9.22)
dT 3.3.2 The stopping power A particle of energy Ej which undergoes v collisions loses overall an energy AEj given by AEj ^ - v
(9.23)
N j being the density of scattering (or absorbing) centers per unit area and product %G representing the volume of the cylinder in which the particle has travelled. This free path length X can thus be expressed as A= - ^
(9.24)
Using Eq. (9.14) and by analogy with an optical beam, we see that X plays a role identical to an "absorption length". We define the energy loss per path length as:
AEj (T) r da(T) L = ^-L = Nr \T'—'—— AR X ^^ dT
dT
(9.25)
This expression is meaningful only for lAEjl « E| . To get an expression independent of the target material, ratio AE/AR is normalized to the (mass) density of the material which yields the stopping power defined as S(Ej) = -(l / p)dEj / dR
(9.26)
3.3.3 Range and projected range Let us now call R{ the distance between two collisions. The particle will travel in the target material a distance R before stopping. This distance called the total range, and illustrated in Fig. 9.4, can be expressed as
An Overview of Radiation-Matter Interactions
575 (9.27a)
The total range R can also be calculated using the stopping power. It yields:
'
(9.27b)
pis(E)
ff the deflection angles T^ are small - which is true for energetic heavy ions - R is coUinear to the direction of the incident ions, and we can write R = Rp. Distance Rp is called the projected range (see Fig. 9.4 lower part), ff the deflections are important, then R » R p (see Fig. 9.4 upper part).
o o o o
o o of:o o o o o o o jo o o o O
Rr O yfO O
O
R ^^R^ » R
O
o 'o^ o ' o o o o o o o o o o o o o o
direction of the incident beam
RiOR^RaOR^O^ O
o o o oT — R„ — o o o o o o o o
R =X^Ri ^ R,
surface
Fig. 9.4: Particle scattering in a solid. Large angle scattering (top) and low angle scattering (bottom) are illustrated. Range R and projected range Rp are indicated.
W,R. Fahrner
576
Projected range and stopping power are accessible experimentally. Examples of projected range measurement techniques are: C-V profiling, radioactive implant tracing by sectioning, n-7 and p-a profiling, spreading resistance on a bevel, etc. The stopping power is determined via the energy loss, -dE, of particles going through a thin foil of thickness dx. 3.3 4 The nuclear and electronic contributions to the stopping power A charged particle may be scattered not only by a nucleus (nuclear contribution) but also by the electrons of the electronic shell (electronic contribution). The stopping power S , as defined by Eq. (9.26) is then the sum of two terms: S^ and S^ . As can be seen in Fig. 9.5, these two contributions vary with energy in quite different ways. S^ is large for low energies i.e. when the particle has lost most of its energy at the end of its travel in the target. S^ is large for large energies, i.e. when the highly energetic particle begins its travel. j (dE/dx) [MeV cm^/g] 3000 h
Ar 2000 h
r/
M "'
Fig. 9.5: Electronic (S^) and nuclear (S^) stopping powers for Ar and N {after[3]).
lOOOh N
0.01
01
Xv^ 1 1 ' 1 10 100 E[MeV]
The nuclear stopping power can be described by the classical Rutherford scattering of a projectile (mass m^ , charge qZj and energy E^ ) on a nucleus of the target (mass m2, charge qZ2 , at rest). The electronic stopping power is not as easy to describe although the Coulomb interaction used to derive it, has been improved by many authors: (i) One has to take into account that the collision involves an electron which is not free but bound to an atom. (ii) As a rule, electronic stopping is caused by many electrons at the same time (collective event).
An Overview of Radiation-Matter Interactions
577
(iii) Interactions of the electrons of the incident particle (in case the incoming ion is not fully stripped of its electrons) with the target electrons and atoms have to be included. (iv) At high energies relativistic effects modify the electric interactions; Bremsstrahlung and Cerenkov radiation are generated, and polarization occurs. In Sections 5 and 6 we will present an individual discussion of the stopping powers for some incident charged particles (electrons, heavy ions). 4. AN OVERVIEW OF RADIATION-INDUCED DEFECTS AND RADIATION ENVIRONMENTS In the two preceding sections, the interaction of an energetic particle with a single atom was considered. In the following, we extend this discussion to the interaction of a particle with a solid. As examples of solids, we take silicon (Si) and silicon dioxide (Si02). As already mentioned in Sect. 2 the interaction of a particle with a solid can result in two basic types of primary defects, namely: • a displacement of (lattice) atoms, and • ionization phenomena. interstitial
vacancy
Schottky-defect
oXoooloooioo rV-. o r"""::Q] o i ^ i o o o o o ' ro I
I
I
O O O O O i
ooT o o o
I
l O O O
"loollooo T
1
IQl
t
surface divacancy
Frenkel-defect
Fig. 9,6: Representation of some basic intrinsic defect structures, 4.1 DISPLACEMENT DEFECTS
An atomic displacement can be visualized as the result of a hard sphere collision: An impinging particle hits a lattice atom, in a manner similar to that shown in Fig. 9.3. If an energy greater than the displacement energy is transferred to the struck atom, the latter will be removed from its lattice position and a vacancy will be left behind as illustrated in Fig. 9.6. Some examples of displacement energy can be found in Chap. 10.
578
W,R. Fahrner
This primary event gives rise to secondary defects. First of all, the displaced atom must come to rest someplace. As a rule it does so in an interstitial position. • The combination vacancy-interstitial (sometimes separated by many lattice constants) is called a Frenkel defect. • If the rest position of the displaced atom is at the surface, the combination is called a Schottky defect. Defects have a tendency to agglomerate, since both vacancies and interstitials are mobile at room temperature. The simplest example of a complex "intrinsic" defect is the divacancy or the di-insterstitial. In semiconductor materials, the above list of secondary defects must be completed by including extrinsic constituents (doping atoms, impurities) and by the extended (cluster) defects. The doping atoms represent the most commonly encountered extrinsic point defects. They are known to occupy substitutional or interstitial positions, or both. The E-center (complex formed by a vacancy and column V element) and the A-center (vacancy-oxygen complex) combine both an extrinsic and an intrinsic defect. Their configuration is shown in Fig. 9.7:
Fig. 9.7: Representation ofE- and A-centers in doped silicon (after [4]).
During the thermal treatment of a vacancy-rich crystal, a single vacancy can "walk" (in fact, a neighboring lattice atom will jump into the location of the old vacancy and leave a new vacancy behind). Vacancies have a tendency to agglomerate until a microscopic void is formed. Other intrinsic extended defects are the edge dislocation and the screw dislocation. Their structure is illustrated in Fig. 9.8.
An Overview of Radiation-Matter Interactions
579
O-Q O-O I I O-O I I O-O I I o O
o O-O
I /
o+o-o
I/?
I O-bO-O O-O
O ' I/T I/?
O- O I \
O -O I /O / I / O
o - o - o- o Fig. 9.8: Representation of an edge dislocation (right) and of a screw dislocation (left).
Extrinsic cluster defects include also bubbles (e.g. if a void is filled with gas atoms), precipitates, agglomerations, striations, etc. The production probability and rate, the stability, and the interactions of all these defects depend on a lot of parameters. Among these let us mention: • the nature of the radiation source
• the post-irradiation temperature
• the radiation energy
• the annealing atmosphere
• the dose
• the annealing time
• the dose rate
• the target doping species
• the irradiation temperature
• the target doping density
A full treatment of the fate of a defect during and after irradiation is beyond the scope of this chapter. The interested reader is referred to some comprehensive books on this ample subject [ 5 - 9 ] . We will, however, examine how the presence of "secondary" defects due to displacement damage affects the electrical behavior of Si devices in Chap. 10. The physical and electrical nature of similar defects, but in Si02, will be described in Chap. 11. 4.2 IONIZATION PHENOMENA
An alternative way of depositing energy during irradiation is ionization. The incident particle or quantum transfers enough energy to a bound electron, to free it within the solid. Together with the remaining hole, an electron-hole pair is formed. The energy required to create such a pair is called the ionization energy, Ej^j^. Experimentally a relation between Ej^n and the energy gap of the material, EQ , is found, namely
2Ea<E,,,<3Ea
(9.28)
580
W.R. Fahrner
This relation has been explained by Shockley [10]: E^QJ^ is the sum of several energies:
^ion -
^ G + \^kin
/hole "^ \^kin
/el '^ ^ ' \^phon
/
(9.29)
In this expression <Ej^n>hoie ^^^ <Ekin>ei ^ ^ the average kinetic energies transferred respectively to the hole and electron. Each of these two kinetic energies is somewhere between zero and EQ-E (e « EQ ; for £ = 0 , a second electron-hole pair would be created). On average each carrier receives an energy EQ/2 , so that the sum of the two energies is <Ekin> ~ EQ . For the sake of momentum conservation, the lattice must be involved in the form of phonon generation. The product of n phonons generated (about 20) by the average phonon energy yields a third contribution of the order of EQ . Some experimental Ejo^-values are given below: Material
Si
Si02
GaAs
Ge
Eio„[ev]
3.6
18
4.8
2.8
If one assumes that the irradiation energy is consumed solely by ionization, by multiples of Eion ' w^ ^^^ calculate G^ the number of electron hole pairs created per cm^ and per gray. It yields: Gn =
1[Joule]
p[kgcm ^]
[kg]\Gy\
Ei^^[Joule]
For Si with p = 2.33 g/cm^ , we find G^ = 410i5/(cm3Gy(Si)) , and for p = 2.26 g/cm^ we get: G^ = 8- 10i4/(cm3.Gy(SiO2)).
(9.30)
Si02 : with
The above assumptions are valid to a large extend for UV, X-rays, gamma and a-particles. For higher energies and heavy ions, we refer the reader to Sections 6, 7, and 8. During the steady-state irradiation of an unbiased semiconductor material, the generation rate, U = G^- D , is balanced by the recombination rate (R = Ap/T) and by the trapping rate of the carriers. In an (n-type) semiconductor containing few traps, G^ D = Ap/x . It follows that the excess carrier density for steady-state irradiation conditions is given by Ap = GgT D
An Overview of Radiation-Matter Interactions
581
In this respect, irradiated silicon behaves as if it were optically excited; namely it displays photo-currents and solar cell characteristics. After switching off the irradiation source, the induced excess carrier density disappears exponentially with time. In silicon dioxide, however, the radiation-generated carriers (mostly the slowly moving holes) may get trapped in pre-existing, or in new radiation-induced centers created in the bulk of Si02 or at a Si02/Si-interface. This leads to the formation of a positive space charge (or oxide-trapped charge) and of interface states (Fig. 9.9). A detailed description of the dynamics of space charge formation is given in Chap. 11 whereas the process of interface states formation is described in Chap. 10.
hV
(krK
Si
Fig, 9.9: Representation of the generation of an electron-hole pair by a photon of energy hv > EQ(Si02), the trapping of a hole in Si02 , and the formation of an interface state.
w
Eo (SiO, ^
A special case of ionization process is the so-called single event upset. There, an energetic particle (e.g. a 5 MeV a) creates an ionized tubelike region around its track in the silicon. The dynamics of charge rearrangement and the impact of such a phenomenon on device performances will be discussed in Chap. 12. 4.3 SOME EXAMPLES OF RADIATION ENVIRONMENTS
If we omit the military environment, electronic devices can be exposed to high-energy radiations in the following cases: i.
when used in instrumentation for space and missions in the solar system
ii.
when used in instrumentation for nuclear power plants
iii. during the manufacturing process (of these very devices)
582
W,R. Fahmer
Besides, there exists also a few cases in medical equipments, and in electron and ion accelerators. Let us take a closer look at some of these environments. 4.3.1 The space environment Case (i) is the driving force for testing electronic devices prior to their use in a mission. The encountered radiation species and spectra and the required tolerance levels for a long term mission are discussed in Chaps. 8 and 10. 4.3.2 The (civilian) nuclear environment Case (ii) is less important, but is known for a spectacular event: In the days of Chemobyl, a robot was flown in to clean highly contaminated rooms. Unfortunately the developer of the robot had forgotten both to use radiation-hard devices and to shield them. The robot was inoperative after a short while. A similar experience is known to users of electron or ion accelerators: devices which must operate while standing near the target (preamplifiers, remote controls, etc.) do not usually have long operational lifetimes. 4.3.3 The manufacturing environment During device manufacturing, some process steps submit the device being manufactured to a radiation environment. These steps are: ion implantation, lithography, plasma deposition, plasma and reactive ion etching (RBE), and electron gun evaporation. I - Example of dose generated by ion implantation. Ion implantation effects depend on ion *'doses" and energies. Typical ion doses (fluences) range from lO^^ to 10^^ cm-^ ; energies used are between 30 and 300 keV, and in some cases up to lOMeV. As an example let us take Uj = 10^"^ phosphorus tons / cm^ implanted in silicon ( p = 2.33 g/cm^ ) with an energy of 100 keV. The projected range is found to be Rp = 0.1238 |im [11]. It is assumed that all the energy of the ion is deposited within Rp . The dose deposited is thus: D=^ni'E/(Rp'p) (9.31) = 5.54 10^ Gy 1238 • 10'^ • 2.33 • 10'^ This value should be compared to the typical irradiation dose in space during a mission, i.e. 10^ - 10^ Gy (see Chap. 8). However most of the damage effects occuring during implantation, are removed in a subsequent high-temperature annealing step.
An Overview of Radiation-Matter Interactions
583
ii - Example of dose generated by lithography. Irradiation sources in lithography steps are UV lamps, electron and ion accelerators, and synchrotrons. UV lithography does not harm an electronic device. For electron lithography, the typical resist sensitivity, S , is in the range of 10"^^ to lO-"^ C/cm^ [12] and the accelerator energy E^ =30 keV . An electron of this energy has a penetration depth of less than 6 |Lim in the thin resist layer and in the underlying silicon dioxide. Let us assume that S = 10"^ C/cm^. The electronic fluence necessary to sensitize the resist is at least: ^^=S/q
= 10~'^ /L6• 10"^^ -10^^ electrons/en?
(9.32)
Let us assume that the electron flux deposits most of the dose in the silicon dioxide below the resist layer. This dose is given by:
D = n,E^/R^'Psio = —^—;^—^ T-^ e a p HS1O2 16 10-1^ 6'10-4 '22
7 ^ 2 ' 10"^ Gy(SiO.) 10-^ ^
(9.33)
The result of (9.32) is within the range of typical electron fluences applied, namely 10^^ to lO^Vcm^ . The crude approximation of (9.33) is confirmed by more detailed calculations performed by Galloway et al. [13], who find a dose of 4-10^ Gy for the same conditions. A similar value ( 0 = 1 0 ^ Gy) is also reported in [14]. Ref. [13] gives data on the energy deposition for X-ray resists, namely 1 to 10^ J/cm^ . Assuming a sandwich structure of: 0.5 |im of resist, 0.7 |Lim of aluminium, and 50 nm of oxide, this reference shows that the dose absorbed in the Si02 layer is found to be between 103 and 106 Gy. Hi 'Example of dose generated by e-gun evaporation. Metallization is commonly carried out by electron gun evaporation. An electron beam is accelerated onto a cooled crucible filled with a metal of the desired species. Only the thin top metal layer can melt and emit atoms which will redeposit onto the wafer. The electron-metal interaction leads to the emission of Bremsstrahlung (see Sections 5 and 7). The spectral and directional distribution of this emission determines the dose absorbed by the wafers. This calculation has been carried out in [15] and the result for a typical evaporation time is D = 104 Gy. Let us note that in the above examples of irradiation during device manufacturing, the amount of energy transferred into Si, by a given particle at a given energy, may differ from that transferred into Si02, due to the difference in stopping power and penetration depth. However in a first order approximation, we can write that a dose of 1 Gy(Si) « 1 Gy(Si02).
584
W.R. Fahrner
5. THE ELECTRON-MATTER INTERACTION Now that the basic concepts and units have been defined, we can proceed to study how electrons (the most frequently encountered particles) interact with matter. 5.1 THE SOURCES OF ELECTRONS AND THE MAIN INTERACTIONS An electronic device may be subjected to electron irradiation in many cases: - For example during its manufacturing, a device is exposed to various electron fluxes (e.g. during electron beam lithography and during plasma-assisted process steps). - This is also the case when a device is used inside an equipment submitted to a radiation environment (e.g. in a spacecraft where typical electron fluxes encountered may be as high as 10^^/cm^ per day for Ee > 2 MeV [16]). The sensitivity of electronic components to electron damage is usually tested by exposing them to an accelerator beam. Typical beam energies are 30 keV (electron microscope), 1 MeV (industrial accelerators), and 1 GeV (national research institutions). Essentially three types of interactions^ between a charged particle (electrons, alphas, ions) and matter can occur, namely: i. elastic collisions with the nuclei ii. inelastic collisions with the shell electrons iii. inelastic collisions with the nuclei The fourth possible interaction process, i.e. elastic collisions with the shell electrons, can be neglected for energies above ~ 100 eV. We will deal in the following paragraphs with processes (i) and (ii); the discussion of process (iii) (Bremsstrahlung) will be postponed to Section 7 (photon-matter interaction). It should be kept in mind that for electrons, relativistic effects are visible even at moderate energies. Thus, the relativistic mass, energy, and momentum of an energetic electron of velocity v must be written: m = m^ /4^'P^
Etot = E^n ^E^=
(9.34) mc2 =m^c2/
^ T ^
(9.35)
^ In an elastic collision the sum of the kinetic energies of the various particles, before and after collision, is unchanged. In an inelastic collision, part of the kinetic energy is lost. It is used to excite either an electron or a nucleus into a higher energy level.
An Overview of Radiation-Matter Interactions p = mv = m^cP / ^I'P^
585 (9.36)
where c is the velocity of Ught, (c = 2.99793-10^ m/s in vacuum) and p = v/c The kinetic energy, Ej^^ , is the difference between the total energy , E^^t , and the rest energy ER. The latter is given by ER=moC^ (ER = 0.511 MeV for electrons):
Ekin = E^of^EK=(m-mJ
c^
(9.37)
Note: Normally, when one refers to electron energy one means its kinetic energy and not its total energy. The same rule holds for ions. The difference between "classic" kinetic energy E]QI|(C1) = msVl and relativistic kinetic energy E]Qn(rel) = (m-mo)c2 is 1% for electrons of 3.2 keV but reaches 50% at 312 keV. 5.2 ELASTIC INTERACTION WITH THE NUCLEUS
When electrons interact with target nuclei, the interaction process (the collision) is most frequently elastic. It can be described by the classical Rutherford formulae. The result of the interaction process is a strong deflection of the electron, but little energy is transferred to the nucleus. A special point of interest is the minimum (or "threshold") electron energy, E^j, required so that in a "head-on collision" the transferred energy equals the displacement energy E^j of the struck lattice atom, (subscript "d" stands for "displacement"). The calculations can be simplified by treating the collision as a hard sphere interaction (billiards balls). The incident particle (i.e. the electron) possesses a mass m^, a velocity Vj and an energy Ej while the target atom possesses a mass m2 and a velocity V2 = 0 . After the collision, the incident particle and the target atom have velocities v^', V2', and energies Ej', E2' respectively. For non-relativistic particles, the laws of energy and momentum conservation yield: E2 / Ej =:4 mjm2 / (nij + m2 f
(9.38)
For an electron (m2 » m^), this expression simplifies further into E2 /Ej
= 4mj /m2
(9.39a)
In the relativistic case, we find E2 /Ej
_ 4mj
(1 + 0 El 2 m2 zntjc
(9.39b)
586
W.i?. Fahrner
As an example, a 1 MeV electron transfers, in a head-on collision, an energy of 4.3 keV to a hydrogen atom, and only 69 eV to a copper atom. To observe this collision effect, a given material may be irradiated with electrons and the electron energy increased until displacements of lattice atoms are detected. The displacements can be observed either electrically, through a degradation in electrical properties (e.g. lifetime, hpE , solar cell efficiency degradation, resistance increase) or analytically (by Rutherford back scattering analysis). The value of the threshold energy, E^ , is in turn used for Ej in Eq. (9.39a) or (9.39b); and the resulting E2' value is identified with displacement energy E^. In silicon, E^j slightly depends: on beam direction with respect to crystal orientation and on doping level. Typical values are 15 eV < E^ < 25 eV , 195 keV < E^ < 325 keV. Only a small fraction of the electron energy is consumed in elastic collisions with the nuclei (e.g., 10-^ for electrons of 3 MeV in copper). On one hand this fraction is sufficient to create drastic electric changes in the irradiated material. On the other hand it is small enough that it can be neglected in stopping power calculations. 5.3 INELASTIC INTERACTION WITH THE SHELL ELECTRONS
Let us now consider a particle of charge Z^-q interacting with the shell electrons of a lattice atom and let us attempt to calculate: the energy transferred during such an interaction, and the ensuing stopping power and range. The calculations are quite intricate and require several steps given next. The discussion will be carried out for any charged particle in general.
Incident Particule Ziq, El, Vi
1 I b I
•--rCH Shell Electron
>^ ^2- ^2
Fig. 9.10: Scattering of a negatively charged particle by an electron - The interacting Coulomb force is repulsive.
An Overview of Radiation-Matter Interactions
587
5.3.1 Energy transferred to one shell electron When an energetic electron comes close to an electron bound to a lattice atom (i.e. a shell electron) a Coulomb interaction sets in between these two negatively charged particles. It follows that part of the momentum of the incoming electron is transferred to the other electron as illustrated in Fig. 9.10. Although this scattering event had originally been discussed for heavy particles, the obtained results also hold for electrons after a few modifications. They are given next. Let us call: F^, the Coulomb force (repulsive in this case), Zj the number of elementary charges carried by the incoming particle ( Zj = 1 for electrons), b the distance indicated in Fig 9.10, u a unit vector of distance OM and Ap the transferred momentum. Let us also suppose for the moment that the shell electron is "free". Ap can then be expressed as:
Ap= J F^.dt= J
!^ \ dt
(9.40)
The repulsive force Fc can be split into two components: a component F^x perpendicular to the original trajectory of the incoming electron and a component F^i parallel to that trajectory. As the incoming electron comes near the "target" electron, component F^n is first negatively oriented, and then becomes positively oriented. It follows that for velocities vj' « v^ = constant and for small deflections, J F^n dt = 0 , and the expression of Ap reduces to +00
+00
+00
Ap ^ ^F^_^-dt = ^F^ cosa dt = j F^ -r=
= dt b'
(9.41)
If we introduce velocity Vj = dx/dt we get Z ^ vj
7
bdx
^
J (x^+b^f^^
2Ziq^ vjb
The energy lost by the charged particle and transferred to the "target" electron of mass nig is given by
^E,=
i ^
=
'-i-j
(9.43)
588
W.R. Fahrner 5.3.2 Energy transferred to all electrons
The above loss holds for one shell electron with a given impact parameter b. To obtain the total loss we must first 1. sum over all the electrons (which have the same impact parameter b ) in the target along the particle path, and then 2. integrate over all impact parameters b. The first step yields AEi^j = Z2 Nj dx'AEi^
(9.44)
where N j is the concentration of target atoms; each atom being surrounded by Z2 shell electrons. The second step yields ^r^ f. , ^1 . r . dE = \27tb'db'AEi,T = •^ '
2Z?'q^'27t'Z2NTdx ^T^ ,, 1 —^—^ r-^—^^— Ib-db— ''e ^7 hmm m^'vj ^ b^
,^.., (9.45)
The energy loss per unit path length is thus given by: ^4
2
dE^ ^ 4KZi^q^'Z2NT dx m^ ' vj
^^ K^ In ^^^^^ b^i^
(9.46)
The natural limits bj^in = 0 » b^^^x "^"^ ^^^^ to a divergence of the above expression of dE/dx. The "real" bj^^ is derived from the observation, that in a classical "head-on collision", the maximum momentum transfer is m^Vj (for electrons) or 2mgVi (for heavy particles). In the textbooks, the derivation is mostly done for heavy ions, so that the general case can be written A p^^^x = 2a m^Vj, with a = 0.5 for electrons. From (9.42) we deduce that q^
2Zj
^Pmax =
7
= 2am,vj
(9.47)
^1 ^min
and thus that Zy q ^min
2
(9.48)
An Overview of Radiation-Matter Interactions
589
As to the upper limit bj^ax' l^t us recall that we have assumed a quasi-free shell electron. But in fact this electron is bound and can accept only discrete excitation energies or energies above the ionization energy. We define limit bj^ax ^s the largest impact parameter for which ionization occurs. We assume there is such a thing as an average atomic ionization energy^ I. If we disregard the excitation processes no energy can be transferred to the electrons unless AEi5 > I . This condition can be written
{Ap)\ 2mg
2Z]-q' .2
^ I
nig • v]b
(9-49)
which yields ^7
'^
2
b < b^„^ max =
J-
(9.50)
V
Relation (9.49) justifies the title of subsection 5.3, namely inelastic collisions. 5.3.3 Energy loss per unit path length Finally, the energy loss per unit path length can be calculated. It yields dE dx
4KZJ
•q^NjZ2
• [/n - U^e^l+lna\
(9.51)
nig • vj
Equation (9.51) is called the Bethe equation. The above expression of (9.51) had originally been derived for heavy particles. The relativistic correction expands the applicability of (9.51) to the high energy range which leads to Eq. (9.52) which is especially useful for electrons [17].
dx
ntj • Vj
with B, often called the stopping number, given by: B=
ln(2mic^r^ -(3 + 2/y-l/y^
)+ln(y-l)
+ (l/2)ln(y + l) )lnyf2+(l/16)-l/(8y)+9/(16y^)
and with 1
r = ^
4^^J7?
The average ionization energy I = Z211.5 eV is the generally accepted value.
W,R. Fahrner
590
5.3.4 Stopping power Instead of using ratio (-dE/dx) one often prefers to use the stopping power S(E) (defined in § 3.3.2 as - dE/pdx). Indeed quantity N T Z 2 / P varies little with Z2 . It follows that the S(E) curves for electrons in various materials will not greatly differ as visible in Fig. 9.11. The stopping power shows a broad minimum between 1 and 2 MeV. This is the reason why many irradiation experiments are carried out at this energy: even if the electron loses considerable energy, the stopping power can be assumed to be constant.
10^ r
10 o s
o
L
H2O
=^^J
d/
1 b
Pb
.s o
10" 1 10"
!
L_ULI
\
10''
LJJ.
1
I
I I I
\
L-uJ
10
10'
Electron energy [MeV]
Fig, 9.11: The stopping power of electrons vs. energy for several target materials ([3] and refs. quoted therein).
5.3.5 Range, straggling (electrons) When an energetic electron collides with a shell electron, it can lose up to one half of its original energy. This means that an originally monoenergetic beam - after going through a thin layer of matter - will display a broad spectrum of energies whose upper limit is given by the original energy (large energy straggling). In a thick absorbing layer, this energy straggling leads to a second dispersion, called range straggling. Another reason for the large range straggling is the elastic interactions with the nuclei which result in large angle deflections. Thus the usual definition of the mean path length Lof a particle given by
L= Li + L2 +...=
](—r^'dE
(9.53)
is not helpful for an electron, since the latter is subject to a zig-zag path (drunken man's walk) and its maximum penetration depth Rj differs strongly from L as illustrated in Fig. 9.12. We prefer instead to use the concept of range R defined as the depth at which the energy of the electron beam is only half its incident energy.
An Overview of Radiation-Matter Interactions
591
Fig, 9.12: Illustration of the mean path length (XLi), of the maximum penetration depth (Rj and R2) and of the range R of electrons.
10 D
•
gn
0
\ \
[—
^:>^
cu :^ P=:3
>--
2 1F 0.5 P 0.2 0.1 1 0.05
S-H
c=cq
0.02 0.01
p
^^^1
LIJ
3 3n
_i ^
%
0 ^^^^M
1
.1
0
0
H r!
r
^
L ^ tM
0.1 0.2 0.5 1 2
1 1 nn
LLL L
UL Li.
1 I 1
5 10 20 50 100 500 2000 10000 200 1000 5000
Range in aluminium R [mg cm
-2
Fig. 9.13: Comparison of ranges predicted by Eq. (9.54) (solid line) and experimental values (dots) obtained for electrons in aluminium (after [18], slightly modified).
As a rule of thumb, R = L/2 . An analytical (empirical) expression for the range is: 'R(g/cm^) = 0.543 • Ej( MeV ) - 0.160 The validity of this expression is demonstrated in Fig. 9.13 for the case of aluminium.
(9.54)
592
W,R. Fahrner
5.4 CONCLUSION
Although high-energy electrons do interact with target nuclei in a detectable manner and can cause displacement damage, the fraction of energy thus consumed is very small. Most of the energy, lost by a beam of electrons in matter is due to inelastic scattering on shell electrons. An analytical expression of the stopping power of any charged particle interacting with matter in such a way can be derived (and adapted when the charged particle is an electron). Predicted and experimental values agree quite well. 6. THE ION-MATTER INTERACTION Energetic ions being charged particles, behave in matter in a manner somewhat similar to that of electrons. Their heavier mass introduces however important differences. 6.1 MAIN ORIGINS AND PROPERTIES
An electronic device can be subjected to ion irradiation in (at least) two cases: during the manufacturing process itself and when used in a spacecraft. (i) during the manufacturing process During the ion implantation steps, ions of a selected species are accelerated towards the semiconductor target, in which they penetrate and act either as doping atoms or as reaction partners to form an insulating layer, such as Si02 or Si3N4 . The useful implantation energies range from 30 keV up to 20 MeV, and the so-called implanted "ion doses" (i.e. fluences) range from lO^^/cm^ up to lO^^/cm^. (ii) in a spacecraft Ion masses between 1 amu (H) and 56 amu (Fe) are found as constituents of the cosmic rays, with a maximum flux between 300 and 1000 MeV/amu . These particles endanger unshielded devices (e.g. solar panels) as well as shielded components (a 1 GeV proton can penetrate an Al layer 1.50 meter thick!). Just like for electrons, the stopping of energetic ions in matter is based on two mechanisms, namely: elastic collisions with the nuclei and inelastic collisions with the shell electrons. However at least two more mechanisms can take place: A third mechanism can occur beyond a critical energy (called Coulomb threshold), which depends on the ion-atom combination: the ion is captured by the atom, and forms a metastable compound nucleus, which in turn decays into various fragments while emitting p particles and gamma-quanta. The threshold for such a mechanism in silicon is about 10 MeV. The discussion of a fourth mechanism, namely Bremsstrahlung (which occurs especially for light ions) is postponed to Section 7 dealing with photon-matter interaction.
An Overview of Radiation-Matter Interactions
593
6.2 THE ELASTIC INTERACTION OF IONS WITH TARGET NUCLEI
It is possible to derive an expression for the energy transferred during the elastic interaction (scattering) of an ion with a nucleus if we assume that the "interaction potential" possesses a given form. 6.2.1 The approach used Four steps are necessary to derive an expression for the transferred energy. 1. From classical mechanics, and knowing the potential to which the incident particle is submitted, due to the presence of the nuclei, we can link the impact parameter b to the deflection angle 1^, i.e. obtain a b(i^) relationship (parameters b and '& have been defined in Fig. 9.3). 2. We then use Eq. (9.17) to link da/dQ to b . 3. Mechanics considerations let us link the transferred energy T to the deflection angle '& which yields T(iJ) and thus ^(T). 4. From the above three steps, the cross section a can be expressed as a function of T , using the expression a(b('d(T))). Finally, we can calculate the stopping power using the expression T
S^iNj/p)
JT(J(T)dT
(9.55)
0
6.2.2 The various interaction potentials and the associated cross sections A crucial aspect of the above calculations is the choice of a correct V(r) interaction potential. The following useful approaches have been tried: • hard core potential (core radii r^ , V2), V(r) = 0 for r > R = ri+r2 , V(r) = ©o for r < R • Coulomb potential, V(r) ©c r^ • inverse square potential, V(r) oc r^ • Bom-Mayer potential, V(r) ©c e-^^^ • Screened Coulomb potential, V(r) oc r^-c^^^ • Thomas-Fermi potential, V(r) oc ri.(|)(r/a) 3
• Moliere potential, V(r) oc r ^ - ^
A^cai^
594
W.R. Fahrner
In these expressions V is the above-defined "interaction potential", r is the distance away from the nucleus center, and R is the sum of the nucleus and particle radii. Each of these expressions yields different results. Below are some examples of differential cross sections thus obtained. i. the "hard core potential" yields dGh,c, ^(R^
/4)dQ
(9.56)
ii. the "Coulomb potential" (Rutherford scattering) yields: const dOcb = . . . A . . . d^ 16 • sin^ ^/2
(9.57)
For a given potential, the energy T transferred to the recoil atom can be ascribed to the scattering angle of the primary ion, i.e. T = T(1J). This means that the differential cross section for elastic scattering can be expressed as a function of 'b and thus of T. We give next three examples of such cross sections. i. the "hard core" cross section da^^ = — - dT;
with0
(9.58)
ii. the "Coulomb potential" cross section const dT d(ycb = ^f—-2; m
withO
(9.59)
J-
iii.the "inverse square potential" cross section (simplified) /— dT dCi^ = const' ^T^ ' —jjj;
with0
In all cases, the expression of the maximum energy which can be transferred corresponds to a head-on collision and is thus identical to that given by (9.38), namely T^=4mj m2 Ej / (nij + m2 f From these expressions, the total cross section is obtained by integrating:
> d«
'
dT
(9.60) (Tj^^)
(9.61)
An Overview of Radiation-Matter Interactions
595
6.2.3 Calculation of the nuclear stopping power The next step consists in inserting the above listed cross sections in Eqs. (9.25) and (9.26) which yields the nuclear stopping power: Sn = S(Ei) = (Nj/p) JT (da/dT) dT. If the nuclear interaction process were the only energy loss mechanism, R = (-1/p) j dE/Sn(E) would yield the total path of the particle. One of the most successful approaches used to calculate the range of implanted ions was given by Lindhard and his co-workers [19]. They used a Thomas-Fermi potential of the form:
V(r) =
r
^^q'
(9.62)
with . ry2/3 J/2 ap =0A7A'' /(Zf' 2/3 +Zl'' )
A straightforward treatment yields a nuclear stopping power, which can be written (after a minor simplification, [20]) ln(kj Ej ) ^red ' ^n = ^^^^^ '
TAQ^
(9.63)
In this expression kj = (apm2)/(ZiZ2 q^(mi+m2)) is a material constant. Product x^-^^.S^ is a function of a reduced energy, 8^^^^ = kj.E^. In the same way we introduce a reduced length coordinate Xj.^^ = k2 x (with k2 = N j 471 ap^ mim2/(mi+m2)^ ). Then Eq. (9.63) becomes ^ d£,,d ^
ln£,,d
^^^4^
This is the universal nuclear stopping power for all ion-solid combinations. This curve is plotted in Fig. 9.14 using reduced units. For comparison purposes, the (deYQd/dxj;^^^)^ curve computed using the inverse square potential has also been plotted in Fig. 9.14. In this last case we note that T
^n = (^T / p) JTdc 0
T
= const • -j= ^^'"
J T~^^^ dT = const 0
W,R. Fahrner
596
X •s
I
A
0.6 0.5 0.4 0.3 0.2 0.1 0
U—1k—
inverse square potential
tzj
Lindhard et al.
t—\ t—^
0
3
8^' Fig, 9.14: The universal nuclear stopping power for ions in solids is plotted vs. energy using reduced units [5]. 6.3 THE BSELASTIC INTERACTION OF IONS WITH SHELL ELECTRONS
The interaction of an ion with shell electrons is described by the same (Bethe-) equation as in the case of electron irradiation (i.e. Eq. (9.51)). The specific irradiated matrix is taken into account by means of additional terms. The energy loss per unit path length (due to this interaction) is given by dE_ ^
dx
4KZJ -q^ 7^2^T
m^
vf
(9.65)
(In ( I
C
C
^0
(In accordance with the textbooks, this equation has been written in electrostatic units. For MKS-units one should replace q by q/(47C£)l/^ ). Cj^ is a correction factor which depends on energy and on Z2 , with 0 < Cj^ < 1 . The dependency of -dE/dx on energy Ej^^ (in units of m^c^ , m^ = particle mass) is shown in Fig. 9.15. For particles supplied by an accelerator, Ej^^ is the product of the charge Zj q by the accelerator voltage V. A discussion and measurement data for dE/dx can be found e.g. in [5]. For the discussion it is helpful to transform Eq. (9.65) by using (Vi/c)2=l.l/(l+Ekin/mic2)2.
An Overview of Radiation-Matter Interactions
I
597
I
;:xq X
^5001
3mjC 2
E kin Fig, 9.15: The electronic stopping power of ions in solids is plotted versus incident energy (after [5]f 21]),
We note on Fig. 9.15 that, at low energies, the curve is controlled by the behavior of the (In Ekin)/Ekin"term , i.e. a fast rising branch below 500 I and a fast falling 1/Ej^n branch for 500 I < ^kin - ^1^^ • ^t high energies, a very slight increase due to the relativistic terms occurs, and thus a broad minimum between 2 to 3 m^c^ is observed. Figure 9.16 represents the stopping power of various charged particles in air: 0,050 o >
0,040 0,030 0,020
LUlx
•O|T3
0,010 I02
10^
©-»
Energy IMeV]
Fig. 9.16: The electronic stopping power of various charged particles in air is plotted versus incident energy (after [22]).
W,R. Fahrner
598
The ionization density of the irradiated medium is a direct measure of the electronic stopping power. An example (the number of molecules ionized in air by a particles) is reproduced in Fig. 9.17. In this representation, E can be understood as the energy of the alpha particle during its path through the absorber. Referring to Fig. 9.15 this means that we start somewhere at the higher energy end of the 1/E branch. When the particle loses energy, we move from right to left on the curve, -dE/dx increases up to a maximum and so does the ionization phenomenon, showing the so-called Bragg peak.
2.5
2.0
1
1
Residual range [cm] 1.5 1.0 0.5
1
1
1.5
csi
"S •2 0.5
1
u^ r>
1.0
1
1
1
L
y 3j 1 J
o GO
0
0
0
a-Particle energy E^ [MeV] Fig. 9.17: The specific ionization of a-particles in air is plotted vs. energy (lower scale) or range (upper scale) (after [23]). The particle penetrates in air with energy 4 MeV (left) and stops when its energy is nil (right). 6.4 RANGES, STRAGGLING (lONS)
When travelling through matter, an ion is slowed down both by elastic collisions with the nuclei and by inelastic collisions with the shell electrons. The range of the ion is given by Eq. (9.27) which we recall below:
R(Ei) = - (1/ p) ]
dE
Sn^S,
Technically the range of the ion is of interest in two cases
(9.27)
An Overview of Radiation-Matter Interactions
599
(i) for the shielding of components and circuits. For this purpose the shield (absorber) thickness must by far exceed the range of the incoming particles. (ii) for ion implantation. The depth distribution of implanted ions follows a law given by :
N(x)=N^exp-(
42 AR,
r
(9.66)
Rp being the mean projected range, ARp its straggling. During implantation the ions are scattered statistically by the atoms and penetrate into the semiconductor following different paths and with different depths. A typical experimentally-observed distribution is shown in Fig. 9.18. Curves of this type have been gathered for a long time in the fields of nuclear and now solid state physics (and still are). An example for boron implantation in silicon is given in Fig. 9.19. damage [%]
120
UO
depth [(am] Fig, 9.18: Optical reflectivity (as a measure of ion range) vs. depth after a 55 MeV boron implantation. The measurements were performed on a bevel; the three curves stand for three measurements at different positions on the bevel ([24]).
For practical usage, there exist some helpful tables giving values of range, straggling, third and fourth moments (parameters describing deviations from the Gaussian distribution), electronic and nuclear stopping powers. Among these, we present two examples: one in the low energy range (table taken from [11]) and one in the high energy range (graph taken from [26]). It should be noted, that the above data apply to ion distributions in an amorphous matrix. If the real structure of the crystal is taken into account, the crystal offers tube-like openings in the main crystal directions. It is obvious, therefore, that a far-reaching penetration of the ions along these channels will occur. This effect is called (axial) channelling. A similar channelling can occur between lattice planes. Axial and planar channelling will not be discussed here in more detail.
600
W.R. Fahmer
Q^
10*
10
10
-1
10
10'
10'
10'
10'
10'
E [eV Fig. 9.19: The range of boron in silicon is plotted versus energy. Experimental (-) and theoretical (—) data are compared (after [25]). 6.5 CONCLUSION
Ions, whose kinetic energy is below a few MeV, lose energy in matter through basically two mechanisms : elastic interaction with the nuclei and inelastic interaction with the shell electrons. Both the nuclear stopping power and the electronic stopping power can be modeled and computed if a number of assumptions are made. The relative weight of each mechanism depends on the nature, charge and energy of the ion as well as on the nature of the irradiated matrix, as illustrated in Fig. 9.5. Theoretical and experimental results agree quite well in the case of amorphous substrates.
Z >
CB
a. te tnmm K ItfO Z
«
u uic z
tu « « A * *
ee > U X .i ^ r — o a VI «•- z «^' o o < « z ^ o
o z
•J c a w» < a •- Z a < »- O u« o « «c ¥- Z ^ U «i < > • VI O «•
• I H>ttfZ
a » - o I« z —< •- t/ii- s K O OL •Z «A lU O O «/> V < »- C y j u < ac
"n z •-<-» u « >•-• cc i» uj z cv «/> O w
z
-*
w> Z
z o Q: o o
««i
•J
ee
o u •w
Q OC
oe oti. u
lO
»m» »^« < »• v» Ul
< «<
u z w>
An Overview of Radiation-Matter Interactions
OO O O O O W C * 0 0 0 O O O OOOOCf I O O O O O O O O O O O C 9 0 0 0 o o o o o o o o o o o tti W4IMttlM'UIU'tt«IW lU aU UJ IM IM U« lUIMIUIUIMaMMIUItt'lWlU tt<«L'iMuiwuiiuiutt.*uru*«i<;iuuitt.u*uiiuiw
Aim««o»«Dm««»«-t
»ooooo oooo O O O O O O O O O O O
O O O O O O O O O O O
moo*ino*cD«-««o»«v«i
UllViy III Ml IM l u lU III III III 00'«^<Mn<-«W>«pry|«C\<M
OOOOOOOOOOO
oooooocioooooooooooo 1 ooooooooo oooooo oeooooooooo (MM«VrMCMfM<MflMri<MiMI>l(MMlV«Mf«lt^<M I
rrt«>«^«OOCMCMO'MOlM<0«0«r
OOCfOOOOOOOOOOOOOOOO I OOOOOOOOO 0 0 00C»0 iOO» <M«i(MaD^ocbinrsiiof>tf\o*-««\f^r»
U#l|IIM(UUIIttllfUll0UIU>lUlUUIUflllllllllU« i
o o o e o e
^ « Ci* «•« «i« ^ « *i« ^ * ^•«ii« ^ « «>• v ^ «»• «i4 ^
o o O O O O O O O O O O O O O O O O O I OOOOOOOOO
co
^f««iuo»«oi^aD
O O O O O O O O O O O O O O o o o o o I O O O O O O O O O 0^^«>««^«^ fnoma>o*o«fl0^mo
«M «^ <M <^ M (M c^ <w i n m en I I I I I I 1 I I I I
«r ^cr ^ o m o«-«o asm o <#^«o Okm«
OOOOOOOOOOOI
I (nO«0*«f>lfMOh>^0
«M
!*• «i*«D «>mm f^fMu* a-Af* f* t>i m o croocrr<»<«>o«omflo*^^
m«Mf*fM«»«n«om««t^ai«r*
Ul (M
iT
m m
(^•
o» OQ oCD
<
O Z Z
O Q CO
i ••
Z
m «^
•>!
m Z U
Z
1^ M •
oc C 3R
u Z ••
2
Ul
ui«/> - 1 ^ mil..
ee KZ uui
§
u.
I
«>« #14 ••« Vi* «« •-• »4 ••4 #4 • « «i4 I
III
o o
fM
o
•4
»N III
m M
o0 *
^
o Ul
• i «
o m
m
l«»
««
<M
IT 0»
«n o•
2 £
11.
o o o o o o o o o o o o o o o o o o o I o o o o o o o o o o o o o o ^
o0 « o
• 01 (M
«r o•
Ul 1/1
z G
•-
O O O O O O O O O O O o m o m o m o m o m o mm
^ *4
0(M
z u Q
•• ««
o• u* ""^ v» a. Ul
o
OOOO'^OOOo'SOOoOTJOOOO I - ^ o o o o o o o o o o o o o o
M
Ul
«m ^ z « Of
a< III ¥" U.
< ^ t/» (0
o• oe N» o «Z
Fig, 9.20: Range data for the implantation of boron in silicon (excerpt from [11]).
601
602
W.R. Fahrner
Si(14)
TARGET
4-<-«-
Atom Density « 4.978 x 10^2 Atoms / cm^ Mass Density - 2.321 Grams/cm^ I
Si(14)
-»-*-^
Multiply Depth by 4.308 for Depth Units: ( | i m ) Multiply by 21.44 for Depth Units: (10>* Atoms/cm^) I -Mg|I2|
z z
i—-Si(14|
S|161
^
g
V /
Ar|18|
/ /
go.
H
O CM
• ^
•Hi
/;^
//
o
Y
ODj
:tj:
/
A A
\
\
\
ION
/
/
/
A
V
10
^
ENERGY
Fig.9.21: Ranges for various ions in silicon (excerpt from ref [26]).
^
'
•
'
•
20
( MeV )
30
An Overview of Radiation-Matter Interactions
603
7 THE PHOTON-MATTER INTERACTION Photons differ markedly from other types of radiations in the way they interact with matter: they have no mass and they interact mostly with the shell electrons of the material, through processes which will be described next. 7.1 THE ORIGIN AND SOURCES OF PHOTONS
Since photons can be encountered either as the original radiation source or as a by-product of other interactions, it may be useful to first recall the physical phenomena which lead to their emission. 7.1.1 The physical origin of photons Many physical phenomena lead to the emission of electromagnetic radiations: i. Electronic transitions involving the electrons of the outer shell of an atom lead to the emission of (optical) light, IR and UV photons. ii. Electronic transitions involving the inner electron shells lead to the emission of X-rays. iii.The de-excitation of excited or metastable atom nuclei generates gamma rays. iv.The deceleration of electrons in matter leads to the emission of a continuous electromagnetic spectrum called Bremsstrahlung. These four mechanisms are illustrated in Figs. 9.22 a - c. Natural or man-made sources of such photons are described next. 7.1.2 Photon sources Let us review the usually encountered sources of photons and the properties of the emitted light spectrum. i)UV light When a rarefied gas is excited by means of an electric field, the electrons of the outer shells are transferred to excited energy levels. When they retum to their original state, the energy thus recovered is spent by generating a UV photon. - In space, the sun and the stars are sources of UV light. However when devices are encapsulated, UV photons cannot reach the component itself and are thus not a problem. - During device fabrication, plasmas and mask aligners either generate or make use of UV light, which causes a fairly high level of radiation. The action of UV light on Si/Si02 structures is detailed in Chap. 10.
W,R. Fahrner
604
X-ray
UV
optical hi/
e-
^hz)^ /
/
e-
/ /
hi/ \
/ /
7-emission nucleus 2R
Fig. 9.22 a: Generation of characteristic X-rays, UV- and optical radiations.
electron stopper
100 keV O
Bremsstrahlung
Fig. 9.22 b: Generation of Bremsstrahlung.
A nuclear potential
7 -emission
Fig.9.22 c: Generation ofy-rays.
An Overview of Radiation-Matter Interactions
605
ii) Bremsstrahlung When electrons are suddenly slowed down in a medium (Fig. 9.22 b), Maxwell's laws predict the emission of a radiation whose energy rate dEg/dt is given by: dE^ dt
2q 3
3c
(9.67)
^'e
where v^ is the deceleration of the electron and q and c have their usual meaning [27]. This formula holds in electrostatic units. For MKSA-units, q^ should be replaced by (q2/47i£). This energy is emitted in the form of Bremsstrahlung. A broad spectrum is found with a limit at short wavelengths, X^^ , given by E^^ = hc/Xj^^ = qV , V being the acceleration voltage of the electron source. This phenomenon has practical applications both in X-ray tubes and in flash X-ray generators. Examples of Bremsstrahlung spectra emitted by a tungsten electrode are given in Fig. 9.23 for various tube acceleration voltages.
3 c6 G P
Fig, 9.23: Bremsstrahlung emission spectra of a tungsten electrode [28].
d
o
I •a
(IS
(18 kl
tp
wavelength [A**]
In the following, we shall consider a particle of charge Zj = 1 (e.g. an electron) stopped by the Coulomb field of an absorber atom of atomic number Z2. We can write F^ = m^lv; WL2C^ and thus inserting v;into (9.67), we get: dE^ dt
\mj
(9.68)
This indicates that Bremsstrahlung is significant for electrons and, at higher energies, for protons. It also shows that high Zj-materials yield more Bremsstrahlung.
W,R, Fahrner
606
Hi) X-rays When the electrons which generate the Bremsstrahlung spectrum are sufficiently energetic, sharp lines appear superimposed on that spectrum as shown in Fig. 9.24. The origin of these lines has been anticipated in Fig. 9.22 a: An energetic electron removes a deep lying shell electron. The atom will use any other outer shell electron to fill the hole thus created. As an example, if a K-electron has been removed, a second electron from the L-, M-, N-... shell falls into the empty position of the K shell. The difference in binding energies is emitted in the form of a (X-ray) quantum. The corresponding lines are labeled K^, Kp, Ky-line (K series).
s (0.3 UA*')
¥ (0.387A^)
8^
5 (0.531A°)
glancing angle (p on CaCo3
Fig, 9.24: Characteristic lines superimposed on a Bremsstrahlung emission spectrum. The electrode is Rh.Ru (after[29] and refs. quoted therein).
The same interpretation holds for the L series, M series etc. We will not discuss the details of the X-ray emission spectra any further; they already fill many textbooks. For practical purposes. X-rays are generated by bombarding a metallic electrode with electrons as illustrated in Fig. 9.25. The spectrum emitted by the tube contains both the characteristic rays of the transitions (K^, Kp,...) and the continuous Bremsstrahlung background.
An Overview of Radiation-Matter Interactions
target
^ Focusing cup
607
^ Cathode
Useful x-rays
Fig. 9.25: Cross section of an X-ray tube.
iv) Gamma rays Gamma emission is a consequence of the transition of a nucleus from a higher to a lower energy state. In a manner similar to electrons or molecules, the nucleons (or the whole nucleus) assume given levels on a nuclear energy scale (see Fig. 9.22 c). Various models have been established to explain the existence of intemuclear forces: the vibration, deformation, shell, optical, rotation, supra-fluidity models, and others. Again, we can only refer the reader to the relevant textbooks. Technically, a gamma source consists of Co-bars placed in a circular arrangement as visible in Fig. 9.26. The bars are first subjected to a neutron reactor where they are activated. After activation, the bars are kept behind a thick shield of lead for safe operation. Through a central lock, the samples are driven inside the irradiation chamber. The radial arrangement of the bars provides omnidirectional and homogeneous irradiation conditions. The left side of Fig. 9.26 shows the energy diagram of the decay process: ^^Co emits electrons ( T1/2 = 5.26 y ) so that ^^Ni is formed. This excited Ni comes back to the ground state by emitting two gamma quanta of energy 1.173 and 1.332 MeV . In the following, we will discuss the interaction of energetic quanta with matter. Because of their practical use, we will restrict our discussion to X-rays and gamma quanta. In § 7.2 - 7.4 we will show that mainly three mechanisms are responsible for the attenuation of these quanta in matter: the photoelectric effect, the Compton effect, and the pair production, and we will, in each case, calculate the energy loss. Depending on the mass of the target material and on the energy of the photon, one of the three competing mechanisms predominates as we will show in § 7.5.
608
W,R. Fahrner
mounting fixture for insertion irradiation chamber
60co
0.313 MeV
E = 0.0941 MeV
substrate stage shielding slide mechanism
2.5057 1.3325
lead shielding
Y2
n^ E(MeV) 1.0 1.173 1.0 r.332
Fig. 9,26: Cross section of a ^^Co -y source and representation of the nuclear energy levels of ^^Co [3].
7 . 2 THE PHOTOELECTRIC EFFECT
This effect occurs when a photon interacts with core electrons. An X-ray or a gamma quantum can be totally absorbed in a collision process with electrons of the innermost shells. An electron will be freed (and eventually gain some surplus energy in the form of kinetic energy); the nucleus acts as the third reaction partner for momentum conservation. The energy required for freeing an electron, say of the K shell (> E^), is slightly larger than that of the highest energy line in the K series (Ej^ - EL, E ^ - Ej^,...) as illustrated in Fig. 9.27.
\
continuum ^ (free state) Fig. 9.27: X-rays emission ( hVfr^, hVfr^, hVf^y ) and absorption ( hv^) lines.
An Overview of Radiation-Matter Interactions
609
We now understand the basic features of the absorption spectrum, as illustrated in Fig. 9.28 for a platinum target. We note in this spectrum the multiplicity of the absorption edges (fine structure). It is due to the action of the nuclear magnetic field [28].
L-group
K-group emission spectrum
W^mm'
fit
aW'm'
cci a2
I.
absorption spectrum
c o o
c J o •5.
b ^
U) X)
^
<
Fig. 9.28: Emission lines (top) and absorption edges for platinum [28].
The absorption cross section % associated with the photoelectric effect is roughly given by: TK =<jj'f,-4-^
a^Zl
( hv \^e^^
V"
(9.69)
J
In this expression, (5^^ = (871 / 3) • r^^ = (871; / 3) • c^lm^c^ is the Thomson cross section ( r^ being the electron radius), a = q^/hc is the fine structure constant, and hv is the energy of the quantum. Note that x^ is proportional to Z2^ indicating a contribution of several electrons to the interaction with the quantum. Due to the v^-^ dependency, the photoelectric effect is dominant at lower quantum energies (typically up to 500 KeV) as visible in Figs. 9.34-36. Let us assume that particle bombardment or quanta irradiation have removed an electron from the K shell. As shown above, equilibrium is re-established by capturing an electron from an outer shell, say from the L-shell, which is followed by the emission of an X-ray quantum (the so-called X-ray fluorescence) as illustrated in Fig. 9.29 a.
610
W,R. Fahrner
There is, however, an alternative to the subsequent emission of an X-ray quantum: the energy gained from the electron transition, E^ - EL , might be transferred as well to another L,-, M-, N-,...shell electron. This electron will be ejected from the atom with a discrete kinetic energy EIQJ;^ = (EK-EL)-(ELMN,..."0) • This effect is illustrated in Fig. 9.29 b. The ejected electrons are called Auger-electrons. The Auger process is dominant for low Z2 values. The number of emitted photons per electron removed from the K-shell is called the fluorescence yield, K. Yield K increases monotonously with Z2. For Z2 = 32 , this yield exceeds 50 %. Auger e~ i
X—ray
i
i
\!^'kin h.v.
L.i hi^>/ 1
•4 H — J !
a)
*
T
K
b)
Fig. 9.29: Illustration of the photoelectric effect a) The absorption of the photon is followed by the emission of an electron and of an X-ray quantum b) The absorption of the photon is followed by the emission of a (photo-) electron and of an Auger electron. 7.3 THE COMPTON EFFECT
The Compton effect describes the "billiards-type" collision of a (ganmia) quantum with an electron of the outer-most shell. The electron is supposed to be free and thus no third partner is required for momentum conservation. The original photon of energy hv interacts with the free electron (at rest), yields a photon of lesser energy hv' < hv , and transfers the difference in the form of kinetic energy EJQJI to the electron. The collision process is illustrated in Fig. 9.30. P«>E,
Fig.9.30: Illustration of Compton scattering.
^7
c
An Overview of Radiation-Matter Interactions
611
In what follows we shall derive expressions giving the momentum and the energy of the Compton electron, the energy lost by the incident photon and the relationship linking the scattering angles. 7,3.1 Momentum and energy conservation The incident gamma quantum, of energy hv and momentum hv/c, collides with an electron originally at rest, i.e. possessing an energy E = m^c^ . The gamma quantum is scattered at an angle '&y ; the electron departs at an angle ^d^ with an energy By^^ and a momentum p^ . It should be kept in mind that a relativistic treatment is required at these energies. Momentum conservation parallel and perpendicular to the direction of incidence yields: along the x-axis hv hv ' — = cosily + p^ cos'd^
(9.70 a)
along the y-axis 0 =
hv '
sin t?y - p^ sin 7}^
(9.70 b)
Conservation of energy leads to hv = hv' + Ej,i^
(9.71)
From Eq. (9.35) we know that
which yields
EL -H=
El^ ^El+2
E^, Ej, - El
(9.72 a)
which can be rewritten
m2c4-mlc4 =
El^^2E,^Ej,
(9.72 b)
7.3.2 The momentum of the Compton electron Let us now try to calculate the momentum Pg using the above relation and Eqs. (9.34 - 36). The above expression can be rewritten
ml c'^
' m '
V^J
-1
- Ejdn (Ekin +2 Eff)
(9.72 c)
612
W,R, Fahrner
Using Eq. (9.34) ratio (m/nio) can be expressed as -1/2
Expression (9.72 c) can thus be rewritten
mlc"^
= ^kin (^kin +2
(9.72 d)
Eji)
or 2 2
1
k
l-v'/c'
=EMn(Ekin + 2ER)
(9.72 e)
Since Pe = mv = inoC(v/c)(l-v2/c2)-i/2 (see Eq. (9.36)) we finally obtain PeC = ylEki„(Ekin+2ER)
(9.73)
7.3.3 The shift in wavelength of the incident photon Let us now calculate the shift in frequency (or wavelength) of the incident photon. Let us transform Eqs. (9.70 a and b). They yield: hv-hv' hv'
(9.74 a)
cosily = PgC cos i}^
(9.74 b)
sim&y = PgC sini}g
or combining the above two expressions h2 (v^ + V' 2. cos^ i&^ - 2 vv' cos t?y) + /i2 V '2• sin^ i»^ = p^ c^
(9.75a)
which yields h'^iv^ ^-v''^-2vv'
cos^y)
= PIC^ =El^+2E^^E^
(9.75b)
Since Ej^jn = h(v-v') it follows h^(v^
+V^
- 2 v v ' co5t?y) = / i 2 ( ' v - v ' ) 2 +2h(v-v'
)m„c^
or 2h^ (l-cosi^y
)vv'=2h(v-v'
jm^c^
(9.76)
An Overview of Radiation-Matter Interactions
613
and h m^c^
^
^ ^
v-V vv'
1 V'
1 V
X-X c
Finally: h
AX=X-l=
(9.77)
(l-cosi»y)
AX, is called the Compton shift, and h/nioC is called the Compton wavelength of the electron. From the above expression, the photon wavelength after collision can be written: X' = X + AX=^X +
h
(l-cosi^y)
m„c which leads to
J_ X'
h
X+
(1-COSl^y)
which yields the energy hv' of the scattered photon:
hv =
^—^— m„c hv
(9.78) '
and the energy ratio: hv'
hv
i+
(9.79)
J}L.(i_,^,^^) m^c^
7.3.4 The kinetic energy of the Compton electron Let us next calculate the kinetic energy of the Compton electron. It yields
««, = * ( v - v ) = ^ - ^ = ^ ( i - A , A
Using Eq. (9.77) we get
A
A
X''
W.R, Fahrner
614
— =7+ A m^cA
:-(l-cosily)
which leads to: hv Ekin=hv
(1 -
' 1+ m^c'
cosi}y) (9.80) -(l-COS'&y)
This energy transfer becomes maximum for 'b^ = 180^ (gamma back scattering). In this case the kinetic energy of the Compton electron is given by :
2 hv ^max
= hv
(9.81)
7+ 2 - ^ m^c^ 7.3.5 The relationship between the two scattering angles Let us now calculate the relation linking i^e to 1>y . These angles can be related to each other by dividing (9.74 a) by (9.74 b):
cot t?. =
hv-hv' hv'
cosi&y ^ sini^^
This above ratio can be transformed using (9.79). It yields
f
1+
hv
\ -(1-cosily) - cosi^^
COtT}^ _= V
sin "&. or hv \(1-cosily) cot'd^ = 7+sin i}^ V m^c^ '"^o^ J
(^
1+-
hv\
^
«f
The above formulae hold only for a limited energy range, namely for hv/mgC^ « 1 .
(9.82)
An Overview of Radiation-Matter Interactions
615
7.3.6 Compton scattering in the relativistic case For higher energies a quantum mechanical, relativistic expression for the differential cross section (the Klein-Nishina formula) has been derived: V
dQ^
V
— +
4 '^ [v J
(9.83)
2 + 4- cos^ e
This is the cross section for a linearly polarized wave of energy hv to be scattered into the solid angle dQ , so that after scattering the energy is hv' and 9 is the angle between the field vectors of the incident and outgoing waves. Again r^ is the electron radius. Equation (9.83) assumes scattering by one single electron (subscript e). By averaging over all polarization angles 6 we get: ,'\2 ( —
d^G SlLc. -:Lr2\ = -r. dQ
\
(9.84)
where 'dy is known from Eq. (9.79). By integrating over all scattering angles, the total cross section is obtained:
,<7^
^2Kr} —— — --ln(l 8^ { l + 2£ e
+ 2£)\ + — ln(l + 2e) ) 2e
(9.85) (l + 2s)^
with £ =hv/mQC^, and dim ^a^ = cm^/electron . 7.3.7 Quantum and energy scattering A distinction is often made between quantum scattering and energy scattering. We can rewrite the scattering equation (i.e. (9.15)) as:
-^
dQ
^
-^
where j is now a flux of quanta. Assuming a monoenergetic flux, each quantum carrying the energy hv , we obtain the scattering equation for the energy flux:
d{j.hv) =
-^'NT.dx.(j.hv)'dn
616
W.R, Fahrner
However, after scattering, the quanta found in df2 carry the energy hv' rather than hv . Thus we transform:
d(j'hv')
=—
j^'daNT.dx.(j'hv)
Ratio da^/dQ is called the collision cross section i.e the differential cross section relative to quantum scattering (indicating the loss of photons with energy hv out of the primary beam) and ratio (hV/hv)dcJdQ, = d a / d Q represents the differential cross section relative to energy scattering. 7.4 PAIR PRODUCTION
When the energy of the ganmia quantum exceeds twice the rest energy of the electron, it can be fully absorbed while an electron-positron pair is produced. The excess energy of the gamma quantum is transformed into the kinetic energy of the particles as illustrated in Fig 9.31.
u 7/
>/\l9
^^^' ^'^^' ^^^^ production (schematically).
For high energies, h v » m^c^ , the particles are found in the iJ = 0 direction. The momentum and energy of the pair are: Pp=2mv
= 2-
^-^
P = 2mc2 = 2—.—^ c2 ^ Vi - V 2/ c2
(9.86)
(9.87)
Conservation of energy requires:
hv = 2-
/"-'
=il^
(9.88)
An Overview of Radiation-Matter Interactions hv — = PY=
617
. Pp-c^v
Pp =(V/ C)Py
(9.89)
The momentum of the photon py exceeds that of the pair. The excess must be absorbed by a third collision partner, as a rule a nucleus or an atomic electron. In the latter case, since the energy transfer to the electron is high, the critical energy for pair production is 4nioc2. The expressions for the absorption cross section Kp are [27]: (
K„ = ar}Zl o ^2 ^ f a 2 . with a = qV(h
hv m^c^
218 27
(9.90)
c) « 1/137 (fine structure constant), and f 28 , 183
Kr. = OLr}Z} — m—7779 Zj/^
27
(9.91)
Expression (9.90) holds for 1 « hv/nioC^ « l/(a Z2^^^) and expression (9.91) holds for hv/moc2 > l/(aZ2i/3). 7.5 THE TOTAL LINEAR ATTENUATION COEFFICIENT
The intensity of a monochromatic beam is attenuated by a combination of the absorption and scattering processes defined earlier: the photoelectric effect, the Compton effect, and the pair production. The attenuation law can be written:
The total attenuation coefficient, |Li , takes into account the above-mentioned energy absorption and scattering events. It can be expressed as : ^* = CJ* + T* + X-*
(9.92)
where (dim |i* = 1/cm , a* = N j a , X*=NJT etc). In the following and for the sake of simplicity we shall omit the asterices. The schematic behavior of |Li vs. hv/moC^ (i.e. the reduced energy of the incident photon) is shown in Fig. 9.32 for a given target material (Pb). The figure clearly shows that, depending on the energy of the incident photon one of the above-mentioned (absorption or scattering) phenomena predominates and is responsible for the beam attenuation.
618
W,R. Fahrner
Fig. 9.32: Total attenuation coefficient vs. reduced energy, with parameters ofPb [26].
0.5 1
5
10
50 100
500 1000 — •
Another instructive graph can be obtained by plotting the values of Z2 and hv for which the attenuation due to Compton scattering is equal to that due to photoelectric effects (left curve) or that due to pair production phenomena (right curve). These two curves delimit the regions in which either effect predominates as illustrated in Fig. 9.33. lUUl 90 \
80
_
70
Photoelectric eff ect domiiiaiit
60 c4^
? \ \._
4J
50
y
40
30
V
Conipton eff dondinant
-
20
Pair production dominant
\
\
1
10 ^ ^ \
0' 0 .01
\
j i ' 111
0.05 0.1
t
1
1 11 111
0.5
'
1
1 -iij.il
5
1 1 rKsjjJ
.11. 10
50
l(
E7 l*flevj
Fig. 9.33: Regions of predominance of the three main y/matter interactions in a Ey/Z2 graph [18].
Finally we present in the following three figures, how the total mass attenuation coefficients vary with the energy of the incident photon in air, aluminium, and lead.
An Overview of Radiation-Matter Interactions
•
t ' Si
619
t f ?
1 4
•a
3 \--
[11,
r\
.r 1 n 86 ^
» i<
W »x
^iv
si• V P \ 2 v-1..:;^ 0^ ^
AJ
0.1
ffi 3? o § •^
61 ^i 4 3. 2
4 T 3 2
0.01
! ! 1 1
! 1 1
f"'"
j >
«S i
1 { i i t i ' 1 1
- ^
\ v li ' •11 V %<-,
1
i i 1 M 11
"ri
1 ^r.
>Z^. NS-^
J^sorpt|o»j Tn
4
f tota^ ' o>\-o>-|-^ ^ 1
Xi
1^:o'< ^1 1 1
: )
fe"^
i Vin
, r i \ \ y •—1 >' ; '\\\''—' j -M-? 1 i ! ' !
U
1 i 1
!11i \
, i 1
1 Z A (i O.I
^*. -
1
'0^1
M , fc^L'O _
j
w'
"k" •4-
ir\
V ^ . '^/.,V^
1 J*
•" W>1^
i fl 1
\ru .A . «> '>
ri 1
n h^
1 Z A (, Ey [MeV]
Fig. 9.34: Variation of the mass attenuation coefficient for photons in air [18].
\<^^
M l
,'''>.:NJ
V
l_l J ^I9J
ki 1 1
+ - ^©>.>H r ^Q/>"S_4^ t '^,N 1 1 - f ^ N 1 ^«.VJ H I ftv
liil 2 3 4 6 1 \\\\
^:''«i?.
^
k. ^
NK i\ wv
^ ^
r J } 1
N 1 J \ \^i i 1
SL
1?
t
0.001
1
h —\—j—t-
'
6
1
J ^^
1
iji
^
i
vj !
-ji>ft
i^ 0 01
1[ i1i T1 ' i 1 1
-•Ui
.
8j iCi
1 > 1 i 1 » ' >
i
>
4
^
A •••••
2 IS:
11
%x
1
M1 1 r h ^-s P'Xi
Mil
Li li^l 1 10
1V 1 2 31 4 6
^
100
620
W.R. Fahmer
100
0.001
Fig. 9.35: Variation of the mass attenuation coefficient in aluminium [18].
An Overview of Radiation-Matter Interactions f
1
1 j 11
1
-^M- i 6G ^ _5_i i\
100^
• '
t 1
» 1
> t' \ \
\
1
-iiF M 1 f ^1 j 1 {j
4 3
621
Zl Lead SJ.
U ) 1 Ml ^ ^ \ i1
-^\ 1 1 1
J
^M^
10
7 -sT
8i 61
3 ^
4^ 3 "^
UTT" -T-WT \ Dy 1
7 i&
I \
=t=W
^
K 1 i 1 '111
3 5 «J
4
L
IIK 111
1 0.01
5
"T-T
^
^ I
1 1 Q.^r>l'
fa^ 1
PTTL^
In
kgarwpHBr
? 1
THJ
ll
1 1 i « r " ^ •«
r\| 11 P S
j j 1} o
/^'
k.
P
Et 3k >
r4. ii
2 3 4
\
1 || ^
KvI ^
'
t^^•^i Llll
1 1^
1
fb ^M
rvjl 1
rr
ttfcj llll V 0.1
1 Jr\
i1111—— rT^I
1 ^^
M^
0.001 2 3 4 6
iiif^v
absorption ^,/p
Mi
H i l l
3 F , f 2 Im
0.01
llll
^ F 1 lyvJ ffi/1 'otal
J
^
6 >|
^juK
\
3 •* 2!
o
• / Total attenuation ^.^/p
!> ^ " V ^
4
1
r
8 ^ 6
.2
• I I I
M 1
1 j ii•
0.1
p
U
°H'p\
.2 2 o c
IL
N
/I
1
HL
IM 1 1
8 6
'^
» (
iN \ 1 s '1 '1 !' \ hIU \\ K ' 1
1
4 3 1
•
6 1 2 3 E y [MeV]
K4 111 4 6 10
Fig. 9.36: Variations of the mass attenuation coefficient for photons in lead [18]
1 i
' M ri Itlrttffl
2 3 4 6
100 •
622
w,R, Fahrner
8 THE NEUTRON-MATTER INTERACTION This section requires some basic knowledge on nuclear reactions. Let us thus recall - in a very condensed manner - the nomenclature of such a reaction. A nucleus may consist of Zj protons and N^ neutrons. Their sum A^ = Zj + N^ is the mass number of the nucleus. This nucleus may react with a second nucleus described by A2 , Z2 , N2 , so that one or more new nuclei are formed. In this reaction, the sum of the masses and charges is conserved:
•;^+z7=z'>+z>/+-(^"M^-)'(r).Very often, the reaction partners ^^Y and ^ T are light nuclei 1^ , I2 , such as protons p, , neutrons n , deuterons d , d=\H , tritons t , t=\H , alpha particles, a= \Y{t , etc. In this case it is convenient to adopt a nomenclature for the nuclear reaction in the form of P=IH
Z^Vph)
^\^ •
As an example, let us consider the bombardment of ^^B nuclei with alphas. A ^^C nucleus is formed while a proton is emitted. The simplified reaction "equation" can then be written ^^B{a,p)l^C 8.1 THE GENERAL PROPERTIES OF THE NEUTRON The neutron was discovered when Be and Li targets were bombarded with a particles. Instead of the usual (a,p) reaction, a new radiation was found to be emitted. This radiation penetrated deeply into lead and could be detected in a Geiger-MuUer counter. One was able to determine the mass, the charge and the spin of that particle. 8.1.1 The neutron mass By bombarding a target of paraffin with neutrons, protons were extracted whose recoil energy could be measured. A series of similar measurements yielded the neutron mass m^ = L0086654amu
(9.93)
The neutron is slightly heavier than the proton. The difference in mass is equal to 2.5 electron masses. The fact that the mass of the neutron exceeds that of the proton suggests that neutrons may decay and release a proton and an electron (p - decay). In fact free stable neutrons do not exist. Any newly formed neutron is either captured by matter (this is the overwhelming majority of cases) or p-decay sets in. The half life of the p-decay (measured in vacuum) is Tj/2 = 12.8 min
(9.94)
An Overview of Radiation-Matter Interactions
623
8J.2 The neutron charge By observing neutrons injected in a Wilson chamber, their ionization rate is found to be very low (10"^ ions/cm). A proton of comparable energy ( ~ 1 MeV ) creates 10^ ions/cm . Since the ionization rate is proportional to q^^ ( qN being the possible charge of the neutron), q^ is found to be less than q/1000 ( q being the charge of the proton). Given that no charge smaller than q exists, one concludes that: qN=0
(9.95)
Formula (9.95) explains why the name "neutron" was given to this new particle. 8J.3 The neutron spin From several experiments it is concluded that the neutron has a spin, which, measured in units of il is s^=l/2
(9.96)
A rough explanation for this value comes from comparing the spin of the neutron, proton, and deuteron: The angular moment of the deuteron is zero, and its spin is one. The spin of the proton is 1/2 . It follows that the neutron spin must be 1/2 or 3/2 . From other experiments (measurement of the magnetic moment, neutron scattering with H and H2 ) the value SN = 3/2 can be excluded. 8.1,4 The gyromagnetic ratio A last characteristic value is the gyromagnetic ratio g defined by M = g^s (9.97) n where M is the magnetic moment, s the spin, and \i^ the nuclear magneton given by:
znip • c
The measurements for g are performed in a magnetic resonance set up. The results for g^ (neutrons), g p (protons) and g^ (deuterons) are: iff =-1.91307 gp = 27928
(9.99) (9.100)
624
W,R. Fahmer g^ =0.85742
(9.101)
As expected (from s^ = 1/2 ), we verify that g^ + gp - gd • The difference, 0.0223 , is attributed to the quadrupole moment of the deuteron. 8.2 AN OVERVIEW OF NEUTRON SOURCES Neutrons are encountered in space as a by-product of the interaction of cosmic rays on the materials of the spacecraft and on the molecules of the upper atmosphere. In order to predict the effects of these particles on electronic devices in space missions, it is necessary to subject identically fabricated devices to terrestrial neutron sources. 8.2.1 Characteristic parameters of neutron sources In general, neutron sources are characterized by two parameters: the neutron yield and the neutron energy. (i) the neutron yield A standard laboratory neutron source is Be intimately mixed with RaBr2 (a salt) ( Ra is an emitter of 4.78 MeV alphas ). A mixture of 4.5 g of Be and 1 g of RaBr2 yields 1.410'7 n/s with an average energy of 5 MeV. Another possible source of neutrons is the nuclear reactor. Knowing the power of the reactor, it is possible - as we show below - to calculate the neutron yield. The operation of a reactor is based on the fact that the capture of a slow neutron, e.g. by U235^ will lead to: the fission of this nucleus, a subsequent average emission of 2.5 fast neutrons, and an energy release of 200 MeV per fission event. Thus, per Watt produced by the reactor, the average number o5 of required capture processes is given by: W =
—^ ^W^"^^"^ - 3 • IQiOW-h-i 200 • 10^ • L6 ' 10-^^
(The real figure is 6-10^^ W-^"^ if we take losses into account). On the other hand, the number of capture processes (per cm^ and s ) is given by nv/X , n being the slow neutron density, v their velocity, and X their mean free path length. Thus, for a reactor of power P and core volume V we can write: A and the average (slow) neutron flux in the reactor core is given by:
X
_
An Overview of Radiation-Matter Interactions
625
With experimental values for X (between 3 and 30 m), and V of the order of a few m^ one gets typical fluxes in the reactor of 10^^ to lO^^ n/scm^ . (ii) the neutron energy Neutrons can be classified according to their energy E (or to their wavelength X using X=hl^2m^E). A possible classification is as follows: • slow neutrons (E < 1 keV), subdivided into cold (0.002 eV), thermal (0.025 eV), epithermal (0.5 eV), and resonant neutrons (1 eV < E < 1 keV) • intermediate neutrons (1 keV < E < 0.5 MeV) • fast neutrons (0.5 MeV < E < 10 MeV) • very fast neutrons (E > 10 MeV) Typically the wavelength A. of a thermal neutron (E « 0.025 eV) is 1.8 Angstrom. This means that for slow neutrons, X<» R , R being the radius of a nucleus. Most sources emit high energy neutrons. In many cases, however, the interaction of slow neutrons is of interest and technical importance. Therefore the neutrons are often slowed down in an appropriate substance (moderator) where they lose their energy through elastic collisions. In other cases the experimental situation might demand neutrons of a single energy. Then selective filters are required, which - out of a broad spectrum - let through only the neutrons of the desired energy. The filters are based on mechanical time-of-flight discrimination, chopping, or Bragg scattering. Of course there exist other criteria of neutron sources (angular distribution, secondary processes). Their discussion is beyond the scope of this chapter. 8.2.2 The various neutron sources Neutrons sources can roughly be subdivided into five groups: (i) the (a^n) sources. These sources were historically the first ones to be used and some are still in use today because they are convenient and stable. They combine an a emitter (e.g. a heavy radioactive isotope) and beryllium which captures the a and emits a neutron according to the exothermic reaction Be^ (a,n) C^^. The most widely used sources are: • the Ra-Be source • the Rn-Be source
626
W.R, Fahmer
• the Po-Be source • the Pu-Be source (ii) the (dyfi) sources. In such sources, a deuteron, i.e. a (n+p) nucleus, is accelerated toward a target and penetrates its potential barrier. The proton is captured by the target nucleus while the neutron is re-emitted. The reaction energy is supplied by the difference in the binding energies of the proton in the target nucleus and in the deuteron. As a rule this difference is positive and the reaction is exothermic. The usual sources are: • the D(d,n)He3 source • the Be9(d,n)Bio source • the T(d,n)He^ source Accelerator energies are: > 50 keV (D) , > 300 keV (Be) , > 100 keV (T) . The typical energy of neutrons thus produced is 1-20 MeV. (Hi) the (pyTi) source. In this case, a proton is accelerated towards a target nucleus and penetrates its potential barrier. A neutron is emitted. As a rule, a stable nucleus is chosen for the target material. The newly generated isobaric metastable nucleus returns to equilibrium by emitting or capturing a positron. This process is exothermic; thus the opposite (p,n) reaction must be endothermic. The advantage of the (p,n) and (d,n) reactions is that monochromatic neutrons can be produced, especially at low energies. Some usual sources are: • the Li'7(p,n)B'7 source • the T(p,n)He3 source The accelerator threshold (proton) energies are 1.882 MeV (Li) and 1.019 MeV (T). (iv) the (%n) source (photo neutron source). This reaction (photo nuclear effect or nuclear photodisintegration) is started with MeV 7-rays. It is endothermic; the reaction consists in the removal of a neutron, bound to the nucleus, by a ganmia quantum. Thus the threshold energy is identical to the opposite of the binding energy of the neutron. For the same reason, the emitted neutrons are monoenergetic (in a first order approximation). In Table 9.5, a summary of 7-sources, half lifes, and targets is given.
An Overview of Radiation-Matter Interactions
Radioactive
Haiflife
substance
^*
Average Energy
Yield per 1 g of
7-rays
of the neutrons
target material at 1 cm
[MeV]
(MeVJ
distance per 1 Ci/s (xlO"^)
Be
0.83
13.00
DTP
0.22
27, 00
Energy of the Target
14.8 h
2.76
Mn5«
2^9 h
1.81; 2.13
Be
0.15; 0.30
2.90
2,7
DTQ
0.22
0.31
Ga-^
14.1 h
1.87; 2.21
Be
(0.78)
5.00
D^
0.13
6.00
Be
0.158
10.00
2.51 y-88
87 days
1.9; 2.8
D^
(0.31)
0.30
56"4
60 days
1.7
Be
0.024
19.00
La'*''
40 h
2.50
Be
0.62
0.30
DTP
0.151
0.8
Be
0.827
3.5
0.197
9.5
MsTh
6.7 years
1.80; 2.62
Ra
1620 years
2.42
DTO
1
D2O
1
0.12
1
627
1
°-^
Table 9.5: Characteristic properties of some photo neutron sources [30],
(v) the reactor. The reactor is the solution chosen when one wants to obtain intense fluxes of fission neutrons ( 10^1 - lO^^ n/cm^s ). Details of the reactor construction and of the neutron spectra will not be discussed in this paper. For more information, we refer the reader to refs. [31 - 33] and to references quoted herein. Available reactor sites in Europe are: • Grenoble (1.5-1015 n/cm^s)
• Jiilich (2- 101"^ n/cm^s , temporarily out of order)
• Berlin(1.54014 n/cm^s)
• Geesthacht(10i4 n/cm^s)
• Saclay(5- 10^4 n/cm^s)
• Riso (2- 1014 n/cm2.s)
The energy spectrum of fission neutrons is given in Fig. 9.37 A good fit to this (spectrum) curve is the following function
N(E) = e-Esh42E
628
W,R. Fahrner
where E is measured in MeV. For deviations from this law see e.g. refs. [32, 33], and [6]. When the neutrons collide with the nuclei of a moderator, N(E) is better described by a Maxwellian distribution.
M(E)
mo
i
1 1 1
^
L
1 NJN
k
^
— ^ .1 • 1
Nl I i 0
^
Z
3
^
S
S
7
8
1 4^\ —K;W^ 1
2
3
U E[MeV]
5
MiZ£ S
1
ua
Fig. 9.37: Experimental energy spectrum of the fast neutrons obtained from the thermal-neutron-induced of U^^^ (0) and Pu^^^ f # ) (after[30] and references quoted therein).
fission
8 . 3 NEUTRON INTERACTION WITH SOLIDS
In the textbooks on neutron physics, this title usually deals either with intemuclear forces (if studied from the point of view of a theoretical physicist) or with fast neutron moderation (if studied by a reactor manufacturer) or Bragg diffraction (if seen by a crystallographer). We exclude these subjects and discuss instead the impact of neutrons on crystal order, point defect and cascade formation. There are two basic mechanisms which explain disorder production by neutrons: collisions and capture (followed by nuclear reaction).
An Overview of Radiation-Matter Interactions
629
8.3.1 The collision process Neutrons interact with matter mostly through elastic scattering (on the nuclei). This process is similar to the ion bombardment of crystals, but with different interaction forces. However, during its travel through matter, the neutron may also be absorbed as we shall see in § 8.3.2. When a neutron of energy E^ and mass M^ collides with a target atom of mass M2 or of atomic mass A (a.m.u.), it transfers an energy T which may vary between zero and a maximum energy T^^. This maximum is given by , '^
4M,M, (MJ + M2F
4A (A + lp
^
The first part of Eq. (9.102) is the usual expression for the classic collision of two particles of mass Ml and M2 . In the second part of Eq. (9.102) we have replaced M2 by A and Mj = m^ by 1 given that in units of atomic mass, the mass of the neutron is 1 . If the transferred energy exceeds the displacement energy, i.e. if T > E^ , the target atom will be displaced and if the kinetic energy of this recoil atom is itself larger than 2 E^ , the recoil atom will be capable of displacing another atom thus possibly giving birth to a cascade of displacements. Displacements produced in collisions are caused by neutrons mainly in the «0.1 to 14 MeV range The total number of target atoms v(T) which can be displaced can be calculated using the following reasoning. • The first displaced atom collides with an atom of similar mass. After this collision we have a total of two displaced atoms, each having statistically an energy T/2 . • These two recoil atoms will in tum generate two collisions leading to a total of four recoil atoms, each with an energy statistically equal to T/4 as illustrated in Fig. 9.38.
neutron (En)
target g^^^m
Fig. 9.38. Recoil cascade after a neutron collision.
630
W.R. Fahmer
The process repeats itself q times until the transferred energy becomes less than 2 E^ at which stage the displacements stop. It follows that: 2Ea=^
(9.103)
V (T)=2
(9.104)
and thus
For extremely large values of T above a critical value (i.e. T > T^ ), v(T) saturates as visible in Fig. 9.39. This is because all the (excess) energy of the recoil atoms is lost in electronic excitation. A good approximation is T^ [keV]= A [amu]
(9.105)
A being the atomic mass of the target (expressed in amu). In this regime (T > T^) the number of displaced atoms is given by: v(T) = v,=T,/2E^
(9.106)
Let us now calculate the average number of displaced atom after the primary knock-on. This number v can be expressed for one incoming neutron by: T
v=
jv(T)' P(T)dT
(9.107)
In this expression P(T) dT is the probability that the neutron has transferred to the target atom an energy comprised between T and T + dT . Since scattering is isotropic, the probability density is uniform in the interval [0,Ti^]. The probability can thus be written P(T)dT = dT/T^
(9.108)
It follows that V can be written - ^f / ^ . dT ^f v=\v(T)'— =
T
dT ^f T; dT —+ —^-—
,^,^^, (9.109)
An Overview of Radiation-Matter Interactions
—
4E,
c:
4E,
2--
631
T 4A (A + l)2 'N
(9.110)
For energies T < T^. the above expressidn simplifies into: V=
T^/4E.=
A Efj / E^ (A + lp
(9.111)
The number of displacements obtained from Eqs. (9.110) and (9.111) is plotted in Fig. 9.39.
u
3000
L^ r ^
S.2000 ^
i
fe 1000
\^A^
0 L^j 0
1
2
3
'•'^A
A=150 A=200
Lid r
A=100
A: 50
!!7^
A=12 4
5
Neutron energy E^
6
7
8
9
10x10^
[eV]
Fig. 939: Average number of displacements produced by one (elastic) collision with a neutron of energy, E^, for various atomic masses, A (after [6]).
Let US call Y^ the rate of displaced atoms generated by the action of a (monoenergetic) neutron flux ^ of energy E^ . This rate can be written Yd =
NT(f^j^'(j(E^)v
(9.112)
where a (E^) is the interaction cross section of a neutron of energy E^ with a lattice atom, and Nj is the density of target atoms.
632
W.R, Fahrner
We can define a neutron damage function as: (9.113) which is characteristic of the properties of the atoms and their lattice. This function is shown for silicon in Fig. 9.40. We note that it increases rapidly around 200 keV. This function is widely used, in particular to compare the damages induced by various reactor spectra (often expressed as 1 MeV- equivalent damage functions):
2000 '^
1800
k
1400 • - ^
o^
1 V
1 1 i' V'1 1
'
<=5
1200
1 1 Si 1 1 1
^ x_ C3 O
o
1000
I - — 1
GO
o o
800
i 1 1
600
o
a
aa>
400
cd
200
«az) cd
dU
0
r **"
1 Lmin
1
/ /
1 -.-^'*^ /
.01
.1
1
10
100
Neutron energy [MeV]
Fig. 9.40: The neutron damage function of silicon. E^ = 25eV,T^ = 28 keV (after [6]).
It should be pointed out that the calculations of the cascade damage distributions are performed by using computer codes originally devised to determine ion ranges in ordered materials [34]. Nota: Another "damage function" often used in the literature is defined by means of product E]\fa(Ef^J, where Ej^ is the incident energy of the neutron and c(Ei^) is the (collision) interaction cross section. In Fig. 9.41, this product is plotted as a function ofEj^..
An Overview of Radiation-Matter Interactions
633
lOV
Fig. 9.41. Incident energy lost to collision processes in silicon (after [35]).
0.01
0.1 1 Neutron energy in silicon (MeV)
10
8.3.2 The capture process Although elastic scattering is the most frequent occurrence, the neutron can also be captured by a nucleus of the target and a reaction of the type (n,p), (n,a), (n,7), (n,f) set in (f = fission ). Examples are He3(n,p)H3 , BiO(n,a)Li'7 , Fe58(n,7)Fe59 , U238(n,f) . The reaction rate (yield) Y for monoenergetic neutrons can be written: y=N,.0^.(j
(9.114)
where N j is the density of target atoms, (^ = n-v^ is the neutron flux (neutron density times their velocity), and a is the cross section for the capture reaction. Just like for the collision process the capture process is followed by displacement due to the emitted particles and quanta. (i) The neutron provokes an (n^y) reaction Let us assume that the (thermal) neutron provokes an (n,7) reaction. The emission of a gamma quantum with an (average) energy E^ is accompanied by a recoil of the nucleus with an (average) recoil energy Ej^ . From the conservation of the momentum we can write: yl2 M2 ER =Ey M2 being the mass of the nucleus.
/C
(9.115)
634
W.R. Fahrner
A heavy particle of kinetic energy ER generates v displacements given by V = 'ER/
(9.116)
2Ea
If we substitute ER using Eq. (9.115) we get:
V=
(Er)' 4M2Ea
(9.117)
\ ^ J
The displacement rate in such a case, Y^, is given by expression (9.114) now multiplied by V. It yields: Y,=Nr-o
1 4 M2 E^
2
E, c2
(9.118)
where a = ajj, is the reaction cross section for thermal neutrons. For silicon ( E^ « 15 eV ), and the average recoil energy ERIS 473 eV in the case of an (n,7) reaction. It follows that about 10 displacements are found for such a capture. A typical value of the neutron damage function 0^(81) is 1.6 bam. ii) Case of additional emissions It should be kept in mind that the above (n,7) process is accompanied - as a rule - by a highly energetic p-emission, of energy ER. This P emission generates displacements as well. We can use an expression similar to Eq. (9.115) to express the conservation of momentum. (9.119)
2M2 E„ = p2 Pe being the electron momentum. It follows that
ER =
1 (E^^ (Ep+2m^c^ 2Mo \^ J
(9.120)
}
and Y.=NTG-(i> N 4M2E,
(E^\
(Ep
+2m^c^)
(9.121)
V"- J
In case of an (n,p), (n,a), (n,P) reaction, the treatment used to describe displacement phenomena due to ion implantation may be used. The only difference is that now the "ion source" is located in the bulk of the solid.
An Overview of Radiation-Matter Interactions
635
HA COLLISION PROCESS VERSUS CAPTURE PROCESS
Although both the collision process and the capture process co-exist, capture predominates in the case of low-energy neutrons whereas elastic scattering predominates in the case of highenergy neutrons. 9 CONCLUSIONS In Table 9.6 we have arranged a summary of the various radiation types and the effects they cause in matter. We have restricted this review to those cases in which silicon and silicon dioxide are the main device constituents. Radiation type Electron
Primary effects in Si and Si02
Secondary effects in Si and Si02
Elastic scattering
Ionization
Displacement
Bremsstrahlung
phenomena
damage
Inelastic scattering and Elastic scattering
Displacement damage
Energy range
Main type of interaction
Low energy
Inelastic scattering
Medium energy High energy (> a few MeV)
Protons
Low and medium energy
and ions
High energy (protons, deuterons, alphas) (> a few MeV)
Nuclear reaction
Low energy (<1 MeV)
Photoelectric
Medium energy
Compton effect
Ionization
Displacement
High energy (> 10 MeV)
Pair production
phenomena
damage
Low energy
Capture (and nuclear reaction)
Displacement
Ionization phenomena
High energy
Elastic scattering
damage
(through recoil atoms)
Photons
Neutrons
and Ionization phenomena
Bremsstrahlung
effect
Table 9.6: Summary of radiations encountered and effects produced in Si-based structures. (Term "inelastic scattering" applies to shell electrons whereas "elastic scattering" applies to target nuclei).
We do not want, however, to end this chapter without a general remark. The effects in semiconductor devices produced by radiations gain an increasing importance due to the increasing application of electronics in telecommunication satellites, nuclear power plant instrumentation and medical equipment, to name only the most important ones. Thus radiation assurance is the subject of many experimental investigations as shown in other chapters of this book. Similarly there are many scientific publications and conferences dealing with the degradation of devices when exposed to radiation. Examples are the IEEE SISC
636
W.R. Fahrner
(Semiconductor Interface Specialists Conference) and the IEEE NSREC (Nuclear and Space Radiations Effect Conference). In addition there is a field whose affinity to radiation effects is often overlooked but whose physics is very close to some of its domains, namely the effects due to carrier transport in high fields. Devices stressed by the application of high voltages show a surprisingly comparable behavior to those exposed to radiation. This leads to the fact that the same researchers formerly dealing with radiation asssurance now also publish in the fields of device reliability and long term degradation. From a physical point of view this surprise is small: with regards to the electrical behavior it matters little whether excess or hot carriers have been generated by radiation or by some other mechanism such as high field tunneling, avalanching etc. We presently observe that many radiation experiments are complemented by hot carrier experiments and vice versa. Thus based on the need for reliable devices we can predict that the subject of radiation assurance has a long fijture. ACKNOWLEDGEMENTS The author is grateful to Dr. Barbottin, Dr. Gaillard, Pr. Vapaille and Pr. Messenger for their critically reading of the manuscript and for restructuring some of the sections. He wishes to thank Mrs. Grunendahl, Mrs. Ritter and Mrs Frankenberger for technical assistance. He also expresses his gratitude to his coworkers and his family who have shown great patience with him during the writing of this text.. LIST OF REFERENCES: [I] "Report of the RBE Committee to the International Commissions on Radiological Protection and on Radiological Units and Measurements", Health Physics, 9, p. 381 (1963) [2] Lamarsh, J. R., "Introduction to Nuclear Engineering", Addison-Wesley, Reading, MA (1975) [3] Braunig, D., "Wirkung hochenergetischer Strahlung auf Halbleiterbauelemente",[Effects of High Energety Radiation on Semiconductor Devices]", in German, Springer Verlag, Heidelberg (1989) [4] Watkins, G. D., "Radiation Damage in Semiconductors", Academic Press, N. Y. (1965) [5] Lehmann, C, "Interaction of Radiations with Solids", in "Defects in Crystalline Solids", Vol. 10, Amelinckx, S., Gevers, R., Nihoul, J. eds.. North Holland, Amsterdam (1977) [6] Kelly, B. T., "Irradiation Damage to Solids", Pergamon Press, Oxford, U. K. (1966) [7] Hughes, E. A., Pooley, D., "Real Solids and Radiation", Wykeham Publ., London, U. K. (1975) [8] Corbett, J. W., "Electron Radiation Damage in Semiconductors and Metals", Academic Press, N. Y. (1966) [9] Adams, G. E., Bewley, D. K., Boag, J. W. (eds.), "Charged Particle Tracks in Solids and Liquids", Proc. 2nd L. H. Gray Conf., Trinity Coll., Cambridge, Apr. 1969, The Inst. Phys. Conf. Ser. No. 8. [10] Shockley, W., "Electrons and Holes in Semiconductors", Van Nostrand, Princeton, N. J. (1950). [II] Gibbons, J. F., Johnson, W. S., Mylroie, S. W., "Projected Range Statistics", 2nd ed., Dowden, Hutchinson and Ross, Stroudsburg, PA (1975) [12] Cole, H. S., Skelly, D. W., Wagner, B. C, "Electron Sensitive Resists Derived from Vinylether-Maleic Anhydride Copolymers", IEEE Trans. ED - 22, p. 417 (1975) [13] Galloway, K. F., Mayo, S., Roitman, P., "Radiation Levels Associated with Advanced Lithographic Techniques", J. El Chem. Soc, 126, p. 2245 (1979) [14] Gdula, R. A., "The Effects of Processing on Radiation Damage in Si02", IEEE Trans. ED - 26, p. 644 (1979).
An Overview of Radiation-Matter
Interactions
637
[15] Dressendorfer, P. V., "Radiation Hardening Technology", in: "Ionizing Radiation Effects in MOS Devices and Circuits". T.P.Ma, P.V. Dressendorfer eds., Wiley, N.Y. (1989) [16] Singley, G. W., Vette, J. L, "The AE-4 Model of the Outer Radiation Zone Environment", NSSDC 72 06, NASA Goddart SFC (1972) [17] Moller, C , "Zur Theorie des Durchgangs schneller Elektronen durch Materie" [A Contribution to the Theory of Swift Electrons Passing Through Matter], in German, Ann. Physik [5], 14, p. 531 (1932) [18] Evans, R. D., "The Atomic Nucleus", McGraw-Hill, N. Y. (1965) [19] Lindhard, J., Scharff, M., Schiott, H. E., "Range Concepts and Heavy Ion Ranges", Mat. Fys. Medd. Dan. VidSelks., 33, p. 1(1963) [20] Biersack, J. P., "Range and Recoil Atoms in Isotropic Stopping Materials", Z. Phys., Ill, p. 495 (1968) [21] Marmier, P., "Physics of Nuclei and Particle", Vol. 1, Academic Press, N.Y. (1969) [22] Beiser, A., "Nuclear Emulsion Technique", Rev. Mod. Phys., 24, p. 273 (1952) [23] Riezler, W., Rudlofif, A., "lonisation und Energieverlust von Alpha-Teilchen in verschiedenen Gasen", [Ionization and Energic Loss of Alpha Particles in Various Gases], in German, Ann. Phys. [6], 15, p. 224 (1955) [24] Fahmer, W. R., Heidemann, K., Schotte, P., "Results of Ion Implantation into Silicon in the 100 MeV Range. Part I: Oxygen and Boron Implantation", Phys. Stat Sol. (a), 70, p. 463 (1982) [25] Fahmer, W. R., Oppermann, K. G., Harms, T., "5 to 100 MeV Ion Implantation and its Simulation by the Marlowe Program", Phys. Stat. Sol. (a), 123, p. 109 (1991) [26] Littmark, U., Ziegler, J. F., "Range Distributions for Energetic Ions in All Elements", Pergamon Press, New York (1980) [27] Heitler, W., "The Quantum Theory of Radiation", 3rd ed., Oxford Univ. Press, London (1954) [28] Hellwege, K. H., "Einfiihrung in die Physik der Atome", [Introduction to the Physics of the Atoms], in German, Springer Verlag, Heidelberg (1964) [29] Schaafs, W., "Erzeugung von Rontgenstrahlen" [Generation of X-rays], in German, in: Handbuch der Physik [Encyclopedia of Physics], S. Fltigge ed.. Springer Verlag, Heidelberg vol. 30, p. 10 (1957) [30] Wlassow, N. A., "Neutronen", [Neutrons], in German, VEB Deutscher Verlag der Wissenschaften, Berlin (1959) [31] Keepin, G. R., "Physics of Nuclear Kinetics", Addison-Wesley, N. Y. (1965) [32] Weinberg, A. M., Wigner, E. P., "The Physical Theory of Nuclear Chain Reactors", University of Chicago Press (1959) [33] Lamarsh, J. R., "Nuclear Reactor Theory", Addison-Wesley, N. Y. (1966) [34] Mueller, G. P., Guenzer, C. S. , "Simulations of Cascade Damage in Silicon", IEEE Trans. NS, NS-27, p. 1474 (1980) [35] Messenger, G.C, Ash, MS, "The effects of radiation on electronic systems", p. 560, Van Nostrand Reinhold, New York (1992)
This Page Intentionally Left Blank
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) © 1999 Elsevier Science B.V. All rights reserved.
639
CHAPTER 10
RADIATION EFFECTS IN ELECTRONIC COMPONENTS
by D . B R A U N I G ( 1 ) and F.WULF(2)
Key items Displacement damage Damage coefficient NIEL (Non Ionizing Energy Loss) Ionization phenomena Total ionizing dose Charge build-up Interface state generation Radiation-induced instabilities Hardening Testing Hardness assurance
Hahn-Meitner-Institut Berlin GmbH (l)Department A T (2)Department DS GlienickerStr.lOO 14109 Berlin - Germany
640
D. Braunig and F. Wulf
Abstract of Chapter 10: Radiation effects in electronic components A silicon device, operating in a radiation environment, and not designed or protected for that purpose will eventually fail. If we exclude dose rate effects, which are not treated in this chapter, there exist two basic failure modes: one due to displacement damage, the other one due to ionizing dose effect. When an energetic particle penetrates inside a material it generates both ionization phenomena and displacement defects. This is illustrated in Section 2 using the results of a computer simulation (the implantation of a high-energy helium ion in amorphous silicon is simulated). In Section 3 we give some generalities on displacement damage. The generated primary defects recombine and give birth to secondary defects which introduce new (allowed) energy levels in the forbidden gap of silicon. These levels perturb the electrical properties of silicon, and especially the excess carrier lifetime. We show in Section 4 how we can define a damage coefficient which links the Jluence of impinging particles to the modification of a given electrical parameter of the substrate. This damage coefficient can be assessed by computing the NIEL (Non-Ionizing Energy Loss) of the particle in the material. We finally show, using some simplifying assumptions, that the reverse of the common-emittor current gain (l/hps) varies linearly with fluence, which is confirmed experimentally. This "macroscopic" approach of displacement damage may not however be sufficient in the future to quantify what happens in highly integrated devices. The impact of ionizing particles in devices is described in Section 5. When ionization occurs in silicon it can give rise to a photocurrent. If it occurs in silica, ionization triggers both a charge build-up in the bulk and the generation of (allowed) energy states at the oxide surface. This is accomplished by means of complex mechanisms, not yet fully understood. We see in Section 6 that most MOS devices are sensitive to ionization effects in silica (also called "total dose ^ff^ct"). During and after irradiation, the threshold voltage shifts and the two contributions to this shift (the trapped charge and the interface states) can be separated experimentally. In Section 7 we review the sensitivity to displacement damage and ionization effects of a large number of device families. A device can be hardened against radiation in at least three different ways: by design, by technological processes and by shielding. This is what we show in Section 8. Finally, in section 9 we take a look at the techniques and procedures used to test the sensitivity of devices to radiation and we mention the various sources of radiation data presently available. Resume du chapitre 10: Les effets des radiations sur les composants electroniques Un composant au silicium, fonctionnant dans un environnement radiatif peut s 'il n 'est pas protege ou congu pour, tomber en panne selon deux modes de defaillance principaux. Ces defaillances sont dues : soit aux defauts de deplacement generes, soit aux effets d'ionisation dus a la "dose cumulee" (si I'on excepte les effets de debits de dose non traites ici). Lorsqu 'une particule penetre dans un materiau, elle genere des phenomenes d 'ionisation et des defauts de deplacement. Ceci est illustre dans la section 2 par une simulation sur ordinateur de I'implantation d'ions helium dans le silicium amorphe. Dans la section 3 nous donnons des generalites sur les defauts de deplacement. Les defauts primaires generes par Tirradiation se recombinent pour donner naissance a des defauts secondaires qui introduisent, dans la bande interdite du silicium, des etats autorises. Ces etats perturbent les proprietes electriques du silicium et en particulier la duree de vie des porteurs libres en exces. Nous montrons dans la section 4 comment on peut definir un coefficient de dommage reliant la fluence des particules a la modification d'un parametre electrique particulier du substrat. Ce coefficient peut etre estime grace au calcul du NIEL (quantite d'energie perdue dans des interactions non-ionisantes). Nous montrons enfin, moyennant certaines hypotheses de calcul, que Tinverse du gain en emetteur commun d'un transistor bipolaire (J/hf^ varie lineairement avec la fluence. Ceci est bien confirme experimentalement. Cette approche "macroscopique " des defauts de deplacement ne sera toutefois plus suffisante dans le futur pour quantifier ce qui se produit dans les dispositifs tres integres. L 'action des particules ionisantes dans les dispositifs est decrite dans la section 5. S 'ils se produisent dans le silicium, les effets d'ionisation peuvent etre a I'origine d'un photo-courant. S'ils se produisent dans la si lice, ces effets sont a I'origine d'une charge piegee dans Toxyde et d'etats d'interface, et ceci par des mecanismes complexes pas toujours compris actuellement.
Radiation Effects in Electronic Components
641
Nous voyons dans la section 6 que ce sont surtout les dispositifs de type MOS qui sont sensibles aux defauts d'ionisation dans la silice (appeles aussi effets de dose cumulee). Sous irradiation, la tension de seuil derive et les deux contributions a cette derive (charge piegee et etats d'interface) peuvent etre separees experimentalement. Dans la section 7, nous passons en revue un grand nombre de families de dispositifs vis-a-vis de leur sensibilite aux defauts de deplacement et aux effets d'ionisation. Le "durcissement" d'un dispositif est possible d'au moins trois fagons differentes : par conception, par adaptation de la technologie, par blindage. C'est ce que nous montrons dans la section 8. Enfin, dans la section 9, nous regardons les techniques et les procedures utilisees pour tester la tenue des composants aux radiations et les sources d'information actuellement disponibles. Zusammenfassung zu Kapitel 10: Strahlungseffekte in elektronischen Bauteilen Ein Silizium-Bauelement wird bei einem Einsatz in einer Umgebung, in der hochenergetische Strahlung existiert, moglicherweise ausfalien, wenn es nicht entsprechend ausgesucht oder geschUtzt ist. Abgesehen von Dosisraten-Effekten, die in diesem Kapitel nicht behandelt werden, kann man die Schddigungsmechanismen in zwei grundsatzliche Klassen einteilen: die Verlagerungsschddigung und die Schddigung, die durch die absorbierte Dosis gekennzeichnet ist. Wenn energetische Teilchen in Material eintreten, generieren sie sowohl Verlagerungen von Wirtsatomen (Gitteratomen) als auch lonisationsdefekte. Im Abschnitt 2 wird dies an Hand einer numerischen Simulation (Implantation von He - lonen in amorphen Silizium) gezeigt. Im Abschnitt 3 werden die grundsdtzlichen Mechanismen des Verlagerung von Gitteratomen beschrieben. Die Primdrdefekte, die durch radioaktive Strahlung verursacht werden, konnen durch Rekombination kompensiert werden und verursachen Sekunddrdefekte. Dies fUrht zu erlaubten Zustdnden in der verbotenen Zone des Siliziumtrdgers. Die erlaubten Zustdndebeeintrdchtigen die elektrischen Eigenschaften des Siliziums und im besonderen die Lebensdauer der Uberschufitrdger. Der 4. Abschnitt zeigt, wie ein Zerstorkoeffizient im Zusammenhang mit dem Partikelflufi und der Beeintrdchtigung der jeweiligen elektrischen Eigenschaften des Substrats definiert werden kann. Dieses koeffizient kann mit hilfe eines Verfahrens nach NIEL abgeschdtzt werden (Verlustenergie durch Nicht lonisation). Schlubendlich wird durch verschiedene Rechenmodelle gezeigt, dafi die Umkehrung der Verstdrkung eines Bipolar Transistors linear von dem DurchfluB abhdngt. Diesen Effekt kann man auch gut experimentall nachweisen. Dieser makroskopische Ausatz hebt auf die Verlagerung von Gitteratomen ab und wird kunftig nicht mehr geniigen, wenn die Prozesse in hochintegrierten Schaltungen analysiert werden sollen. Die Wirkung ionisierender Strahlung auf Halbleiter-Bauelemente wird im Abschnitt 5 beschrieben. lonisierende Strahlung kann in Silizium zum Entstehen von Fotostromen fiihren. Wenn dies in Si02Deckschichten geschieht, fiihrt dies zum Ladungsaufbau im Volumen und dariiber hinaus an der Phasengrenze Si/Si02 zur Generation von Phasengrenz-Zustdnden. Es handelt sich dabei um aufierordentlich komplexe Prozesse, die noch nicht voll verstanden sind. In Abschnitt 6 wird gezeigt, dafi vor allem MOS-Feldeffekt-Bauelemente von der lonisationsschddigung betroffen sind. Wdhrend und nach Beendigung der Bestrahlung kommt es zu einer Verschiebung der Schwellenspannung, deren Ursache in den Ladungsbeitrdgen der Oxidladungen einerseits und die der Phasengrenz-Zustdnde andererseits liegt. Beide Anteile konnen experimentell separiert werden. Eine Ubersicht Uber die Empfindlichkeit einer grofien Anzahl von diskreten und integrierten Bauelementen wird in Abschnitt 7 gegeben, getrennt nach Verlagerungs- und lonisations-Schddigung. Die Moglichkeit der „Strahlungshdrtung" geht von unterschiedlichen Angdngen aus: durch Entwurfsbedingungen, durch technologische Prozesse und durch Abschirmung. Diese Strategien werden in Abschnitt 8 dargelegt und an Hand von Beispielen erldutert. Schliejilich werden in Abschnitt 9 die unterschiedlichen Aspekte zum Testen der Empfindlichkeit von Bauteilen gegeniiber Strahlung beschrieben und die verschiedenen Testinstrumente, sowie Datenbanken mit Testdaten aufgezdhlt.
Chapter 10: Radiation effects in electronic components Table of contents List of symbols and abbreviations used 1. Introduction 2. Generalities on radiation - induced phenomena 2.1 Three basic ways of interacting 2.1.1 Ionization phenomena versus displacement damage 2.1.2 Nuclear reactions 2.1.3 Summary 2.2 An illustration yielded by a computer simulation 2.3 Consequences for materials encountered in semiconductor devices 2.4 A simple nomenclature of primary displacement defects 2.5 Consequences of displacement damage in Si/Si02 devices 2.6 Consequences of ionization phenomena in Si/Si O2 devices 3. Generalities on displacement damage 3.1 Basic considerations on energy transfer 3.1.1 Expressions of the transferred energy 3.1.2 The displacement threshold energy 3.2 Displacement cross-section 3.2.1 Differential displacement cross-section 3.2.2 Experimental and calculated displacement cross-sections 3.3 The role of secondary defects 3.3.1 The formation of secondary defects 3.3.2 The impact of secondary defects on electrical properties 3.4 The concept of displacement damage coefficient 4. Device degradation due to displacement damage 4.1 Damage coefficient related to minority carrier lifetime 4.1.1 Definition of K^ 4.1.2 Expression given by a first-order approach 4.1.3 More comprehensive approaches 4.1.4 Some experimental K^ values 4.1.5 Variations of K^. with incident energy 4.2 Damage coefficients related to other parameters 4.2.1 Coefficient associated with carrier removal 4.2.2 Coefficient associated with carrier mobility
Radiation Effects in Electronic Components 4.3 Non-ionizing energy loss 4.3.1 Definition 4.3.2 Some remarkable results 4.4 Damage annealing 4.5 Microdosimetry effects 4.6 Displacement damage in bipolar transistors 4.6.1 Basic equations 4.6.2 Consequences 4.7 Displacement damage in MOS devices Generalities on ionization damage 5.1 The sensitivity of MOS devices 5.2 Dose and electron-hole pair production 5.2.1 Ionization energy 5.2.2 (Ionization) dose and dose rate 5.2.3 Generation rate 5.2.4 Consequences of pairs generation in an unbiased semiconductor material: excess carriers 5.2.5 Consequences of pairs generation in a reverse-biased pn junction: photocurrent 5.2.6 How to calculate the absorbed ionizing dose 5.3 A review of charge build-up mechanisms in silica and at its interfaces 5.4 Carrier generation and recombination in silica 5.4.1 The generation of electron-hole pairs 5.4.2 The recombination mechanisms just after generation 5.5 Carrier transport in siUca 5.6 The build-up of the oxide-trapped charge 5.7 The generation of interface states 5.8 The annealing of the oxide-trapped charge 5.9 The microscopic nature of hole traps and interface states 5.9.1 Intrinsic defects 5.9.2 Extrinsic defects 5.9.3 Observed correlations 5.10 Summary and discussion Radiation-induced instabilities in MOS devices 6.1 The affected electrical parameters 6.2 The two contributions to charge build-up 6.3 Some experimental examples 6.4 Miscellaneous effects
643
644
D, Bramig and F. Wulf
7. A review of radiation damage in various semiconductor components 7.1 Introduction 7.2 Discrete devices 7.2.1 General remarks 7.2.2 Diodes 7.2.3 Transistors 7.2.4 Optoelectronic Devices 7.3 Integrated circuits 7.3.1 Linear Integrated Circuits 7.3.2 Digital Integrated Circuits 7.4 An overview 8. The hardening of electronic devices 8.1 Introduction 8.2 Hardening by technology 8.2.1 The hardening of MOS technologies 8.2.2 The hardening of bipolar technologies 8.3 Hardening by layout 8.4 Hardening by shielding 9. Hardness testing and hardness assurance 9.1 Hardness testing: problems encountered and procedures used 9.2 The testing of displacement damage and associated problems 9.3 The testing of ionizing dose effects and associated problems 9.4 Sources of radiation test data Acknowledgements List of references
Radiation Effects in Electronic Components List of symbols and abbreviations used A C-ox C
D D Dit dox E Eb Ed EG
Eion
cm2 F.cm-2 cm.s-l rad rad.s-l cm-2, eV-l cm eV,keV,MeV eV eV eV eV
Ex
MV-cm-l eV
Eth
eV,keV
El e
eV, keV, MeV C
fH G
-
Eox
#.cm-3
go
#.cm-3.s-l #.Gy-lcin-3
I
Wsm-2
linj
Acm-2
kn
#-lcm-l
H kx
V-s-# -1 cm2.s-l
L„
cm
Lp M,M2 m. Ml
cm
me
g
G
g g
Junction area Oxide capacitance Velocity of light (c = 2.99793.10-8 m/s in vacuum) Dose Dose rate Density of interface states (traps) Oxide thickness Energy Binding energy Displacement energy Energy band gap (in a semiconductor) Ionization energy Oxide electric field Energy level introduced in the band gap by a (secondary) defect Threshold energy of a particle (kinetic energy required to displace a lattice atom) Energy of the incident particle (before collision) Elementary charge Fractional yield Number of e-h pairs generated per unit volume by an absorbed dose Rate of generation of e-h pairs per unit volume Generation rate (number of electron-hole pairs generated per cm^ and per Gray) Energy of a photon beam lonization-induced current density Damage factor (coefficient) associated with carrier removal Damage factor (coefficient) associated with carrier mobility Damage factor (coefficient) associated with carrier lifetime Minority carrier diffusion length (for electrons Ln = (Dn xy/i) Minority carrier diffusion length (for holes Lp = (Dp xy/i) Mass of the lattice (target) atom Mass of the incident electron, resp. ion Rest mass of the electron (me = 5.49-10-4 amu)
645
646
NA
Nit Not Nt Ntoo
Ntrad n no An P Po Qit AQit Qot AQot Qinj Se rr Ti T2 Vth
D. Braunig and F, Wulf cm-3 cm-2 cm-2 cm-2 cm-2 cm-3 cm-3 cm-3 cm-3 cm-3 cm-3 Ccm-2 Ccm-2 Ccm-2 Ccm-2 Ccm-2 MeV.cm2.g-l nm eV, keV, MeV eV, keV, MeV V
AVth AVit
V
^Vot
V
Vth
W
cms-l cm
X
-
Zi
-
Z2
-
H
cm2.V-l-s-l #-cm-2
X
nm
9s
V g.cm-3
P
V
Number of lattice atoms per unit volume Density of interface states Density of oxide-trapped charges Density of trapping centers Initial density of neutral (hole) traps Concentration of radiation-induced electrically active defect centers Concentration of free electrons Concentration of free electrons at equilibrium Concentration of excess free electrons Concentration of free holes Concentration of free holes at equilibrium Density of the interface-trapped charge Change in Qit Density of the oxide-trapped charge Change in Qot lonization-induced injected charge density Electronic stopping power Thermalization distance Energy of the incident particle (after collision) Energy of the struck lattice atom (after collision) Threshold voltage Change in threshold voltage Contribution of the interface charge to the change in threshold voltage Contribution of the oxide charge to the change in threshold voltage Thermal velocity (of carriers) Width of the space charge layer Coordinate Atomic number of the incident particle Atomic number of the struck target atom Mobility of free carriers Fluence of particles Mean separation distance Surface potential Mass density
Radiation Effects in Electronic Components
P,p(x)
C.cm-3
CJc
cm2
<^n,p,h
cin2
C^d
cm2
X,Tr
s s
1^0,1 ^G
amu CCD CID CTRW ESR NIEL RT SIMOX TID C(V) I(V) IDS(VG)
IC MOS MOSFET
647
Space charge concentration, variation thereof Carrier capture cross-section Capture cross-section for electrons, respectively holes Displacement cross-section Excess carrier recombination lifetime Excess carrier recombination lifetime before irradiation, resp. after irradiation Generation lifetime Atomic mass unit Charge Coupled Device Charge Image Device Continuous Time Random Walk (model) Electron Spin Resonance (technique) Non-Ionizing Energy Loss Room Temperature Separation by IMplantation of OXygen Total Ionizing Dose Capacitance - Voltage curve of a MOS capacitor Current-Voltage curve (of a MOS capacitor or of a MOS transistor) Characteristics of a MOS transistor (Drain - Source current versus gate voltage) Integrated circuit Metal - Oxide - Silicon structure MOS Field Effect Transistor
648
D, Brdunig and F, Wulf
1. INTRODUCTION A high-energy particle interacts with matter in different ways depending on its energy, its mass, its charge state and the material it interacts with. Among the possible interaction mechanisms described in Chap. 9 of this volume, the most important ones for our applications are: • Elastic scattering (on nuclei), • Inelastic scattering (on shell electrons), • Nuclear reactions. Electromagnetic quanta can be treated like high-energy particles since their main effect on a material is the generation of energetic electrons which in turn belong to the class of particles. All these interaction mechanisms have been described at length in Chap. 9. The present chapter is mostly devoted to the effects, induced in electronic components, by the radiations encountered in the space environment. These radiations include: • Electrons and their associated Bremsstrahlung, • Protons, • Heavy and high-energy ions, • Neutrons, • Electromagnetic radiation, coming from the Sun or from nuclear excitations. All these components of the space environment may produce some damage inside a semiconductor device according to one of the above-mentioned mechanisms or by more than one. For instance, an energetic heavy ion at the beginning of its travel can interact first with the semiconductor material by ionization (its interaction with shell electrons yields free electrons). Then, after slowing down, it can interact by displacing a lattice atom (interaction with the nucleus). There is even a certain probability of exciting the nucleus, which may trigger the release of some nucleons and/or secondary particles which in turn act as mentioned above. It is therefore a good practice to consider that two types of effects contribute to radiation induced damage: "Displacement" and "Ionization". The latter was also often called "Total Ionizing Dose" (TID) effect in the past but should more correctly be called "Ionizing dose" effect. Since the dose rate in space never exceeds some mrad (Si)/s, (some tens of |iGy (Si)/s), transient effects due to high dose rates can realistically be omitted. The shrinking size of semiconductor devices is the source of yet another family of radiation-induced failure modes (the Single Event Phenomena or SEP) when the active region is hit by a heavy ion or a proton. These failure modes, which are due to entirely different mechanisms, unrelated to displacement damage or ionizing dose effect, are described at length in Chap. 12 of this volume.
Radiation Effects in Electronic Components
649
The goal of the present chapter is thus to describe the two failure modes through which most unprotected devices eventually fail in a radiation environment, namely: • displacement damage, • ionizing dose effect. This description is developed in nine sections. In Section 2 we review the basic results of radiation-matter interactions. We recall that particles interact in basically three ways: they either ionize the target atom, or collide elastically with its nucleus, or trigger a nuclear interaction within its nucleus. One of these three types of interaction predominates depending on the kinetic energy of the incoming particle, on its charge, on its nature and on the nature of the target material. We show that high-energy charged particles generate mostly ionization phenomena whereas neutrons cause primarily displacement damage. These two basic phenomena are illustrated by a computer simulation applied to the implantation of helium ions in amorphous silicon. We also give a simple nomenclature of primary displacement defects and indicate that at room temperature most primary defects recombine and give birth to (less numerous) secondary defects which will affect the electrical properties of the material. We review some generalities on displacement damage in Section 3. For that purpose we define such parameters as: displacement energy, displacement threshold energy and displacement cross section and we give some experimental values. Primary defects due to displacement damage, such as interstitials and vacancies, readily recombine at room temperature and give birth to secondary defects which introduce new energy levels in the energy band gap of silicon. We review the carrier exchange mechanisms which are furthered by the creation of such new energy levels, namely: carrier generation, carrier recombination, carrier trapping, carrier removal and compensation. Finally, we introduce the concept of displacement damage coefficient and we see that the most sensitive one in silicon is that related to the minority carrier lifetime. In Section 4 we describe how semiconductor devices are affected by displacement damage. We first show that it is possible to derive an expression for the displacement damage coefficient associated with minority carrier lifetime. We show that the value of this coefficient depends both on the doping level of the semiconductor material and on the carrier injection level. The same holds for the displacement damage coefficients associated with carrier removal and with carrier mobility. We then introduce the NBEL concept. One has observed that the above coefficients behave linearly with the amount of Non-Ionizing Energy Lost by the particle in the material. Since NIEL values can be computed, damage coefficients can also be computed. They are shown to agree quite well with experimental results which confirms the validity of the NIEL approach. Dark current measurements, performed on pixel cells of Charge Image Devices, show that for very small devices, radiation-induced displacement damage is not necessarily homogeneously distributed and that one must use statistical laws.
650
D, Braunig and F. Wulf
Two categories of bipolar devices are sensitive to displacement damage: those whose functioning depends mostly on minority carrier lifetime (bipolar transistors and solar cells) and those easily affected by carrier removal (lightly-doped high-voltage devices, power devices and particle detectors). We show that the reverse of the common emitter current gain of bipolar transistors varies linearly with particle fluence. lonization-related phenomena are complex and varied. We describe them in Section 5. Ionization, due to the passage of a high-energy particle or photon has different consequences whether it occurs in a semiconductor or in an insulating material. In a semiconductor, ionization generates electron-hole pairs. We show that the amount of pairs created depends on the nature of the irradiated material and on the absorbed ionizing dose. In the absence of electric field, the e-h pairs recombine shortly after their creation whereas when an electric field is applied most pairs are separated and generate a "photocurrent". In an insulating material (silica or silicon nitride), ionization generates e-h pairs also. Part of the pairs recombine while others can be separated by an applied electric field. In silica the remaining electrons drift easily while the holes get involved in a complex trapping and detrapping process. This process is at the origin of two phenomena: a charge build-up and the generation (prompt or delayed) of interface states. We show that the generation, the trapping and the annealing of the oxide-trapped charge in silica proceeds in many steps. Likewise the generation and the annealing of interface states is complex. It involves both intrinsic and extrinsic defects (hydrogen-related species) in mechanisms which are not fully understood yet. Because MOS devices are surface devices, they are sensitive to ionization phenomena (followed by charge build-up). We review in Section 6 the electrical parameters most affected by irradiation. We also show that the careful characterization of some parameters can in tum be used to evaluate the amount of ionization - induced damage. In Section 7 we give a survey of the radiation sensitivity of present families of semiconductor components, both discrete devices and integrated circuits. The hardening of electronic devices is discussed in Section 8. We show that there exist several ways to render a device less sensitive to radiation. This can be accomplished either by changes in processing technology, or by adapting the layout, or by shielding. Finally in Section 9, we take a look at hardness testing and hardness assurance. We show that the ionizing dose at which a device eventually fails depends very much on the ionizing dose rate. The effects of radiations on electronic components were already discussed in Chap. 18 of Vol. 2 of this series (published in 1989). Since then many approaches have changed and many new phenomena have either emerged or been better described. The present text updates and reinforces several sections of that previous chapter while leaving some others virtually unchanged. It is thus strongly suggested to read this earlier text before studying the present one.
Radiation Effects in Electronic Components Two aspects, briefly discussed in Vol.2 are now detailed in three new chapters of the present volume (Vol. 3): radiation-matter interactions are described at length in Chap. 9, the electronic nature of electrically active defects in silica as well as charge build-up mechanisms are treated in Chap. 11, while "soft errors", renamed single event phenomena, are developed in great detail in Chap. 12. The material presented in this chapter should help the reader to get a good qualitative and quantitative understanding of the many mechanisms that take place when a device is irradiated. This understanding is a prerequisite for anyone who wants to predict properly the operational lifetime of a given device in a specified space environment. For an even more thorough presentation of the effects of radiations on electronic components, the reader is refered to several comprehensive text books devoted entirely to this topic and published in the last decade [147-150]. 2. GENERALITIES ON RADIATION - INDUCED PHENOMENA Radiation-matter interactions are thoroughy described in Chap. 9 of this volume. We thus merely recall in this section those basic results which will be useful to describe phenomena occuring in semiconductor devices operating in the type of radiation environment encountered in space. 2.1 THREE BASIC WAYS OF INTERACTING
An energetic particle can interact with matter in basically three ways, depending on its mass, its charge state, its energy and on the nature of the target material itself: • it can interact with the shell electrons of a target atom, in which case the latter becomes ionized, • it can collide with the nucleus of a target atom, in which case the transmitted energy may be sufficient to displace this atom (which becomes then a recoil atom) and thus generate some displacement damage, • it can trigger a nuclear reaction in a target nucleus, in which case the latter gets split and emits energetic fragments. Both recoil atoms and nuclei fragments can in turn behave as energetic particles in the material, and (energy permitting) lead to a cascade of phenomena. 2.1.1 Ionization phenomena versus displacement damage If the incident particle is charged and highly energetic, ionization phenomena predominate. In the space environment this case includes electrons, protons and heavy ions. Ionization phenomena in the irradiated material are due both to the incident particles and to the recoil atoms, (some displacement damage is also produced both by incoming particles and recoil atoms, but to a lesser extent).
651
D. Brdunig and F, Wulf
652
Most of the kinetic energy of the impinging particle is consumed in the ionization process and only a small fraction of that energy (typ - 10"^) is used for displacement damage. The latter however tends to increase as the kinetic energy of the moving particle decreases. If the incident particle is neutral (case of neutrons), displacement damage predominates, which may lead to a cascade of displacements. However, even in this case, some ionization by recoil atoms does take place. Neutrons are rare in outer space because of their short lifetime (typ. < 15 minutes), but may be found in the upper atmosphere (as a by-product of cosmic rays interacting with nitrogen and oxygen molecules). Depending on the nature of the target material (semiconductor or insulator), on values of the local electric field, on temperature and on a few other parameters, both ionization phenomena and displacement damage may either be merely transient or become permanent features of the irradiated material. Radiation type Electron
Protons and ions
Photons
Energy range
Main type of interaction
Primary effects in Si and SiO^
Secondary effects in Si and Si09
Low energy Medium energy High energy (> a few MeV) Low and medium energy
Inelastic scattering Elastic scattering Bremsstrahlung
Ionization phenomena
Displacement damage
High energy (protons, deuterons, alphas) (> a few MeV) Low energy (<1 MeV) Medium energy High energy (> 10 MeV) Low energy
Neutrons High energy
Inelastic scattering and Elastic scattering Nuclear reaction
Displacement damage and Ionization phenomena
Bremsstrahlung Photoelectric effect Compton effect Pair production Capture (and nuclear reaction) Elastic scattering
Ionization phenomena
Displacement damage
Displacement damage
Ionization phenomena (through recoil atoms)
Table 10.1 Review of radiations encountered in space and summary of effects produced. In the above "inelastic scattering" refers to scattering on shell electrons whereas "elastic scattering" refers to scattering on (target) nuclei (after Chap. 9).
Radiation Effects in Electronic Components
653
2.1.2 Nuclear reactions If the incident particle is highly energetic, the nucleus of a target atom may be excited or even split. This is for example the case of protons encountered in outer space whose energy exceeds « 8 MeV. This nuclear reaction leads to the emission of fragments which in turn may generate ionization and displacement damage. This case will not be dealt with in this chapter, but is described in Chaps. 9 and 12. 2.1.3 Summary The main radiation-matter interactions and the corresponding energy ranges are summarized in Table 10.1 (quoted from Chap. 9). 2.2 A N ILLUSTRATION YIELDED BY A COMPUTER SIMULATION
To illustrate the above statements, we can use the results of a computer simulation. In the case presented next we have simulated the slowing down of 550 keV helium ions (i.e. alpha particles) inside a silicon target [1]. Figure 10.1 illustrates the loss of kinetic energy, due to ionization phenomena (loss expressed in eV per Angstrom of path and per ion), both of the incoming ion and of the produced recoil atoms, as a function of penetration depth. Figure 10.2 illustrates the final distribution of the implanted He ions by giving their rest position. The average range is found to be 2.07 micron.
1.00E+02 1.00E+01
: r E
Ions
h
1.00E+00 V
F
% 1.00E-01 ^
1.00E-02 1.00E-03
vs/*^
1/
Recoils
\
h 1.00E-04 V F 1.00E-05 I i_. O.OOE+00
,, 1 — J . — i —
,.
1
5.00E+03
1
1
1
1
1
1
1.00E+04
1
—
1
1.50E+04
1
1
1
i
2.00E+04
1
1
1
11
2.50E+04
Depth/A'
Fig. 10.1 The energy lost (through ionization) by a 550 keV helium ion inside (amorphous) silicon is plotted versus penetration depth (top curve). The energy lost (through ionization) by the Si recoil atoms thus produced is also plotted (bottom curve). Both results come from computer simulations.
654
D, Brdunig and F, Wulf 4.00E-04 3.50E-04
2.07Mm
[
A
[ He lons|
2.50E-04
I
2.00E-04
<
1.50E-04 1.00E-04
\
'
[
i]
/ /
5.00E-05 O.OOE+00
h 1 r h
3.00E-04 c
1
r
1^1
O.OOE+OO
1
'-^' -^^^
1
5.00E+03
1.00E+04
JL ±_u
1.50E+04
2.00E+04
2.50E+04
Depth/A*
Fig. 10.2 The final distribution of 550 keV implanted helium ions in silicon.
At the end of its travel, the ion transfers its kinetic energy mostly through collisions, thus giving birth to many configurations of primary defects. This is illustrated in Fig. 10.3 which gives the distribution of vacancies (expressed per ion and per Angstrom) created by the helium ions and the silicon recoil atoms. The scatter of the data is due to the straggling and to the statistical nature of the slowing-down process. We also note that more vacancies will be produced by heavier recoil atoms than by helium ions. 3.00E-02
f\
|Recoils(Si)|
o_ 2.00E-02
>
1.00E-02
'^'
O.OOE+00 O.OOE+00
|lons(He)(
\'
—I
5.00E+03
1.00E+04
1.50E+04
2.00E+04
2.50E+04
Depth/A*
Fig. 10.3 Distribution of vacancies generated right after the implantation of 550 keV helium ions in silicon. The simulation shows both the vacancies produced by the implanted ions and by the recoil atoms.
Radiation Effects in Electronic Components
655
23 CONSEQUENCES FOR MATERIALS ENCOUNTERED IN SEMICONDUCTOR DEVICES
The above example helps us point out the basic features of the radiation-induced phenomena in those materials encountered in semiconductor devices. • Regardless of whether the impinging particle is charged or neutral, and possesses a high or low kinetic energy, both ionization phenomena and displacement damage are generated (directly or indirectly). However the rate of generation and the relative weight of each "phenomenon", depends on the nature of the radiation species, on its energy and on the nature of the target material. • Energetic charged particles interact primarily with the shell electrons of the target atoms, at the beginning of their path when their kinetic energy is still large. (Rutherford scattering is described in detail in Chap. 9). Once the (incoming) charged particles have lost most of their kinetic energy, they interact with atom nuclei giving thus rise to displacement damage. • Neutrons interact with the nuclei of the target atoms. The primary interactions and the ensuing displacement phenomena are well understood (and described in some detail in Chap. 9). A simple nomenclature of such primary defects is given next in § 2.4. Recoil atoms displaced by neutrons can in tum ionize the target material. • The direction of the incident particle, with respect to the crystalline axis of the target material plays an important part because of channeling effects and of the anisotropic properties of the displacement energy (displacement energy is defined in Sect. 3). • In the above computer simulation, the thermal stability of the primary defects and the annihilation or recombination of these defects have not been taken into account. However, we shall see later on that annihilation and recombination lead to a final distribution of electrically active defects which is quite different from that encountered immediately after irradiation. (Nevertheless, the simulation of particle interactions with solids, plays a major role in the description of radiation-induced defects). 2.4 A SIMPLE NOMENCLATURE
OF PRIMARY DISPLACEMENT DEFECTS
When an impinging atom transfers part of its incident energy through a collision mechanism, various phenomena may take place: atomic displacements, creation of vacancies and interstitials, generation of phonons and replacements. A simple set of parameters may be introduced to distinguish these various possible cases. Let us call E^ and Zj the energy and the atomic number of the incident particle before collision and Tj and Zj the same characteristics after collision. Let us call E2 and Z2 the energy and the atomic number of the target lattice atom (in this case E2 = 0) before collision and T2 and Z2 the same characteristics after collision. Let us moreover call E^j the displacement energy of a lattice atom, i.e. the energy which a lattice atom must gain to move away from its regular site.
D, Brdunig and F, Wulf
656
An incident particle will permanently displace a lattice atom if T2 is large enough to break the chemical bonds that hold the lattice atom in place and to move it sufficiently far away from its original location so that it does not fall back in place. For most semiconductor materials, experimental E^ values are found between 6 and 30 eV. There exists at least five possibilities associated with the displacement of a lattice atom. Let us review them. 1. If T2 > Ej and T^ > E^, then both the incident atom and the recoil atom move away, thus generating a cascade of defects and leaving a vacancy behind. 2. If T2 > Ej and Tj < E^, then the incoming atom substitutes the original lattice atom which has been evicted. Two sub-cases must then be distinguished. I If Zj = Z2» the incoming atom is identical to the lattice atom which has been evicted. This is called a replacement and Tj is released in the form of phonons. ii If Zj ^ Z2, the incoming atom is different from that it replaces and is called an antisite atom. 3. If T2 < E(j and Tj < E^, then the incoming atom is stopped and becomes an interstitial atom. Both Tj and T2 are released as phonons. 4. If T2 < E(} and Tj > E^, then the incoming atom continues its collision path but no lattice atom is evicted. Energy T2 is released as phonons. The above five cases are sunmiarized in Table 10.2. Case T2>EH
T, >Ed;T2>Ed Tj < Ej; T2> E^; Zj = Z2 T]<Ed;T2>Ed;Zi;eZ2 Ti<Ed;T2<Ed Ti>Ed,T2<Ed
Result —> Displacement —> Vacancy -> Replacement, Tj -> Phonons Z2 —> Interstitial; Zj -> Antisite Zj -^ Interstitial; (Tj + T2) -^ Phonons T2 -> Phonons
Table 10.2 A simple nomenclature of primary generated defects (after [I]).
2.5 CONSEQUENCES OF DISPLACEMENT DAMAGE IN si/siOi DEVICES The irradiation of an Si/Si02 structure generates displacement defects both in silicon and in silica. In silicon, radiation-induced primary displacement defects recombine and give birth to more stable secondary defects. These will in tum affect directly the electrical properties of the silicon substrate in a permanent and fairly stable fashion, as described next in Sect. 3.
Radiation Effects in Electronic Components In silica, radiation-induced primary displacement defects recombine also but in a somewhat more complex fashion, due to the amorphous nature of the silica network and to the presence of widely different network atoms (silicon, oxygen, hydrogen and other foreign atoms). Some of the resulting secondary defects are electrically active and some not. A review of electrically active paramagnetic defects encountered in silica is given in Chap.ll. This review shows that the most frequently encountered defects are E' centers, which are all indeed linked to the presence of an oxygen vacancy. Electrically active defects located in a silica layer can also affect the electrical properties of a device but in an indirect way. These defects can capture (and later re-emit) carriers which happen to transit through the layer during the normal operation of the device, or which are generated nearby by ionization phenomena. The capture of such carriers leads to a charge build-up and to electrostatic influence phenomena, which in tum affect the electrical characteristics of the underlying device. This will be described in Sects. 6 and 7. 2.6 CONSEQUENCES OF IONIZATION PHENOMENA IN si/si02 DEVICES Energy lost through ionization phenomena affects differently the silicon substrate and the insulating silica layers of an irradiated device. In silicon, ionization, i.e. the creation of electron-hole pairs, is followed by a fast recombination of most pairs. The carriers which do not recombine drift under the action of both the local electric field and diffusion gradient and give rise to a photocurrent. The introduction of a photocurrent modifies the electrical properties of the device, as long as ionization lasts, but leaves no permanent effect once ionization has ceased. In irradiated silica, the generated carriers which have not recombined either drift under the action of the local electric field and diffusion gradient or get trapped on the numerous electrically active defect centers. Ionization in silica thus modifies the electrical properties of the device, mostly through charge build-up mechanisms and influence effects. (Charge buildup in silica is described extensively in Chap. 11). 3. GENERALITIES ON DISPLACEMENT DAMAGE Let us begin our review of radiation-induced phenomena in semiconductor materials and devices by examining the case of displacement damage. 3.1 BASIC CONSIDERATIONS ON ENERGY TRANSFER
We have seen that a lattice atom can be displaced if the energy it gains through a collision is larger than displacement energy E^. Let us try next to calculate the amount of energy transferred during an elastic collision and infer the incident energy of a particle capable of transferring energy E^. (A more detailed discussion can be found in Chap. 9).
657
Z). Brdunig and F, Wulf
658
3.1.1 Expressions of the transferred energy When a particle of mass m and of kinetic energy Ej collides elastically with a target atom of mass M, it is generally deflected at an angle 0 as illustrated in Fig. 10.4a. After collision, the incoming particle still possesses energy Tj while the struck atom gains energy T2. If T2 > Ej, the struck atom is ejected at angle 9 as illustrated. In this general case Tj is given by ^
(
2
2 2
^\^/2
mcosd + yM - m sin uj
Tj=E^
(10.1a)
m-^ M
This expression simplifies in the case of a "head-on" collision (illustrated in Fig. 10.4b). In this case 0 = jc, (p = 0 and expression (10.1a) can be written.
Ti=E
(M
- mf
(10.1b)
m, El
CO
M,T2
m,Ti
b) Fig, 10A Illustration of an elastic collision a) general case b) head-on collision
In the general case, the energy transmitted to the struck atom is given by
To =4E
m- M
y c o ^ (p
(m + M)
(10.2a)
Radiation Effects in Electronic Components
659
which, in the case of a head-on collision, simplifies into
T2-4E1
m- M
(10.2b)
(m+Mf If the impinging particle is a relativistic electron (in which case nOg « M), the energy of the recoil atom, in the case of a head-on collision, is given by
^2max
= 4E, me 1 + M Irrig c
(10.3)
where mg is the rest mass of the electron (nig = 5.49 10-^ amu) and c is the velocity of light (mec2 = 0.511MeV). If the electron is scattered at angle 6, then the recoil energy becomes
T2 =T2fnax-sin^
(10.4)
I^J
3.1.2 The displacement threshold energy A minimum amount of energy (Ejjju^) is required from the incident particle to displace a regular lattice atom, i.e. to transfer to this lattice atom, an energy T2 > E^j. This minimum energy is also called the displacement threshold energy (E^). In the case of a relativistic electron of mass nig and for a head-on collision (for which T2 is maximum), with a lattice atom of mass M, this threshold energy is given by: \l/2 ^th
=E 1 min ~ m-e • ^
Ed M 1+ 2m, c^ ' me
-1
(10.5a)
In the case of an impinging ion of mass m and of energy E^, the threshold energy is given by
£j {m+ MY ^th ~" ^1 min ~
4m M
(10.5b)
660
D. Braunig and F. Wulf
80 r70 60
^/ 7
-
/
50 40
30
/
/
-
/
Corbett and Bourgoin / \ ^ /
/Q / (Ref.S)
Fig. 10.5 Illustration of an empirical relationship linking the average displacement threshold energy and the reciprocal lattice constant (after [3.4]).
/ 7^*^
20
ati
15
o 1/ //
Revised
Sir//
/yzos 10 ~ CdSe r- A^ \ ^ ///P—GaAs 9 — CdS—56o ZnSe 8 _ 7
// I
0.15
1 1 1 1
^GaSb -I
J
0.20
1—1—1—1
1 1 1 1 1 1
0.25
0.30
After L. Pauling [2], displacement energy E^ is related to the binding energy E^ of the atomic bond. The value of E^ is about twice that of E^. Figure 10.5 gives an empirical representation of E^j versus the reciprocal lattice constant as well as some experimentally observed values [3, 4]. This purely phenomenological approach can be helpful to gain a first order value of Ej. Table 10.3 gives some examples of threshold and displacement energies for selected semiconductor materials and for two types of impinging particles (electrons and protons). The values given in this table are estimates since experimental E^^ values depend also on the direction of the velocity vector with respect to the crystal orientation of the lattice. Moreover some old literature values have recently been questioned [4]. Si
Ge
GaAs Ga
Ed[eV] Eth (electrons) [keV] Eth (protons) [keV]
13-21 166-268 0.1-0.16
SiC As
15-27.5 7-11 492-903 188-275 200-292 0.28-0.51 0.12-0.19 0.13-0.21
Si
C
21.8(C) 220 108 0.15 0.65
Table 10.3 Displacement energies [in eV] and threshold energies [in keV] for various particles and semiconductor materials.
Threshold energy E^^ is an important quantity used in the modeling of displacement damage (especially damage due to electrons); or speaking more specifically in the modeling of the defect introduction rate.
Radiation Effects in Electronic
661
Components
3.2 DISPLACEMENT CROSS-SECTION
Let us call N^j the number of primary defects created per unit volume [cm"^] of a material by a fluence (j) of incoming particles [#.cm"2], Ny^ being the number of lattice atoms per unit volume [cm-^]. The global displacement cross-section is then defined by (10.6) 3.2.1 Differential displacement cross-section The probability of creating a first displacement depends on the energy transferred by the incoming particle to the lattice atom (i.e. on T2). It is therefore useful to define a differential displacement cross-section linked to T2 and given by dcyd{Ei.T2) dTo
(10.7)
It follows that the global displacement cross-section can be expressed by
<^d{Ei)= JP{T2)- ^ y 0
(10.8)
'^dT, '2
^
where P(T2) is the probability that a lattice atom will be displaced and is generally taken as a step function with ^0forT2<Ed
-fe)pforT2>Ed To describe a differential cross-section of defect introduction, N.F. Mott [5,6] treated the case of electrons and derived a comprehensive solution. However, his expression is very unhandy. McKinley and Feshbach developed an approximation [7] which can be handled very easily and which has the following form. ^^ Z^e^
dTo
(^-P')
4u^p'
^2 max
+na p Z '
i-P' '^2max
(10.9) V ^2 max J
V
^2 max yj
where u = m M/(m + M) is the reduced mass unit, p = v/c, a = 1/137 is the fine structure constant, Z is the charge number of the target atoms, e is the elementary charge, and v is the velocity of the particle. Transferred energies T2 and T2 max ^^^^ their usual meaning.
662
D, Brdunig and F, Wulf
3.2.2 Experimental and calculated displacement cross-sections It is unrealistic to assume that for structured materials such as silicon or gallium arsenide, there exists a sharp threshold energy below which nothing occurs and above which each collision results in a displacement event. Several parameters can affect the probability that an atom gets displaced for a given transferred energy. Among other parameters let us mention: -
the presence of isotopes, the presence of impurities, the presence of multiple defects, crystal orientation (anisotropy effects), lattice vibrations (temperature), electron straggling (depth dependence), etc.
Figure 10.6 shows an example of experimental and calculated values of displacement cross-section for <100> and <111> - oriented GaAs [8]. It is quite obvious that a step probability function fits the <100> GaAs data well, whereas the <111> GaAs data agrees better with the graded probability (shown in the inset).
1.0
0.8
I _ 0.6
^ 0.4
0.2
0
Fig. 10.6 The experimental values of the normalized displacement cross-section for <100> GaAs (V) and <111> GaAs (•) are plotted versus the energy transferred to the struck atom. The calculated values have also been plotted, using either the step function ( ) or a graded probability ( ) (after [8]).
Radiation Effects in Electronic Components
663
3.3 THE ROLE OF SECONDARY DEFECTS
Knowing the displacement cross-section, the energy spectrum and the fluence of the incoming particles, we can theoretically calculate the number of primary defects introduced. However, after irradiation, the primary defects migrate and/or recombine and usually one can only observe the remaining secondary defects (their density is typically 1 to 3% that of the original primary defects). 3.3.1 The formation of secondary defects Indeed, the defects most likely to be created, i.e. vacancies and interstitials, are unstable at room temperature. They are mobile and tend to annihilate each other or to form more complex defects such as: divacancies, A - centers and E - centers. In addition, the formation of more complex defects depends on the mobility of these simple defects which in tum is a function of their charge state (case of vacancies). The charge state, on the other hand, depends on the energetic position of the Fermi level in the semiconductor. The latter can be modified in a number of ways: doping, temperature, charge injection etc. Consequently, there exists a great variety of interdependencies which makes predictions quite complicated. Plenty of data has been published about encountered secondary defects and their energy level in any important semiconductor material in use [9]. An extract of the most important types encountered in silicon is given in Table 10.4. Defects which behave as electron traps are called E defects while those which behave as hole traps are called H defects. Defect label (common name) El (A-center) E2 (di-vacancy) E3 (E-center) E4 (di-vacancy) 1H4 (di-vacancy) 1H5 (carbon-oxygen)
Composition
Energy level [eV]
Capture cross section [cm^]
Anneal 1 Temperature [K]
(V-O)-/0
0.17 0.24 0.42 0.42 0.20 0.36
2.10-15 2.10-16 6.10-16 4.10-15 7.10-16 8.10-17
623 573 432 573 573 623
(v-v)--/(V-P)-/0 (V-V)-/o (V-V)0/+ (C,O00/+
Table 10.4 Some of the most important displacement defects encountered in silicon and their composition are listed (V stands for vacancy). The charge state depends on the position of the Fermi level with respect to the trap level The energy level of electron traps is measured from the lower edge of the conduction band. That of hole traps is measured from the upper edge of the valence band. Temperatures above the indicated anneal temperature cause the annihilation of the defect (after [10]).
Because of several uncertainties in the modeling of the introduction of electrically active defects and of the generation of displacement damage, experimental data (of secondary defects) is needed. This data will be introduced in Sect. 4 before we describe the theoretical modeling based on the Non-Ionizing Energy Loss (NIEL).
664
D. Brdunig and F. Wulf 3.3.2 The impact of secondary defects on electrical properties
Secondary defects introduce well-defined energy levels in the band gap of semiconductor materials. These defects alter the electrical properties of the material through various mechanisms. Depending on the position of the introduced energy level (Ej), on the Fermi level, and on the local injection conditions, one of the following mechanisms predominates, as illustrated in Fig. 10.7. • If Ej is located close to midgap, the defect can behave as a generation center when the free carrier concentration (n or p) is below its equilibrium value (n^ or p^) which occurs in depleted regions. Generation is maximum when E^ is exactly at midgap. The generation rate in the material depends on the density of defects and on temperature (case 1 in Fig. 10.7). • If, on the contrary, the minority carriers are in excess, the levels introduced near midgap behave as recombination centers. They thus cause a decrease in minority carrier lifetime. The recombination rate depends on various parameters such as: the defect density, the carrier concentration and the position of Ej in the band (case 2 in Fig. 10.7). • If level Ej is close to either band, the defect can behave as a trapping center. A carrier can be temporarily captured and later released back into the band. The traps located energywise near the conduction band behave as electron traps, whereas traps located near the valence band behave as hole traps (case 3). Trapping affects the electrical properties in several ways. - Charged trapping centers behave as scattering centers for the free carriers and thus contribute to a reduction in carrier mobility. - The presence of empty trapping centers reduces the concentration of free carriers, and contributes to carrier removal^ which leads to a reduction in conductivity. • The introduction of deeper levels (of concentration Nj) in the band, on which the majority carriers can get permanently trapped, reduces the majority carrier concentration permanently. This affects electrical parameters which depend on the majority carrier concentration, such as resistivity. In lightly doped materials, and in case of prolongated irradiation, N^ may exceed the concentration of dopants. This extreme case of carrier removal is called compensation (e.g. an n-type material becomes p-type) (case 4). Finally, at very high levels of neutron irradiations, both n- and p-type silicon may become intrinsic due to trapping in levels near the center of the band.
^ Carrier removal in an n-type material can be explained simply as follows. If we call N^ the concentration of dopants of the material we can write n « NQ and n.p = n ? at equilibrium. If we introduce a density N^ of deep electron traps we now have n + N^ « NQ and (n + Ny^).p = n ? . Thus if N^^ increases, n decreases (which means that some carriers have been removed and are not available for conduction).
Radiation Effects in Electronic Components
® - i ® i, ®
ra L
rx^
665
®
TT^^
Generation : Recom- j Trapping • bination :
Compensation
Fig, 10,7 Illustration offour carrier exchange mechanisms generated by the introduction of an energy level in the band gap (see text). 3.4 T H E CONCEPT OF DISPLACEMENT DAMAGE COEFFICIENT
When a fluence of particles modifies the electrical properties of the irradiated material, it is useful to introduce a new concept called displacement damage coefficient (or factor). This coefficient links directly the variations in a particular electrical parameter to the particle fluence. In a semiconductor material, the parameters most sensitive to displacement damage are: • the carrier generation lifetime (TQ), • the excess carrier recombination lifetime (x), and to a lesser extent, • the majority carrier concentration (n or p), • the carrier mobility (|i). Figure 10.8 illustrates the relative sensitivity of three of the above parameters as a function of neutron fluence. We note that x is much more sensitive than either n or |LI, and that it decreases for smaller fluences if its original value (TQ) is larger (i.e. if the number of preexisting recombination centers is smaller). Displacement damage factors are useful when one wants to predict the behaviour of a device set to operate in a given radiation environment once the device has previously been characterized in similar conditions (although at a different fluence). Damage factors are also useful even if the device has been characterized in a different radiation environment provided suitable conversion factors or equivalence tables are available. We shall see in the next section that in some cases analytical or semi-empirical expressions have been derived for some displacement damage factors. The NIEL concept has also proved useful in computing damage factor values since a direct proportionality has been found between such values and the NIEL of the particle, as explained next in § 4.3.
D. Brdunig and F. Wulf
666 1
a o
1.0
1
I
n-type silicon (2 ohm-cm) fission neutrons
^ - j
CS
>^ 'S
t^ CL, o
S 1
C^ o UH VH a. P^ 13 o ^ 0.5
o "S ei <1)
W
fc!
Tr/Tro (T^o
4-»
C/3
-10M«)
o ex,
10-
Neutron fluence (n/cm^) Fig. 10.8. The relative variations: in recombination lifetime, in carrier concentration and in mobility, due to neutron irradiation, are plotted versus neutron fluence, for an n-type silicon substrate. Variations in minority carrier lifetime are plotted for two pre-irradiation lifetime values (after Srour [144]).
4. DEVICE DEGRADATION DUE TO DISPLACEMENT DAMAGE Displacement damage alters permanently the electrical properties of semiconductor substrates, which in turn has an impact on the electrical characteristics of the devices. Let us attempt next to establish expressions for the various damage coefficients and examine the device parameters most affected by displacement damage. 4.1 DAMAGE COEFFICIENT RELATED TO MINORITY CARRIER LIFETIME
Minority carrier lifetime (also called excess carrier lifetime or carrier recombination lifetime), is the parameter most sensitive to displacement damage. 4.L1 Definition of K^ If we call TQ the minority carrier lifetime associated with the non-irradiated material and Xj that of the irradiated material (Xj < x^), we can define a damage coefficient k^ by writing that the variations in 1/x are proportional to the fluence. It follows: (lO.lOa) yrj
T]
To
([) being the fluence of the impinging particles (usually expressed in [#.cm"2]), and K^ being the proportionality factor^. Some authors use expression A(1/T) = ^/K^ (see e.g. [144]).
Radiation Effects in Electronic Components
667
The derivation of a simple expression for K^ is no easy matter since in general K^ will depend on the type of particle, on its energy, on the initial doping of the material and on the injection level. 4.1.2 Expression given by a first-order approach In a first-order approach, x^ can be expressed, using the SRH modeling, as
T^ = ^
\ j
(10.11)
where N^Q is the concentration of electrically active defects acting as recombination centers in the substrate before irradiation, a^ is the carrier capture cross-section of these defects and v^j^ is the thermal velocity of carriers. If we assume that irradiation introduces new electrically active defects, of similar energy level and capture cross-section, and of density N^ j-^j, we can express the post-irradiation lifetime as Tj =
T^
r
(10.12)
^c^th(Nto-^^tradj
It follows that we can write ^-"^-^c^th^trad
(10.10b)
Using (10.6) we can express Nj ^.^d as Ntrad=^dNA-
(10.13)
where a^ is the total displacement cross-section and N^ is the number of lattice atoms per unit volume. By inserting (10.13) into (10.10b) we finally get the following expression for K^. K^=a,Vth(TdN^
(10.14)
In this expression K^ is independent of ([). In practice this is only verified for fluences sufficiently small so that the wave functions of the damage centers do not overlap (i.e. typically for fluences below « lO^^ n/cm^).
D. Brdunig and F. Wulf
668
4.1.3 More comprehensive approaches Several authors have attempted to derive more comprehensive expressions of K^. One such derivation has been performed by G. Messenger for neutrons and can be found in Section 5.9 of [148]. The author shows that for low injection levels and for n-type or p-type materials, the respective damage coefficients (K^(Ln) and K^(Lp)) defined by (10.10a) can be expressed quite simply as a function of the material resistivity p as follows : 1/K^ln) = 10^1 A + 0.086 p + 0.0012 p^)/{l^
0.038 p)
1/K^Lp) = ^0^f2.i + 0.18 p + 0.00009 p^)/(I + 0.014 p)
(10.15a) (10.15b)
For a wide range of injection levels, the variations of l/K^ with the material resistivity, and with the injection level X (X = 5n/no or Sp/p^) can be computed. Such values of 1/K^ are plotted in Fig. 10.9.
k >f = 0 10^
10'
0.1 D.5 1.0
h h\1
-
>.o
~~
d p 10«
M5
l&o—-
-
5.0 1.0 0.5
~ 1.0-^-
a5-—
0.1——
10^ 0.1
0-^
yj y
.1 -.. 1
p-Type 1 1
10
10^
10* S
0.1 Intrinsic 0
1 2.1 0^
1 1
1
10^ 10^
n-Type 1 1
10^
10
1
10^ 0.1
Resistivity (ohm.cm) Fig. 10,9 The reverse of displacement damage coefficients related to carrier lifetime for n-type (K^) and p-type (Kp) Si substrates, have been plotted versus material resistivity for various injection ratios (X = Sn/n^ or Sp/p^). (After [148] slightly modified).
Radiation Effects in Electronic Components
1 ENERGY AND 1 SUBSTRATE 1 TYPE OF RESISTIVITY PARTICLES [Qcm]
1 3-MeV-electrons
n-type 1 10 p-type 1 10 100
injection level—>
20-MeV-protons
n-type 1 10 p-type 1 10
injection level—>
1-MeV-neutrons
VALUES OF DAMAGE FACTORS FOR LOW AND HIGH INJECTION LEVELS [cmVs] L0W(
1 injection level—^
n-type 1 10 100 p-type 1 10
1
100
1
669
HIGH(>1)
(0.6-3)E-7 (2-10)E-8
«5E-8 «lE-8
(l-4)E-8 (0.5-2)E-8 «3E-9 lE-3
(2-8)E-9 (l-4)E-9 «6E-10 lE-l
(2-10)E-5
(l-5)E-5 «5E-6
(l-3)E-5 lE-5
JE-3
«IE-6 «5E-6 lE-1
lE-5 6E-6 lE-5
5E-6 3E-6 2.5E-6
2E-6 1.5E-6 5E-7
1.5E-6 lE-6 3E-7
8E-6 8E-6 2.5E-6
2E-6 2E-6 1.5E-6
5E-7 5E-7 5E-7
3E-7 3E-7
y|
Table 10,5 Damage factor K^ expressed in [crr?/s] is given for three types of particles (at standard energies) and for various substrate resistivities and injection levels (after [12]),
4.1.4 Some experimental K^ values Extensive experimental work has been and is still carried out to determine K^ values for Si. A bulk of results exists for neutrons, but for those species more frequently encountered in space, namely electrons and protons, some results exist also [11-13]. Table 10.5 gives the values of K^ for a number of resistivities and injection levels for electrons, protons and neutrons at a specified (standard) energy [12]. 4.1.5 Variations of K^ with incident energy Unlike neutrons for which displacement damage predominates, the values of K^ for other types of (charged) particle depend strongly on the amount of energy lost in ionizing phenomena and thus in tum on the incident energy of the particle. To be able to plot them over a wide range of energy, K^ values are usually normalized to a value obtained at a given (standard) energy, namely: 3 MeV for electrons, 20 MeV for protons and 1 MeV for neutrons. The variations of the normalized K^ values for these three particles are plotted over four decades of energy in Fig. 10.10.
670
D, Braunig and F. Wulf
We note that indeed over three decades of energy [0.2 - 20 MeV], ratio K^/K^ (1 MeV) for neutrons varies only by a factor of two, whereas ratio K^/K^ (3 MeV) for electrons and K^/K^ (20 MeV) for protons vary by more than one decade. We shall see in § 4.3 that the use of the NDEL concept has made it easier to compute K^ values. c
> o CO
o o "a. a.
1000 100
protons!
10 1 n^^1 itrnns 0.1
/ • 1Blectronsp
0.01 0.01
0.1
1 Energy/MeV
10
100
Fig. 10.10 Energy dependence of the lifetime damage factor for electrons, neutrons and protons in silicon. The three damage coefficients have been normalized to a value obtained for a standard energy. 4.2 DISPLACEMENT DAMAGE COEFFICIENTS RELATED TO OTHER PARAMETERS
At least two more coefficients are frequently used: one is associated with carrier removal and one is associated with carrier mobility. More coefficients could however be defined. 4.2.1 Coefficient associated witli carrier removal In this case, the value of the majority carrier concentration can be linked to the fluence of particles as follows: An n = rir
1^
for an n-type material
(10.16a)
P = Po-
(t>
for a p-type material
(10.16b)
where IIQ and pg are respectively the pre-irradiation electron and hole concentrations (expressed in [cm-^]).
Radiation Effects in Electronic Components
671
Coefficients An/Acj) and Ap/A(|) depend on the initial resistivity of the material (i.e. on n^ and PQ). Moreover, these coefficients vary during irradiation (non-linear effect). Indeed the efficiency of carrier removal from deep traps depends on the position of the Fermi level (through the trap occupation factor), i.e. initially on concentrations n^ and p^ and during irradiation on the concentration of remaining carriers. The derivation of an analytical expression for such coefficients is thus no easy matter. Let us note however that values of An/A(|) can also be computed using the NIEL concept. For 1 MeV-neutrons irradiating an n-type Si substrate, with a 2 Q.cm resistivity, one [13] finds An/A(|) = + 6 [cm-^]. 4.2.2 Coefficient associated with carrier mobility Likewise, using a first-order approximation, the change in carrier mobility can be expressed as: (l\ 1 1 A \ - \ = — - — = K^(I> where JLIQ is the initial carrier mobility, associated damage coefficient.
ILIJ
(10.17) the mobility after irradiation, and K^ is the
For 1 MeV-neutrons, in an n or p-type Si substrate, with a 2 iQ.cm resistivity, Kj^ = 3.10-19 [V.s] per neutron [13]. A thorough discussion of K^ and An/Ac]) is beyond the scope of this chapter. Such a discussion can for example be found in Sect. 5.3 of [148]. 4.3 NON-IONIZING ENERGY LOSS
The need for displacement damage data for materials other than silicon and for particles other than neutrons (especially electrons and protons encountered in space applications) has triggered a renewed interest in the theoretical aspects of displacement damage. Electrons interact with matter mostly through ionization processes (as explained in Chap. 9 of this volume) and thus contribute little to displacement damage. Protons can contribute much more to displacement damage due to their larger mass. However, when proton energy exceeds = 7 MeV, the collisions become inelastic. Part of the energy transferred to the struck nucleus is consumed by a nuclear process (which results in the emission of one or more nucleons). The rest goes into actual atomic displacement [14].
672
D, Braunig and F. Wulf
4.3.1 Definition We can thus consider that when a high-energy particle loses energy through matter, the energy lost per unit path length is consumed partly by ionization processes and partly by displacement damage. If we normalize this energy loss to the material density, we get the stopping power S of the particle, in the target material, which can be written
5=
— = NIEL + IEL p dR
(10.18)
where p is the (mass) density of the material and dE/dR is the rate of energy loss along the path. NIEL stands for Non-Ionizing Energy Loss whereas lEL stands for Ionizing Energy Loss. Both NIEL and lEL are expressed in [eV.cm^.g-^]. The NIEL of a particle of a given energy in a given material can be simply expressed as
NIEL = ^(a,T,+(JiT})
(10.19)
where A/N is the atomic density of the material (A = gram atomic weight, N = Avogadro number), CQ is the interaction cross-section for an elastic collision with a nucleus, T^ is the energy transferred for such a collision, C[ is the interaction cross-section for an inelastic collision with a nucleus and Tj is the energy transferred in one such collision. NIEL calculations are beyond the scope of this chapter, but some examples of stopping power calculations can be found in Chap. 9. NIEL calculations can be carried out using Monte-Carlo codes such as TRIM [1]. 4.3.2 Some remarkable results The first important consequence of the above definition is that the NIEL of a given particle in a given material can be computed as a function of incident energy. Figure 10.11 shows the NIEL of electrons and protons in silicon plotted as a function of incident energy. We note that for electrons the NIEL increases with energy whereas for protons the NDEL decreases. The figure also shows the contribution of inelastic processes to the NIEL of protons, contribution which appears above 7 MeV and predominates above 100 MeV. The second important result is that most displacement damage coefficient exhibit a linear dependency on NIEL values and this regardless of the nature of the particle and regardless of the process whereby primary defects evolve into secondary defects after irradiation. Figure 10.12 illustrates this by showing experimentally measured values of K^ (normalized to K^ values measured for 1 MeV fission neutrons) plotted versus their respective computed NIEL values. A linear behaviour (K^. ©cNIEL) is indeed encountered for electrons and protons and even (to some extent) for gamma rays.
Radiation Effects in Electronic Components
673
100 |[PR OTONS
10
TT^W-.^^^ 1—H
>
* * » . 1"^
BTC»tal
" - -..
^ -" "*
c
"1 • • .
Ine asticR
Elasti •?
-'
•
H" 1 -
0.1 III
I ELEG1 rx^iNO
11 1
0.01
10
100
1000
Energy in MeV Fig. 10.11 NIEL calculations for electrons and protons versus incident energy in Si [16]. Note that for protons, the energy loss due to inelastic scattering dominates that of elastic scattering above 100 MeV.
102 I — \ — I — I — r 1000 100 20 10 5 Proton energy (MeV) 10^
1
o
2
Ti 510
rn 100 1000
Protons
Fig. 10.12 The ratios of experimentally measured damage coefficients (K^/K^) for electrons, protons, 60co gammas, and neutrons are plotted versus their corresponding computed nonionizing energy deposition (stopping power) values [145].
Electron energy (MeV) 10°
2
Fission neutrons (x) 1 MeV equivalent)
Electrons
10-
^Computed isolated defect line O (2N2222A) A(2N2907A) 10-
Gammas
1010-
10-
10-
10-
Non-ionizing energy deposition (MeV.cm^/g)
10-
674
D. Brdunig and F, Wulf
The third important result is that damage coefficients obtained for one particle at a given energy can be extrapolated for another particle at a different energy, as long as their NIEL ratio is known. This of course is very useful to predict the behaviour of a given device, tested on the ground in a given radiation environment and due to operate, for example in space, in a different environment and over a longer period. 4.4 DAMAGE ANNEALING
Radiation-induced substrate defects can be rearranged. This rearrangement process is both thermally and electrically activated. An irradiated device can thus recover part of its preirradiation characteristics if one performs a thermal anneal, or a current injection anneal, or both. Defect annealing will not be covered here. More details can be found in Chap. 18 of Vol. 2 of this series (where the sensitivity of the carrier removal coefficient to annealing temperatures is illustrated). 4.5 MiCRODOSIMETRY EFFECTS
So far the prediction tools which one uses are based on a macroscopic approach of the semiconductor material or of the device parameters. With shrinking device dimensions, the microscopic effects of displacement damage gain importance in a way analogous to the LET concept for Single Event Phenomena (see Chap. 12 for the definition of SEPs). Let us for example evaluate for a pixel of a Charge Coupled Device (CCD), the number of defects that will double the dark current^. The size of a pixel cell of a CCD or of a Charge Image Device (CDD) can be as low as 2 |Lim x 4 jiim. If we assume an active depletion depth of 10 |Lim, the active volume amounts to 80 (|am)3 or S.IO-^^ cm^. The carrier generation lifetime TQ, given by XQ = l/N^Cn, with c^ being the carrier capture coefficient, is thus inversely proportional to the defect concentration N^. With v^^ of the order of 10^ cm/s and a^ about 10"!^ cm^ and a currently obtainable lifetime XQ of the order of 100|LIS, the estimated concentration of defect centers is lO^^ cm'^. Thus, only 8 defect centers are responsible for the dark current before irradiation and adding only the same number of defects by displacement damage doubles the dark current. This illustrates the need, for these small devices, to leave calculations based on a large number of average mechanisms and to introduce a statistical behavior. Srour et al. [17] showed for the first time that single protons can produce generation centers in a CCD. These centers are acting as additional sources of dark current and are created on a probabilistic basis. An interesting feature of these experiments is, besides the impact on the functionality of the particular device, the fact that one can expect to gain additional information about the underlying physics of interaction. Of primary concern is the partitioning of elastic and inelastic nuclear scattering, especially for energetic protons. ^ The dark current is a current generated on defects and responsible for the charge collected, in the absence of any photon and during a given integration time.
Radiation Effects in Electronic Components
a)
3.6x10^0 cm-2 N«2.9
675
FISSION NEUTRONS 61504 PIXELS 0 PART 5928N X . D . A PART 6432N
2.1x10^^ cm-2 N==16.2 5.2 X 10^^ cm-2 N = 41.0
0.5
1.0
1.5
2.0
2.5
3.0
3.5
4.0
5.0
CHANGE IN DARK CURRENT (nA-cm-2)
b) ^ + -•-
O
> z
12 MeV PROTONS 61504 PIXELS
4x10'0cm-2 N«1967
r L
+
PART 7418W
1 X 1 0 ' ' cm 2 N = 4918
f
2x 1 0 " cm2 N=:9835
UJ
A.
D
a
1 L—H
0.0
^ ^ \ ' N i ^ i i i i i li 1 — L ^
2.0
4.0
6.0
8.0
10.0
3 X 1 0 " cm 2 N = 14753
ammmm^^m^—-^^" 12.0 14.0 16.0
CHANGE IN DARK CURRENT (nA.cm-2) Fig. 10.13 Distributions of observed changes in dark current, measured in CID pixel cells have been plotted as a function of fluence for protons (a) and fission neutrons (b). The two numbers on top of the individual distribution are the fluence of incident particles and the mean number of resulting interactions in a pixel. (After [18], slightly modified).
Dale et al. [18] performed an extensive study of the fluctuations in dark current of a 61504pixel CID after neutron and proton bombardment. Some of their results are shown in Fig. 10.13. Due to the probabilistic nature of defect creation, the changes in dark current of the individual cells appear in skewed distributions which become more Gaussian when the number of events increases. This Gaussian distribution can be described by the total relative variance V^ givpn by VT =
(10.20a)
676
D. Brdunig and F. Wulf
where s is the standard deviation and M the mean value of the distribution variance. Relative variance V^ is given by [19] VT =
Vi N-1
(10.20b)
where N is the number of events and Vj is the relative variance of a single event. According to Eq. (10.6) the number of displacement events in a pixel of volume V is N = a(i.N;^.V.(|). As seen from Fig. 10.13 the number of events at a specific fluence differs largely for 12 MeV protons and fission neutrons. These fluctuations introduce a fundamental limit to small size devices and it seems that this limit is already reached in currently used ICs. The NIEL approach seems to be an adequate means of predicting these microdosimetry effects. 4.6 DISPLACEMENT DAMAGE IN BIPOLAR TRANSISTORS
Two large categories of bipolar device are sensitive to displacement damage. Those for which minority carrier lifetime plays a vital role (e.g. bipolar transistors, solar cells) and those for which carrier removal can affect the device characteristics (lightly-doped devices such as: high voltage devices, power devices, and particle detectors). We only examine next in some detail how the common emitter DC-current gain of a bipolar transistor, hp^, varies when irradiated. General results for other type of devices will be given in Sect. 7. 4.6.1 Basic equations Let us examine the case of a bipolar pnp-transistor possessing an homogeneously doped base and let us express, hpg using the well-known Webster-equation [20]. Keeping from the general expression of hpg only the radiation-sensitive terms, we can calculate the change in reciprocal hp^ and we arrive at 1 yf^FE
1
1
1
w'
^F£,/,irr
^FE
2
DpB
UJ
2.DpBni
"^y 2kT ) (2)
(1) (
^^m^.^.^Jz^].^^,^ '2'DpBni
2kT
i
(10.21)
(3)
Here hpE^jn- is the current gain after irradiation, Wg is the base width, wpg is the depth of the emitter-base space charge region, N^g is the doping concentration of the base region, Dpg is the diffusion coefficient of holes within the base (Dpg = Lp^Vx), n^ is the intrinsic carrier concentration, Ygg is the emitter-base voltage and kT/q is the thermal voltage.
Radiation Effects in Electronic Components
677
Term labeled (1) is governed by the base transport factor, term (2) represents the contribution of bulk recombination within the space-charge of the emitter-base junction and term (3) is the surface recombination contribution, which will be neglected here but which will be of concern in § 6.4 of this chapter. Shift A(1/T) was already introduced before and can be replaced by K^. (j) according to the damage factor concept. It is therefore possible to describe the change in DC-current gain as a function of fluence and emitter current ( % exp (-VEB/kT)). Given the relative weight of terms (1) and (2), term (2) can usually be neglected at V^g voltages > 500 mV and this equation reduces to the well-known Messenger-Spratt equation [146]. '
1 ^ I
wB
K^FEJ 2" DpB
(10.22)
K'^-K,^n
where t^j. is just the transit time of holes across the base region. This quantity is related to the cut-off frequency by f^j. = l/(27rttj.)
1E+01
1E+00
•
p-1.2MeV
•
e-2MeV
•
e-0.72MeV
HI \W/^
1E-01
1E-02
1E-03 1E+10
1E+11
1E+12
1E+13
1E-H4
1E+15
1E+16
1E+17
Particle Fluence per cm^
Fig. 10.14 Illustration of the change in reciprocal gain of an npn-Mesa-transistor BUY 14 at I^ = 2A observed after irradiation by protons and electrons at different energies [21].
678
D. Brdunig and F. Wulf 4.6.2 Consequences
As a first result, Eq. (10.22) suggests that high-frequency transistors are much more radiation-resistant than their low-frequency counterparts. This is a useful rule of thumb: one should reduce the base width to improve radiation hardness. Radiation hardness increases from power- and small-signal transistors at the weak end to high-speed digital devices at the rad-hard end. A second rule of thumb is, that a transistor should operate in the high collector current range, where the hpg (I^) characteristic is almost flat. Relation (10.22) has been deiived assuming that the base is homogeneously doped. However in the vast majority of transistors presently used, the base is not homogeneously doped but graded. One finds nevertheless and, most experimental results confirm, that there exists indeed a linear relationship between A(l/hpE) and fluence, as illustrated in Fig. 10.14 [21]. A comprehensive derivation of this linear relationship in the case of graded bases can be found in Sect. 5.10 of [148]. 4.7 DISPLACEMENT DAMAGE IN MOS DEVICES
Unlike bipolar devices, MOS devices are virtually insensitive to displacement damage. This has to do with the fact that MOS devices operate by means of majority carrier currents which flow underneath the surface and are thus impervious to lifetime reduction. On the other hand, and contrary to earlier predictions, MOS devices turned out to be very sensitive to ionizing damage. This is explained next in Sect. 5. 5. GENERALITIES ON IONIZATION DAMAGE We saw in Sect. 4 that displacement damage alters permanently the properties of the semiconductor substrate. We show next that ionization damage affects both the properties of the substrate and those of the insulating layers but in a different fashion. 5.1 T H E SENSITIVITY OF MOS DEVICES
High-energy charged particles interact not only with the nuclei of the irradiated material (which may lead to displacement damage) but also with the shell electrons of the target atoms. This leads to the generation of electron-hole pairs. This pair generation and the ensuing phenomena are conmionly called "ionization phenomena" (or "ionization damage" if a permanent or semi-permanent effect is observed). MOS circuits are very sensitive to ionization phenomena mainly because during irradiation a space charge builds up in the bulk of the insulator or near the Si/Si02 interface. Even more critical for application engineers is the complex way in which these charges are generated and annealed, which leads to a rather unpredictable situation. Due to the widespread use of circuits fabricated in the MOS technology, and not only for space applications, a variety of investigations on the stability of the MOS system have been and are still underway. It is a very interesting field for the solid state physicist but a harassing one for a reliability manager.
Radiation Effects in Electronic Components
679
Almost any parameter can influence the response to radiation of a MOS integrated circuit. This is also true for the post-irradiation behaviour, i.e. the annealing which takes place once the exposure is over, which is of particular significance in the case of accelerated testing. Since it is generally accepted that the response to irradiation is the superposition of generation and annealing events, the balance of both actions can easily be changed by the choice of experimental conditions. Despite these problems, a step-by-step description of the behavior of MOS devices during and after irradiation will be given in the next paragraphs. 5.2 DOSE AND ELECTRON-HOLE PAIR PRODUCTION
5.2.1 Ionization energy As already described in Chap. 9 of this volume, ionization is due to the inelastic collision of the impinging particles with the shell electrons of the target atoms. The ionization process can be quantified by introducing a parameter called ionization energy Ej^j^ which is the energy necessary to produce one electron-hole pair. Ej^j^ contains different contributions and depends on the band structure of the semiconductor target material. The lowest possible Ej^j^ value is equal to the energy bandgap width EQ, which is only verified in the case of irradiation with optical photons. In our case, additional processes must be taken into account, such as e.g. energy transfer to the lattice. After Shockley [22], the ionization energy Ej^n should be expressed as Eion=EG+r.Ej^+2,EE
(10.23)
Here r is the number of phonons produced by one interaction, ER is the energy of oscillation of the lattice, e.g. the oscillation of two sub-lattices in opposite directions, and finally Eg is the kinetic rest energy of electrons and holes just created. This rest energy is not sufficient to create new electron-hole pairs, but can in turn be used to produce additional phonons. The ionization energies, experimentally determined for some conmion materials are shown in Table 10.6. It is important to note that the value of E^^^ is independent of the nature of the charged particle (electron, proton, ion), and of its energy. This property enables one to perform a spectroscopy in energy of incident particles using semiconductor detectors. 5.2.2 (Ionization) dose and dose rate The absorbed dose D is the ratio of the amount of energy AE, transferred by the incoming particle or quantum, to a unit volume dv of the absorbing material, whose mass dm is given by dm = p dv. The dose can thus be written D = AE/dm = 1/p (AE/dv)
(10.24)
680
D. Brdunig and F. Wulf
The dose is generally expressed in Gray (Gy). One Gray corresponds to one Joule of energy absorbed per kilogram. Depending on whether this energy is absorbed in Si or in Si02, it is symbolized respectively by Gy (Si) or Gy(Si02). Another commonly used symbol of dose is the rad. One rad = 10-^ Gy. We saw in Sect. 4 that the energy transferred by a particle can be used both for displacement damage and for ionization phenomena. That portion of dose used up only for ionization phenomena will be called ionizing dose and the amount of ionizing dose absorbed by a circuit during a full exposure or a mission will be called Total Ionizing Dose (abbr. TID). We should keep in mind that the energy transferred by high-energy charged particles and by quanta is mostly used up for ionization phenomena and thus that, for "ionizing" particles, terms "ionizing dose" and "dose" are often used interchangeably. The dose rate D is the time derivative of the absorbed dose, and is thus written D = dD/dt
(10.25)
The dose rate is expressed in Gy/s (i.e. in W/kg) or in rad/s. 5.2.3 Generation rate We call generation rate g^ the number of radiation-induced electron-hole pairs generated per cm^ of irradiated material for an (ionizing) dose of 1 Gray. Rate g^, which depends of course on the nature of the material, can be written AE go = TT- =
pD(^lGy) -^
(10.26a)
When a material is uniformly irradiated, each unit volume generates a number of electronhole pairs G given by G = goD
(10.27)
whereas the number of e-h pairs generated per unit time in such a case, is given by G = goD
(10.28)
For instance a homogeneously delivered dose rate of 1 kGy(Si)/s produces 4.10^^ e-h pairs per cm^ of silicon, per second.
Radiation Effects in Electronic Components
681
Rate go represents the (potential) ionizing capability of a unit volume of a given material. Some go values, for materials encountered in semiconductor devices, are listed in Table 10.6. Their computation requires that in expression (10.26a) suitable units be used since Eion is usually expressed in eV, D in Gy and p in g/cm^. It follows llJ
[kg So =
Material Silicon Silicon Dioxide Gallium Arsenide Germanium
1 ' " 1kg " JO^ g_ •P
8 1 3 - = 625.10^^ J ' •E . [eV] 1.6.-1^
P [g/cm3] 2.328 2.27 5.32 5.3267
^ion [eV] 3.6 18 4.8 2.8
- ^
(10.26b)
ion
go [# of e/h pairs per Gy and cm^] 41015 8.2-1014 7-1015 1.2-1016
Table 10,6 The Ionization energy and ionization-induced generation rate, for high-energy particles or photons, is given for various semiconductor materials. The material densities are also indicated.
5.2.4 Consequences of pairs generation in an unbiased semiconductor material: excess carriers In an unbiased semiconductor material, the generation of e-h pairs is balanced by a recombination process characterized by a recombination lifetime T^. Time Tj. is the amount of time it takes on average for a pair to recombine (typically Tj. - 1 ns). It follows than in a homogeneous volume, at any given time, the concentration of excess carriers is given by An = ^ = G r^ = g^ D T^
(10.29)
which, for a dose rate of 1 kGy (Si)/s yields : An = Ap = 4. lO^^ [cm-^] (if Tj. - 1 |is). 5.2.5 Consequences of pairs generation in a reverse-biased pn junction: photocurrent Let us consider a reversed-biased pn junction, of space charge layer (SCL) width W and of diffusion lengths Lj^ and Lp in the neutral bulk regions, (on each side of the SCL). In these regions, recombination cannot take place fully. In the SCL, the electrons and holes are separated by the electric field as soon as they are created. In the diffusion zones, recombination is hampered by the diffusion mechanisms.
682
D. Brdunig and F, Wulf
When the junction is submitted to a permanent irradiation, it gives birth to a photocurrent. This permanent photocurrent Lp can be written.
Ipp=e ^ 8 O D [ w+ L„ + L .}
(10.30)
where A is the junction area and e the elementary charge. Once the exposure to radiation ceases, the current fades away within characteristic time constants, which are related to the excess carrier recombination lifetimes. 5.2.6 How to calculate the absorbed ionizing dose Since the absorbed ionizing dose D represents the amount of energy absorbed for ionizing purposes per unit mass, it is related to the electronic stopping power S^ which describes the electronic energy transfer per unit path length. For a beam of particles we have
1 (dE\ p \dx J (t>
(10.31a)
In this expression p is the mass density of the material, S^ is the electronic stopping power and (|) is the fluence of the particle beam. The minus sign is not necessary since tables giving values of stopping power S^ contain only absolute values. It will be omitted in the following. A simple examination of the units under consideration leads to 2
D[Gy] = 1.6. 10"^^-5, MeV.cm 8
•
-2
cm
(10.31b)
For a beam of photons we have
D=- - I
(10.32a)
in which I is the energy present in the photon beam, expressed in Ws/m^, and \x is the absorption coefficient. It yields 2"
D[Gy] =
0.1Pi S
I
2
(10.32b)
Radiation Effects in Electronic Components
683
Since the absorption coefficient of optical photons is large in most semiconductors, the amount of absorption varies by several orders of magnitude within a few microns of depth. Therefore dose calculations in the case of photonic irradiation must take into account the variation of I with depth. On the other hand, the penetration depth of high energy particles and Y photons is commonly several orders of magnitude larger than the dimensions of semiconductor devices and therefore the variations of E with depth are usually disregarded in this case. Figure 10.15 shows the variations of the fluence-to-dose conversion factor (defined in (10.31b)) versus energy using tabulated values of stopping powers, for different radiation species. 1E-06 r-. 1E-07 F
^
1E-08 1
w
1E-09
[Protons| _
lEIeclronsi
-
L
5
1E-10
o
1E-11 1
Sv^^ ^^N,^
1
|Quanta| ^^^
r
r**-.*.,, --""^""^
Io 1E-13
Q
^ ^ ^
1E-14
^ [
1E-15 0.01
1
1—1
iNeutronsI
1
1 1 1 1 11
i—1
1 1 1111
10
0.1
•
1
1—I
1 1 1 1 i
100
Energy [MeV] Fig. 10.15 The fluence-to-dose conversion factor for different radiation species in silicon is plotted as a function of energy [12]. 5.3 A REVIEW OF CHARGE BUILD-UP MECHANISMS IN SILICA AND AT ITS INTERFACES
In the next paragraphs, the mechanisms of charge build-up within the Si02 insulator and at its interfaces will be presented using a step-by-step approach (following [23]), although these mechanisms may proceed in parallel and not in the temporal sequence presented here. The following mechanisms and topics will be discussed: • the generation of electron-hole pairs, • the recombination mechanisms just after generation, • hole and electron transport in the Si02 layer, • the generation of oxide-trapped charges (Qot)^
684
D, Brdunig and F. Wulf
• the generation of interface states (Nj^), • the time and temperature - dependent effects of Q^^ and Nj^ annealing, • the microscopic nature of hole traps and interface states, • sunmiary and discussion. It should be noted that many features of these physical and chemical processes are not fully understood, up to now. 5.4 CARRIER GENERATION AND RECOMBINATION IN SILICA
5.4.1 The generation of electron-hole pairs If the dose is delivered homogeneously throughout the insulator of a MOS structure, then the number N^j^ of e-h pairs generated in the Si02 layer is given by
^eh=^
= ^'d^x' So{Si02)• D
(10.33)
where Q^^, is the charge generated of each species, e (= 1.6.10-1^ C) is the elementary charge, A is the gate area (cm^), d^^ is the insulator thickness (cm), go(Si02) = 8.2. lO^"^ per Gy.cm^ is the generation rate in Si02 and D is the absorbed dose (Gy). There exist, however, cases in which the dose is not delivered homogeneously: - for example low-energy X-rays going through sandwich structures of different atomic masses, (e.g. a metal/oxide/semiconductor structure with a high-density metal gate) can cause dose non-equilibrium (dose enhancement [24]), - high-energy heavy ions with high electronic stopping powers can cause inhomogeneous ionization along their path and thus produce different electron-hole concentrations. 5.4.2 The recombination mechanisms just after generation If a MOS structure is irradiated, there is a certain probability that some of the electron-hole pairs generated by irradiation cannot be separated since they recombine just after their generation. We must thus introduce a parameter called the fractional yield (fjj) which designates the ratio of carriers which escape (which do not recombine initially) to the number of carriers generated. The value of f^ depends on the magnitude of the electric field in the oxide (EQX). When EQ^ increases, f^ increases as shown in Fig. 10.16, where experimental fractional yields of various particles have been plotted versus EQ^ at room temperature [38]. For 10-KeV X-rays and y quanta coming from a Co^O source, empirical approaches have been used [35-37] to express fy as a function of energy. For y quanta the following expression holds.
Radiation Effects in Electronic Components
fh{Eox) =
0.5
685
,-0.7
+1
(10.34a)
whereas for X-rays we have '1.35
^-^•^
(10.34b)
fh{Eox) =
A{
700-keV PROTONS
}B 0.2 b /
t 0
.
1
POSITIVE BIAS 2-MeV a PARTICLES _J 1 L 2 3 4
5
ELECTRIC FIELD (MV/cm)
Fig. 10.16 Fractional yield versus electrical field for different radiation species [381.
We have to distinguish between two different recombination processes namely: geminate recombination and columnar recombination. One of these two processes predominates depending on the density of e-h pairs generated per unit path length of the ionizing species. A measure of this density is the mean separation distance X = Ej^jj/Cp-Se) of the thermalized electrons and holes after the interaction and this quantity is compared to the thermalization distance r^, which is about 5 to 10 nm in Si02. A simple estimation yields an S^ value of about 8 to 16 MeVcm^/g, which can be found in stopping power tables, to distinguish between these two cases: • geminate recombination [26-28]: X, > rj : S^ < 8 MeVcm^/g. The density of electron-hole pairs is low and those pairs which recombine are those which have just been created. This holds for most cases of irradiation by electrons and photons (or their secondary Compton electrons).
686
D. Brdunig and F, Wulf
This corresponds to zone A in Fig. 10.16. Let us note that the theoretical approach for geminate recombination states that a pronounced temperature dependence exists at near-zero fields, whereas for higher fields this dependence is weak. • columnar recombination [29-34]: X < r^ : S^ > 16 MeVcm^/g. The density of electronhole pairs is large and a newly created carrier can recombine with any other carrier. This is true at almost all energies for irradiation by protons, alpha particles and ions. This corresponds to zone B in Fig. 10.16. For the intermediate range, for which 16 > S^ > 8 M^Vcm^/g, both types of recombination can take place. 5.5 CARRIER TRANSPORT IN SILICA
The zero-field mobility of electrons in Si02 is relatively large (20 cm^A^.s at Room Temperature (RT) and about 40 cm^A^.s at 150 K) and the thermal velocity at RT is 1.10'7 cm/s. In contrast, the zero-field mobility of holes is only 1.6.10-5 cm^A^.s at RT and is strongly temperature-dependent with an activation energy of about 0.16 eV. Therefore, the time scale is largely different for electrons and holes: after completing the thermalization process the electrons drift out of the oxide within 1 ps, whereas the holes remain essentially near their place of creation. The drift motion of holes is highly dispersive and may last for seconds, minutes or even hours. To explain the puzzling features of hole drift, a number of models have been developed. Of major importance is the Continuous Time Random Walk (CTRW) model, a stochastic transport model, which takes into account both the temporary capture of holes in shallow traps and the contribution of polaron hopping processes through localized states [40-48]. This is a quite universal approach and it is in good agreement with experimental results. The phenomena associated with hole motion may be studied by following the variation with time of the flatband voltage of an MOS capacitor after a pulsed irradiation. In Fig. 10.17 a set of VpB(t) curves has been represented for various temperatures and for an oxide field of 1 MV/cm, after a very short irradiation exposure [41,48]. We note that for low temperatures there is very little recovery since the trapped holes cannot escape within the time scale of the measurement. At room temperature the recovery of Ypg after irradiation is very quick. A characteristic recovery time ti/2 is extracted for 50% recovery and can be fitted to the following expression [49,50]. 1 f I \\ h/2 ^01/2
' ox '*^ exp\ V^ J
k.T
(10.35)
where toi/2 is a constant [s], AE(EQX) is the field-dependent activation energy [eV] and a is the average hopping distance [nm] in the direction of electric field EQX- The field-dependent activation energy can in turn be fitted by AE(£^J = AE^-
b.E„,
(10.36)
Radiation Effects in Electronic Components
687
Typical values for hardened oxides are: a = 0.25, a = 0.1 nm, AEQ = 0.65 eV, b = 0.05 eV • cm/MV and toi/2 = 10-22 §. The flatband voltage recovery can be approximated by t-2« at early recovery times and by t"^ at longer times.
(T=293K)0
O
+ o
°
^
D
^
(247K) D O
Q
^
A^
^
Q
O
> 5^
D
O
r\^
A
O
(217K)^
Q Q
0
^O
Fig. 10.17 Normalized flatband recovery versus time as a function of temperature and for a field of 1 MV/cm [41,48].
0.601
•
<
°
A^
A
Q
. o
060K)c:i^
^ D C>
01 •UNA 0 {141K)^
^
{124K)
I "^^-ot^
1.0 1C
-L 10
10'
Time after pulse (s)
5.6 THE BUILD-UP OF THE OXIDE-TRAPPED CHARGE
Driven by the applied field, holes move towards one of the interfaces and, assuming a positive gate bias, towards the Si/Si02 interface. This latter case produces the most pronounced shift in flatband voltage. Here a fraction of holes get trapped in pre-existing neutral hole traps, creating a positively charged region of areal density Q^^ [C/cnfi], These neutral traps are linked to the existence of a disordered transition region between the oxide and the semiconductor and the trap density is strongly dependent on manufacturing steps. The remaining moving holes recombine with electrons supplied by the semiconductor. The centroid of the positive oxide-trapped charge lies within 4-5 nm from the actual interface [51] with a density of trapped holes N^^comprised between 10^2 and 10^^ cm2 [51, 52]. The (hole) trapping cross-section of the interface traps (a^) is typical of Coulombic attraction and lies between lO-l^ and 10-14 cm2 [51]. The theoretical field dependence of this cross section can be calculated to be E^^-^^^, but dependencies of E^^'^ for higher fields and even E^^'^^ and EQ^-^-"^ have been found [53, 55, 56]. A complete picture of trapping must also include the recombination of electrons with trapped holes [55, 57].
688
D. Braunig and F, Wulf
Electron traps are also encountered in Si02, but their capture cross-section lies between 10"1'7 and 10-18 cm^ [58] and their role can therefore be neglected in the case of thermallygrown Si02. The situation is quite different for Silicon Nitride and SIMOX structures, for which electron traps must be taken into account [59]. (see e.g. Chap. 5 of this volume for carrier trapping in nitrides and Chap. 11 for carrier trapping in SIMOX materials). To illustrate this hole capture process during irradiation, the hole trapping rate will be described by a first-order kinetic reaction. This yields dN^ot ={Ntoo-N,,yi,^j^ dt
^h
(10.37)
in which Ijj^;, the ionization-induced current density [A/cm^] can be expressed as (10.38)
linj = ^' 8o{Si02)' fh{Eox)'dox ' D where N^^o is the initial density of neutral traps [cm-^] and D is the dose rate [Gy/s].
Assuming that the ionizing radiation does not introduce any new hole trap, and that the annealing of the oxide charge can be omitted during exposure, then the variation in the number of trapped holes is given by (-Qini^^h W Notit) = N,too 1 - exp\
with Qiy^j ^\linydt
(10.39)
A more comprehensive treatment of hole trapping kinetics during irradiation can be found in Sect. 4 of Chap. 11 of this volume. A quantity called the hole trapping factor A' has been introduced [60] to express the radiation hardness of a given technology. This parameter can be estimated from the initial slope of the AQ^^ versus AQj^; curve, (i.e. when NQJ is negligible compared to N^^), according to
dN^ ot dt
=
e.g^{Si02\fh{EoxU,,.D.^.N,
(10.40a)
t =o
A' = ^
m
= a,.N,.
(10.40b)
inj
The hole trapping factor A' is therefore the product of the initial density of neutral hole traps and of the trapping cross-section. This quantity is largely dependent on technological and processing steps.
Radiation Effects in Electronic Components
689
5.7 T H E GENERATION OF INTERFACE STATES
Along with hole trapping in Si02, a build-up of interface states (of density Nj^ [cm-2]) takes place after irradiation. The prompt and delayed generation of interface states is a process which is much more complex than that of charge trapping in the oxide and one which displays a dependence on time, temperature and field. Several models have been developed which reflect the complex nature of this generation process. • Lai [6] proposed a two-step model in which the incoming holes are first able to break the strained Si-O-Si bonds at the interface. The interface states are then formed by electron capture of these hole traps. • A different model [62] assumes that a Bond-Strain-Gradient (BSG) forces non-bridging oxygen defects to migrate to the interface resulting in the generation of interface states. Trivalent Silicon atoms formed by these defects react as interface states. It was found that the presence of OH groups supports defect migration. This model is valid in the scope of the interfacial mechanical stress model [62]. However, the time, field and temperature dependencies of the build-up are not sufficiently explained by this model. The extrinsic defects encountered in Si02 and which are related to hydrogen, have also a strong influence on the build-up of Nj^. Two models dealing with the reaction of atomic hydrogen at the Si02 interface have been proposed. • The model introduced by McLean [68] describes the delayed build-up process of Nj^ by the reaction of the positively charged hydrogen W with defects at the Si02/Si interface. The field dependence of the delayed generation process is related to the presence of positively charged hydrogen. This model is supported by the bulk of experiments [69-72]. • The model of Griscom [73] is based on the diffusion of neutral hydrogen H^, which reacts with the Si-H defects at the Si/Si02 interface. This reaction requires an electron from the Si substrate. This generation process depends on the supply of substrate electrons, which explains the fact that it is field-dependent. 5.8 T H E ANNEALING OF THE OXIDE-TRAPPED CHARGE
Most of the trapped holes anneal with time. Two models can be used to describe the observed logarithmic time dependence of hole annealing after irradiation. • The thermal emission model assumes that the annealing of Q^^ is due to the thermal emission of holes from the traps within the oxide, to the oxide valence band. The annealing behaviour of Q^^ as a function of temperature and oxide field has been investigated in great detail [74-80]. • McLean's tunnel model [78] infers that positive charges recombine with electrons tunneling from the substrate into the oxide.
D. Braunig and F, Wulf
690
• McWorther et al. [79] recently derived a model explaining hole annealing by a combination of the tunnel and thermal emission models. It is illustrated in Fig. 10.18. The tunnel front Xjn(t) moves into the Si02 layer with a velocity of about 0.2 nm per decade of time, p is related to the damping factor of the wave function and is therefore a function of the strength and polarity of the oxide field and of temperature [80]. The combined tunnel and thermal emission model is consistent with many experimental findings [81-84].
Tunneling front
N
Xm = ^ l n ( a t ) Thermal emission front (|)^-—In(AT^t)
Fig, 10.18 Band diagram illustrating the two independent annealing mechanisms: tunneling and thermal emission.
Silicon Si02
5.9 THE MICROSCOPIC NATURE OF HOLE TRAPS AND INTERFACE STATES
One cannot gain sufficient information about the physical nature of hole traps from electrical measurements alone. Physical analysis methods such as X-ray Photoelectron Spectroscopy (XPS) [62,85], Electron Spin Resonance (ESR) [86-91] and Optical Spectroscopy must accompany electrical investigations. Most of the basic work was done on quartz and fused silica as well as on thermally-grown oxides used for MOS devices. In this sub-section only a brief sunmiary of results and models can be given. More details can be gathered in [50,92] or by reading Chap. 11 of this volume. Only those properties related to radiation will be given next. One usually distinguishes between intrinsic (structural) and extrinsic (impurity-related) defects. 5.9.1 Intrinsic defects To date, close to a dozen of intrinsic carrier traps have been identified in silica, of which about ten are hole traps. The traps do not usually exist as such but are generated by the reaction of a "precursor" with an outside element (hole, radiation, electron, hydrogen atom, etc.). The precursor can be an oxygen vacancy, a strained Si-O-Si bond, an hydroxyle group, a peroxyle bridge, a tri-coordinated silicon atom at the interface, etc., in fact anything that makes the tetrahedral network of silica deviate from its "ideal" state.
Radiation Effects in Electronic Components
691
A defect frequently encountered in silica is the oxygen vacancy (Si- -Si) which has been identified by ESR measurements as being the precursor of the E' hole traps [95]. The 1 to 1 correlation often found between the areal density of E' centers and the magnitude of the oxidetrapped charge QQ^ confirms that the oxygen vacancy is indeed a hole trap precursor [88]. Another precursor of hole trap is the strained Si-O-Si bond. The normal Si-O-Si bond angle is about 144"^ (value normally encountered in bulk silica). However, this angle may be reduced, for example close to the Si-Si02 interface (about 1-4 nm away). These strained bonds are easily broken by holes or by a radiation thereby generating a tri-coordinated silicon atom which is positively charged. Let us note that the density of strained bonds is less in "radiation-hard" oxides than in "soft oxides" [93]. Oxygen atoms can also behave as precursors. Two defects have thus been linked to oxygen: the Non-Bridging Oxygen Hole Center (abbr. NBOHC) and the superoxide radical. Nonbridging oxygen defects have been shown to migrate to the Si-Si02 interface under a strain gradient. This generation process leads to the Bond-Strain Gradient (abbr. BSG) model [94]. Let us recall at this point that early on, Revesz [95] had suggested that hole-trapping is an intrinsic property of the Si-0 bond. 5.9.2 Extrinsic defects The potential role of water-related hydrogen and hydroxyle ion impurities tying up dangling bonds during processing has been emphasized by Revesz [95, 96] and Balk [97]. Some years ago, the role of hydrogen and of hydrogen-related defects grew in importance again to understand the long-term stability problems of Si02. The two basic extrinsic defects encountered are the Si-OH and the Si-H configurations. The Si-OH group is an acceptor-like defect, whereas the Si-H group is a donor-like defect and the reactions with electrons and holes are as follows [95]: Si'OH+e==> Si - O'+ H^ Si-H+h=>Si^
+ Ho
5.9.3 Observed correlations The presence of trivalent silicon atoms in the bulk of Si02, bonded to three oxygen atoms in an oxygen vacancy configuration, is correlated to the E' center. A trivalent silicon atom at the interface, backbonded to the silicon atoms of the substrate, is identified as a P5 center and is associated with interface states [98-105]. Unlike the E' center, the charge state of the P^ center depends on the position of the Fermi level in the silicon. It should be noted that the same defect type is related to the positive oxide-trapped charge Qot^r to the interface state Nj^ depending only on its distance to the Si02/Si interface [106]. The tunneling process of electrons into a trap or out of a trap is only controlled by the distance between the trap site and the interface. The same phenomenon explains the difference between slow states and oxide charges. The energy distribution of the interface state density Dit((ps) has been correlated to the distance and to the nature of the atoms surrounding the trivalent silicon atoms at the interface [107].
692
D. Braunig and F. Wulf
5.10 SUMMARY AND DISCUSSION
The build-up of oxide-trapped charges as well as the prompt and delayed generation of interface states has been a substantial part of research activities for more than 20 years. A satisfactory model, able to explain all experimental findings, is still lacking. This is due to the extremely complex way in which radiation interacts with the non-crystalline structure of silica and its interfaces. The combination of electron trapping, chemical reactions of hydrogenrelated defects and their subsequent diffusion and a strongly disordered but flexible structure of vitreous silica can hardly be explained by one universal model. Using a slightly modified presentation developed by Griscom et al. [108] the above mentioned models are visualized in Fig. 10.19. A fraction of electron-hole pairs generated by ionizing radiation, recombine and form excitons, which recombine or create electron traps by breaking Si-OH bonds (Si-OH^Si-O* +Ho). Under the action of the electric field, the remaining electron-hole pairs are separated and the electrons move to the gate-oxide interface. The electrons are able to break Si-OH bonds and are then trapped in the Si-O" defect while atomic hydrogen is released. The holes move by way of polaron^"^^ hopping processes to the negatively biased interface. Some of the holes are trapped in E' centres or in hydrogen-related defects and contribute to Q^^. Other holes react with hydrogen-related defects like Si-H at the interface and generate interface states (of density Nj^). Depending on the defect density which exists initially in the oxide or, in a more applied sense, depending on the radiation hardness of the oxide, most of the holes reach the Si02/Si interface and recombine with substrate electrons. Time-dispersive hole transport is described by the CTRW model. The interactions of the polaron model are denoted by the dotted area in Fig. 10.19. Reactions with Si-H or Si-OH defects release atomic hydrogen H^ which can recombine with another H^ to form molecular H2, or react with a polaron to form H+. The motion of hydrogen is responsible for the delayed generation of interface states. Neutral hydrogen moves to the Si02/Si interface by diffusion whereas positively charged hydrogen moves by diffusion and field-assisted drift. In both cases, electrons are needed for the reaction at the interface to form dangling bonds (P5 centers). Reverse reactions of atomic and molecular hydrogen with defects of Si02 must be included in the reaction model. The time-dependent post-irradiation build-up of interface states, caused by neutral hydrogen, is defined by diffusion and nth-order (n = 2 - 3) chemical reactions of H^ with Si02 [73, 109]. The time dispersive transport of the H+ species can be explained by the CTRW model [109] with parameters which differ slightly from those used for hole transport (see Table 10.7).
"^ V^hen a free electric charge (electron or hole) moves in a solid, it creates a local deformation of the crystalline network because of the Coulomb interactions it exerts on the ions and atoms of the network. The moving charge and its stress field is called a polaron.
Radiation Effects in Electronic Components
693
Fig. 10.19 Illustration of the physical processes which explain charge build-up. The ellipses represent the defects encountered in the Si02 layer and the circles contain the reaction product. Reactions of holes with the Si02 material are marked by the dotted area. Released hydrogen is marked by shaded circles. Silicon atoms = Si- in the bulk of Si02 are bonded to three oxygen atoms. Silicon atoms = Si- at the interface are bonded to three substrate silicon atoms (after [108]).
Hydrogen H+
Polaron h
0.38
0.25
Hopping distance a
3.3 nm
1 nm
Activation energy E
0.8 eV
0.64-0.75 eV
Field dependence
^E-l-75
~ exp ((AEo-b.Eox)/kT)
Dependence of ty2 on oxide thickness
-do.2.6
-dox^
Disorder parameter a
Table 10.7 A comparison of hydrogen and polaron transport characteristics [72].
694
D, Braunig and F. Wulf
The build-up of Q^^ and N^^ induced by ionizing radiation and their post-irradiation annealing behaviour is of a very complex nature and depends on technology, radiation type, energy, dose rate, bias conditions during and after exposure and temperature. The vast number of influencing parameters limits the establishment of a simple model capable of predicting the radiation response of MOS devices. 6. RADIATION-INDUCED INSTABILITIES IN MOS DEVICES Because they operate by means of gate-controlled currents, MOS devices are very sensitive to ionization phenomena. We review next the electrical parameters most affected by irradiation and show that the careful characterization of these parameters can in tum be used to evaluate the amount of ionization-induced damage. 6.1 THE AFFECTED ELECTRICAL PARAMETERS
The operation of MOS devices is governed by the gate-controlled modulation of the channel resistance at the Si/Si02 interface. The gate voltage necessary to open the conduction path between source and drain is conmionly called the threshold voltage (V^j^) and is defined for a given drain current, for instance Vj^Cl |iA). The shift in threshold voltage (usually towards more negative values) is the most important variation induced by ionizing radiation. There exists a characteristic asynmietry in the shift of the threshold voltage, with regards to polarity of the bias applied during irradiation, due to the role played by holes in charge buildup. When the gate is positively biased, the holes are driven to the Si02/Si interface, and contribute to the positive oxide-trapped charge. If the gate is negatively biased, the holes cause only a minor charge build-up in the insulator. This is the basic reason why PMOS devices are about one order of magnitude more resistant to ionizing dose than NMOS devices. In the following we shall concentrate on a positively biased structure which represents a worst-case situation. Aside from threshold voltage, several other electrical parameters of MOS devices can also be affected by radiation. After an irradiation one usually notes one of the following "symptoms": • • • • • •
a decrease in transconductance, an increase in leakage currents (drain-source, etc.), a reduction in drain-to-source breakdown voltage, an increase in noise, a reduction in surface mobility, an enhancement of the surface recombination velocity.
Regarding CMOS devices one notes in addition: • a reduction in output voltage, • a decrease in maximum output current, • an increase in propagation delay time, • an enhancement of the quiescent current.
Radiation Effects in Electronic Components
695
All these changes are attributed to the generation of additional, radiation-induced, oxidetrapped charges Q^^ and interface states Nj^. As already stated in Sect. 5, this generation depends on a variety of parameters and the time- and field-dependent properties are of particular significance for the characterization of the device behaviour.
INTERFACE TRAPS RESULTING FROM INTERACTION OF HOLES
ELECTRON-HOLE PAIRS GENERATED BY lONIZINa RADIATION
POLY-Si HOPPING TRANSPORT Of HOLES THROUGH LOCAUZEO STATES INSIO2BULK
Fig. 10.20 Schematic representation of the band structure of the MOS system illustrating the physical processes contributing to charge build-up [49]. 6.2 T H E TWO CONTRIBUTIONS TO CHARGE BUILD-UP
We show next how the increase in Q^j and Nj^ affects the behaviour of the two most commonly used device structures: the MOS capacitor and the MOS transistor. To select these basic structures as test vehicle is reasonable because their response can be used to extrapolate results for more complex integrated circuits. Figure 10.20 shows a band diagram of the basic MOS structure and illustrates, schematically, how it responds to ionizing radiation [49]. Electron-hole pairs are generated and after thermalization, are separated by the applied field. The field may for instance be directed from gate to substrate, if the gate is positively biased with respect to the substrate. The electrons leave the Si02 instantaneously due to their high mobility, whereas the holes hop to the interface while some of them get trapped in the vicinity of the interface within the oxide. A positive, time-delayed charge build-up is observed due to an increase in both Q^^ and Qj^ (Qit increases when Nj^ increases but its magnitude depends also on the value of surface potential (pg). As a result the radiation-induced voltage shift in a MOS device can be expressed as the sum of two voltage shifts. One is caused by an increase in Q^^ while the other is caused by an increase in Qj^. This can be written, for a MOS transistor ^Vth = AV,t + AVi,
(10.41a)
696
Z). Braunig and F. Wulf
whereas for a MOS capacitor we can write AVpB = ^Vot + AVit
(10.41b)
AV^g = AVot
(10.41c)
and
where Vpg is the flatband voltage of the MOS capacitor and V^g is the midgap voltage of that same structure (see § 5.1 of Chap. 11 for more details as well as Chap. 15 of Vol. 2 for the derivation of Y^ in the general case). The contribution of the radiation-induced oxide-trapped charge can be written:
AVot ='
y^oxj
]Ap(x)--dx = —-^ d C ox
(10.42)
ox
where d^x is the oxide thickness, p(x) is the bulk distribution of the charge and CQ^ is the oxide capacitance (expressed per unit area). AQ^j is commonly considered as a sheet charge located at x=doxThe contribution of the additional interface charge, can be written:
and therefore the total threshold voltage shift can be expressed as
^'',/,=-r-K,+4fi, («>,))
(10.44)
OX
It is generally accepted that the interface states are of the acceptor type, if they are energetically located in the upper half of the Si bandgap and of the donor type in the lower half and that the interface charge is zero if the Fermi level is located at midgap, i.e. that both types are electrically neutral at that band bending.
Radiation Effects in Electronic Components
697
This property is very useful to distinguish between these two types of charges because at a midgap position the voltage shift reflects just the change in oxide charge and therefore the change in interface-trapped charge can be evaluated by measuring the change in the slope of the C(V) or I(V) characteristics (see § 5.1 of Chap. 11 for more details). The number of radiation-induced oxide traps can therefore be extracted from AQ ^ I =—^ = - ~ C
AN ot
^
' AV ^ ox
^
(10.45)
at
^
"^
and
AN,^ = — - =\AD.'d(p=-'C It
p
J
It
^s
' AY. p
ox
It
(10.46) ^
^
In the various methods used to determine the energetic distribution Dj^ (E) of the interface states one must be careful to eliminate the contribution of minority carriers to the response. For all measurement techniques the determination of Dj^ is more accurate in the region of the band gap located between mid gap and flatband. In many experiments only the integral part of the interface charge between mid gap and flatband is used for the treatment of the interface states response. There are further complications: • Due to time- and field-dependent responses of both charges one has to take into account that during the measurement the density of charges may change with time (and field) • The effect of Lateral Non-Uniformities (LNU) [110] often results in an erroneous data extraction using the above-mentioned procedures. Tab. 10.8 summarizes the possibilities of the most commonly used measurement methods [111]. A description of many of these techniques can be found in Chap. 12 of Vol. 2 of this series. 6.3 SOME EXPERIMENTAL EXAMPLES
Some features of these measurement results will be given in the following for MOS capacitors and MOS transistors. Capacitors: The response of a MOS capacitor, measured at various intervals after a pulse of ionizing radiation of 2 kGy(Si02) has been applied, is shown in Fig. 10.21. It illustrates the time-dependent build-up of Q^^ and Nj^ [112]. Shortly after the pulse, the C(V) trace shifts in a parallel fashion thus indicating an increase and a subsequent decrease of QQ^ with time (0.04 to 4 s). This is followed by a further decrease of Q^^ but also by the development of interface traps. The resulting interface trap distribution, measured on the same sample using the Terman technique, is shown in Fig. 10.22 [112].
D. Braunig and F. Wulf
698
Method
Sensitivity [lOlOcm-2 eV-1]
Region of band gap probed[eV]
Application:
Complicated by Lateral Non Uniformities YES NO
Research, Production, Hardness Assurance
Reference
Capacitors Stretch out
1-2
0.5-0.6
R,P,HA
Y
[116,117]
Terman
1-2
0.6
R,P,HA
Y
[118]
Quasistatic
1
0.6
R
Y
[119,120]
High-Low
2-5
0.3-0.4
R
N
[120]
Gray-Brown
1
0.2-0.3
R
N
[121]
Jenq
1
0.7
R
N
[122]
0.1
0.6
R
N
[123,124]
1-2
0.6
R,P,HA
Y
[125]
0.1
0.6-0.8
R,P,HA
N
[126,127,128]
Conductance
| 1 1
Transistors SubThreshold 1 Charge pumping
Tab. 10.8 A review of techniques used to measure interface traps and oxide traps in capacitors and transistors [111].
o z < I-
o
< oo < Q.
o
UJ N
^ O n-TYPE<100>
o
DOSE==200 krad (SiOa)
z -3
-2
-1
0
APPLIED VOLTAGE (V)
Fig. 10.21 Normalized 1 MHz C^JV) curves after a pulsed irradiation [112].
Radiation Effects in Electronic Components
699
DRY Si02 1000-C *ox* 71.4 nm n-TYPE<100> ^ 2 0 0 krad (SiOj)
> CM I
10 12
E o
400ms 40mt PRE-RAO
10^ VALENCE -y-0.8 BAND EDGE
-0.6 -0.4 -0,2 0 SURFACE P0TENTIAL,
0.2 \ _ CONDUCTION BAND EDGE
Fig. 10.22 The measured interface trap density is plotted versus bandgap energy. The evolution of the Di^E) distribution is plotted for various post-irradiation times after an irradiation pulse has been applied [112].
Transistors: A typical sub-threshold measurement of the IDSC^G) characteristics at V D S ^ ^ I O ^ is shown in Fig. 10.23 [113] for a number of subsequent irradiations. The characteristic points of the IDSC^G) curve corresponding to a particular band bending (inversion and midgap) are indicated. From the change in slope, the change in the interface trap density can be extracted [125] according to ^OX
M)u =
k,T
So-S
1
(10.47)
In(lO)
Here S^ and S2 are the slopes (expressed in mV/decade), before and after irradiation, of the sub-threshold I(V) curve and k.T is the Boltzmann factor. 10 1 VDS=IOV 10 h
L
10
a1
< CO
10
1
-10 L
^
^^^yd ^invyL(!L/ 1 Mrady / iPRE
10
^ 3 0 krad
.•12
10 10
1
y^300 / /
•14
I- j^ \ y
' /
i n / /.
1
mg
1 1 1 1 1 1 U - 5 - 4 - 3 - 2 - 1 0 1
1 i 2
Gate voltage [v]
\ 3
\ 4
\ 5
Fig. 10.23 Example of sub-threshold ^DS(^G^ CMrve5 measured on a MOS transistor when a positive gate voltage is applied during irradiation [113].
700
D. Braunig and F. Wulf
lo--'
h 10 •< 1-
10'
^m m
OKI-TT N-CHANNEL
/ |
VQS-SV
f
10"
Fig. 10.24 Sub-threshold response of an n-channel transistor with recessed field oxide following a Co-60-y - irradiation [114],
200rad(SI)/t
C/5
r^^"'^^^'^ ^^-y^"^^ 2 n J^^^ 10 10 IT
Y 10
^^--^-""'^'^ 1
L 1 2 l=>
10- 14
_ _ .
L-j .4
\
1
.3
-2
J
\—
'
'
1
I
1
Gate Voltage [V]
1
^
3
r h
1 » nn|
^
r L
I' I •[ I—
>
1
y^^A
L
^VT
^y
^
A J
\\i:^^—\J y^ ^A \ c ^^ ^^^'"^^^
X
rk— '
1 1"7 T I T I f i
^"^N^ ^-"""^
^ ^
L
-^
\
A--^^AVN,T
L
-2
1 1 1 1 llllj '
i^Z^r-ff"
V //
yj/t
r
Fig. 10.25 The threshold voltage of a MOS transistor and the contributions of the radiation-induced oxide and interface traps are plotted versus time at two different anneal temperatures [115].
1—1 » 1 m '• i '1—r-i1 1 Ttnia
r^ ^k
)AVMOT
"^(
Irradiation -^
» -^
Before
......ini
o.i
^^^
/o^^-^ ^'"^
— Anneal
1...JL.J Atmi
i,o
io,o
1
4
125 "Ci 25°C1
J
1
100
1 1 1 1 mil
1000
(l-106rad) The same type of irradiation has been appUed to a transistor possessing a recessed field oxide [114] and similar sub-threshold characteristics have been measured as shown in Fig. 10.24. The response differs from the previous case and is explained by the introduction of leakage paths at the sidewall connecting drain and source. Applying the sub-threshold technique to that device would result in large errors in the determination of ANj^. Just as we did for capacitors, the individual contributions to the AV^^-shift can be determined as shown for an MOS transistor in Fig. 10.25 [115]. The results are quite similar to those obtained for capacitors.
Radiation Effects in Electronic Components
701
Finally, the "turn around" effect, which is of particular concern for CMOS devices, is presented in Fig. 10.26 [24]. The asymmetrical response of p-channel and n-channel devices is caused by: • the time-dependent build-up and the anneal behaviour of Q^^ and Nj^, • the different operating conditions of the two types of transistors with different locations of the Fermi level and in turn the fact that acceptor-type interface traps lie in the upper half and donor-type interface traps lie in the lower half of the bandgap. 4 3
N-CHANNEL TRANSISTOR
Fig. 10.26 The threshold voltage ofp-and n-channel transistors of a CMOS device are plotted versus dose[24]. The "turn around" effect is visible for the n-channel transistor.
2
o o o
1
X < lU -J
8 0
tc o
X
>
-1
TOTAL IONIZING DOSE[rad (SI)]
-2 -3
P'CHANNEL TRANSISTOR
-4
6.4 MISCELLANEOUS EFFECTS
As already pointed out, many irradiated semiconductor devices display a mixed behaviour, i.e. they suffer both from displacement damage and total ionizing dose. As a result, damage depends on the nature and on the energy of the radiation species under consideration. For instance, an electron transfers most of its energy through ionization, whereas a proton can be damaging through both degradation modes (although ionization usually dominates) and a neutron is only slightly ionizing, but predominantly displacing. Figure 10.27 illustrates this behaviour by showing the degradation of the common emittor current gain of an npn-transistor [129]. Here different radiation species have been used to demonstrate the relative importance of displacement damage and of TID. Depending on the ionizing nature of the radiation species, at lower particle fluences the saturating damage by TID is evident. At higher fluences, and in agreement with the damage factor concept, the reciprocal gain varies linearly with fluence. The different onset of the latter behaviour is reflected by the different ratios of displacement to ionization energy loss. There is a clear order from 2 MeV-protons, to 1 MeVneutrons, to 2 MeV-electrons to Co-y-rays.
702
D, Brdunig and F. Wulf
Fig. 10.27 Change in the reciprocal hf£ of a bipolar transistor with fluence using various particles [29].
2 N1613 (npn) 10^
10^
10^^
10^
10^^
10^
10'«
Fluence in particles/cm^ 1. A REVIEW OF RADIATION DAMAGE IN VARIOUS SEMICONDUCTOR COMPONENTS We saw in the previous sections how displacement damage affects the characteristics of bipolar transistors and how ionization phenomena affect the characteristics of MOS transistors. We review next the sensitivity to radiation of a wide array of devices. 7.1 INTRODUCTION
The range of sensitivity to radiation of modem electronic devices is extremely vast. For instance, CCD imagers (operating at cryogenic temperatures to improve the signal-to-noise ratio) may fail after absorbing a few Gy(Si), whereas some solid state detectors or hybrids may withstand 100 kGy(Si) nearly unaffected. In addition, some fabrication processes and layout procedures may influence the hardness of a device by several orders of magnitude (towards worse or better performances). Even devices bearing identical part numbers usually experience quite different radiation responses, depending for instance on manufacturer and date code. It is therefore not an easy task to decide whether a given device family can be labelled as "hard", or "soft". What's more, the measured sensitivity of a component to ionizing dose depends strongly on several factors such as: the dose rate, the biasing conditions during and after irradiation, and temperature, as previously shown in Sect. 6 (the impact of dose rate itself will only be briefly described in § 8.2). The sensitivity of a device to displacement damage it is not quite as complex to forecast as the sensitivity to ionization damage, since here the interdependence between damage and device operation is more obvious and easier to simulate or predict. It is for example commonly acknowledged that bipolar technologies, with very fast transistors like ECL, are very hard against displacement damage.
Radiation Effects in Electronic Components
703
In the following, despite all the mentioned uncertainties, we shall attempt to discuss the common response of individual devices and integrated circuits to both ionizing dose and displacement damage. The main results will be summarized in Table 10.9. 7.2 DISCRETE DEVICES
7.2.1 General remarks As mentioned earlier, the displacement of lattice atoms and the generation of electrically active recombination centers reduce the minority carrier lifetime, which is the most sensitive electrical parameter. In a radiation environment it is therefore a good practice to use, whenever possible, devices whose operation is almost independent of this parameter. To that category belong majority carrier devices like JFETs or high frequency bipolar transistors. In addition, bipolar transistors should operate in a current range where the dc current gain is almost unaffected by the collector current value. As pointed out earlier, ionization damage affects surface properties. In the case of MOS transistors, a positive charge build-up in the gate oxide leads to a negative threshold voltage shift which, however, can be counterbalanced by applying a more negative gate bias. When the charge build-up occurs in a field oxide, this compensation is not possible. P-layers located below an irradiated insulating layer become less p-type or may even display a (more or less pronounced) n-type behavior thus introducing leakage paths or changing the amount of surface conductivity. Because of this effect, the dc current gain of a bipolar transistor as well as the transfer efficiency of a CDD will be reduced. 7.2.2 Diodes Diodes are usually not very sensitive to radiation-induced damage. An increase in leakage current is observed for rectifiers. Switching, microwave and tunnel diodes are quite insensitive. Voltage reference diodes, especially when they are temperature-compensated ones, may display some change in the reference voltage and an increase in leakage current. High-voltage diodes as well as four-layer structures (thyristors) are, however, sensitive to displacement damage. 7.2.3 Transistors The transistor family includes bipolar. Junction Field Effect and MOS devices. These devices differ greatly in technology and in the way they operate. Junction Field Effect Transistors (JFET) are the least sensitive species. Bipolar and MOS transistors suffer both from surface effects: bipolar transistors because of the oxide layers encountered around the emitter and above the base and MOS transistors because of gate and field oxides. The parameters which are the most sensitive in bipolar transistors are the common-emitter current gain (hpg) and the leakage currents, (ICBO ^^^ instance). The saturation voltage VcEg^t ^^ ^^y affected at much higher doses and fluences.
704
D. Brdunig and F. Wulf
The radiation-induced changes in MOS transistor characteristics have been discussed in earlier sections. The most sensitive parameters are the threshold voltage, the transconductance, and the leakage currents. 7.2.4 Optoelectronic Devices These components include a wide variety of materials, functions and sensitivities. • The sensitivity of LEDs (Light Emitting Diodes) depends strongly on the substrate material used. • Phototransistors are sensitive to ionization and very sensitive to displacement damage. • Photo-diodes are sensitive to ionization. • Optocouplers which combine an LED and a phototransistor are sensitive both to ionization and displacement damage and even more so than their individual parts. However some optocouplers which use LEDs and diode detectors may be quite hard. • The main damage to solar cells is caused by displacement damage resulting predominantly in a yield reduction at larger wavelengths (red). • Optical waveguides are extremely sensitive due to the creation of scattering and absorption centers. However, hardened fibers are available. • CCDs are sensitive to ionization especially when operated at low temperatures. Improvements are possible by using buried channels. Some CCD configurations may be sensitive to displacement damage also. 7.3 INTEGRATED CIRCUITS
7.3.1 Linear Integrated Circuits Due to their usually low operational current, dc coupling and matching properties, linear integrated circuits are extremely sensitive to both displacement and ionization damaged Failure levels of less than lOOGy(Si) are sometimes encountered. There exist however radiation-tolerant technologies for linear IC's. They usually make use of dielectric isolation (the active elements are separated from one another by oxide layers) and thus can feature vertical pnp transistors instead of lateral ones. Some of these technologies are described in Chap. 2 of this volume.
^ The damage observed at low operational currents in a neutron environment is usually dominated by the indirect ionization produced by the neutrons and by displacement damage itself. However performance degradation due to displacement damage does increase as one goes to lower operating currents.
Radiation Effects in Electronic Components
705
7.3.2 Digital Integrated Circuits Fast bipolar ICs are generally rather hard due to their fast transistors and to their operating at high current density. MOS ICs are sensitive to ionization just like their discrete counterparts. Radiation-hard digital ICs (> l-lOkGy(Si)) are also available in various technologies. 7.4 AN OVERVIEW
An overview of radiation responses is given in Tab. 10.9a, b for a variety of device families whereas a more detailed picture of sensitivity to radiation for various IC technologies and device families is given in Tab. 10.10. I D
D I S
Remarks O=not sensitive 3=very sensitive
Diodes Rectifier
1
1
Switching Diode Voltage Reference TCZ reference
1 1 2
1 1 2
Generation and recombination increase. Breakdown voltage is slightly enhanced. At high fluence, series resistances increase. No severe limitations. Switching becomes even faster. Temperature compensated Zener references are more sensitive than regular. Zener voltage references. Upon irradiation the temperature coefficient becomes positive. J
Transistors Bipolar LF-
3
3
Bipolar HF-
1
1
1 Microwave 1 Power JFET MOSFET
1 3 1
1 3 1
3
0
Devices
ID: Ionizing Dose DIS: Displacement
Very sensitive, particularly at low currents. Current gain decreases. Leakage currents increase by orders of magnitude. | Less vulnerable than LF-Transistors. Operation at higher frequency improves radiation hardness. | Thinning the base improves radiation hardness. | Very sensitive particularly at low currents. | Si and GaAs Fets (J and MES-) are usually hard against radiation damage. | Very sensitive to ionizing dose, pMOS are slightly harder than nMOS. Due to their anomalous annealing behaviour (reverse annealing) the nMOS degradation is hard to predict. For n- and pMOS, threshold voltages usually shift to more negative values, and transconductance decreases. Leakage currents (also under field oxides) increase by orders of magnitude.
Tab. 10.9a A brief overview of the radiation sensitivities of several families of discrete components. After [23].
D. Brdunig and F. Wulf
706 Linear ICs OPAMP
3
3
1 Comparator [Reference Source
2 2
2 2
Digital ICs TTL/ECL LSTTL CMOS/HCMOS
1 1 3
1 1 0
1
0
1 1 3 3
0 1 0 1
CMOS 1 (rad hard) SOS(rad hard) GaAs HMOS EPROM
OptoElectronic Dev ices LED 0 2 Phototransistor OptoCoupler Solar Cell
3 3 0
3 3 3
Optical Waveguide
3
1
CCD
3
1
Very sensitive, but hardened OPAMPs are also available. The sensitivity is caused by low current operation, matching properties and DC coupling. Sensitive, large failure range. Technology dependent. Sensitive.
Hard technology owing to fast transistors. ECL even harder than TTL. | As TTL with minor deterioration. | The commercial parts use technologies most vulnerable to ionizing dose. The failure mechanisms are closely linked to those of n- and pMOS devices. | Changes in technology and design improve the performance up to 1 to 10 kGy Expensive. | Like rad-hard CMOS. Expensive. | Quite hard against ionizing dose and displacement. | Very sensitive, but hardened devices are available. | Very sensitive due to a discharging of the information. At higher doses, the tunnel characteristic of the silicon dioxide junction becomes degraded.
GaAs is relatively hard. The creation of non-radiative recombination centers limits the light output. Lenses darken. Very sensitive. Photo-sensitivity decreases rapidly. Combination of LED and phototransistor is weaker than individual parts. Very sensitive to displacement damage by reduction of diffusion length, lowering the yield, particularly in the long wavelength region (red). Extremely sensitive due to the creation of scattering and absorption centers. Hardened fibres are available. Most sensitive devices, particularly when operated at low temperatures. Improvement is possible by using buried channel CCDs.
Tab. 10.9b A brief overview of the radiation sensitivities of several families of integrated circuits and optoelectronic devices. After [ 23].
8. THE HARDENING OF ELECTRONIC DEVICES Radiation hardening designates a set of concepts and techniques used to improve the ability of an electronic device (or equipment) to withstand a specific radiation environment. We limit next our review to those approaches commonly used in hardening silicon devices. 8.1 INTRODUCTION
For Si devices the word "hardening" is usually linked to the technological improvements which circumvent those processing steps which result in poor radiation resistance. Beside process changes, there exist other means of improving the hardness of a given device: namely layout improvements and shielding.
Radiation Effects in Electronic Components
101
Total Dose rad(Si) Technology/device family CMOS bulk RAD hard CMOS HCMOS CMOS/SOS
RAD hard CMOS/SOS /SOI NMOS DMOS VMOS PMOS PMOS/SOS NMOS hardened NMOS/SOS hardened JFET DRAM SRAM PROMS Microprocessor Diodes bipolar Transistor Standard TTL Low-power Shottky TTLOLS) Advanced Low Power Shottlcv Fast TTL Emitter-coupled logic (ECL) Current injection logic Optoelectronic devices Linear IC's
Total Dose Gy(Si) faihire observed
electrical parameters failed no functional error
ultra hard technology
Tab, 10.10 Ranges of applicability of device families regarding ionizing dose. After [23].
From an economics point of view there exist strong arguments not only to harden against one failure mechanism, but if possible also against all possible ones. In this respect, the Buried Oxide Technology is a promising technology which offers advantages against displacement damage. Ionizing Dose and Single Event Phenomena. This BOX expression designates in fact different technological versions (see Chap. 2 for more details). The most mature of these technologies is CMOS/SOI, provided back-channel leakage is controlled. Unfortunately, this silicon-based technology is much more expensive than all other commercially available ones. This constitutes a major hardening problem: any deviation from commercial production processes and lines is expensive and the availability of hardened devices on the open market is not always guaranteed. In addition, maintaining a production line of hardened devices, reliably-manufactured over long periods of time, is often very hard to achieve. Device hardening often leads to a decrease in device performances, for instance in speed and complexity. However, some technologies, particularly CMOS, are so well suited for space applications that these disadvantages have to be accepted.
708
D. Braunig and F, Wulf
8.2 HARDENING BY TECHNOLOGY
In its early years, the MOS technology was expected to be very hard: a majority carrier device with an insulating layer should have been rather hard against displacement damage as well as against Ionizing Dose. But it turned out that the last assumption was not true and for more than two decades hardening against Ionizing Dose was the main topic of research in the device and radiation community. In some sense this is still the main objective today although our understanding has improved dramatically and conmiercially available integrated circuits can now withstand absorbed doses of more than 10 kGy(Si) without any functional failure. Some examples of hardening by technology will be discussed next. It is reasonable to begin with MOS and CMOS since many aspects can then easily be extended to bipolar devices. 8.2.1 The hardening of MOS technologies As already mentioned, charge build-up in the Si02 layer and at its interfaces is the main reason behind the radiation-induced instabilities encountered with the MOS technology. Research in oxidation kinetics, post-oxidation anneal treatments and metallization [1301 have yielded several recipes to improve hardness. They are listed below: • The shift in threshold voltage is proportional to the square of the oxide thickness {AVp2 ^ " ^ox^* Therefore, a reduction in oxide thickness is a very easy way to improve hardness until general reliability constraints prevail. • Experiments have shown [130] that there is an optimum oxidation temperature for which the variations of the dose-induced threshold voltage shift with dose is minimum. This temperature is lower than the temperatures conmionly utilized in commercial processes. Hardened oxides should not experience temperatures above 900 °C during any of the processing steps. This requirement has some consequences on post-oxidation anneal, implantation damage anneal, diffusion temperatures (especially for poly-silicon gates) and planarization steps (oxide reflow). • E-gun metallization must be replaced by thermal evaporation [131], to avoid exposing the oxide to a high dose of X-rays (which is a by-product of using an e-gun). This X-ray-induced damage can be totally annealed in terms of flatband voltage shift, but some of the residual damage is merely neutralized rather than removed. • <100> silicon is superior to <111> silicon since it yields a reduced density of radiationinduced interface states. A further source of concern when hardening MOS devices is the presence of field oxides used as passivation layers and interconnection insulators. These oxides are very thick compared to the gate oxide and once a positive space charge builds up, the underlying pdoped semiconductor layers become easily inverted. The inverted surface layers act then as conducting paths, for instance between drain and source or between neighbouring transistors, thus leading to dramatically increased leakage currents. Measures to avoid these leakage sources are considered in the next paragraph. The same strategy is used for the hardening of MOS power devices.
Radiation Effects in Electronic Components Because of the way it is devised, the CMOS technology is intrinsically sensitive to latch-up mechanisms. This sensitivity can be enhanced by ionizing damage, but it is of particular concern in case of heavy ion interactions as we shall see in Chap. 12. Here the general precaution is to use epitaxial silicon layers on highly doped substrates to reduce resistivity. It should be mentioned that this precaution is conmionly used even for devices not exposed to radiation. Because MOS devices are majority carrier devices, they are mostly unaffected by displacement damage and no hardening against that effect is usually attempted. 8.2.2 The hardening of bipolar technologies For ionizing dose effects in bipolar devices, the problem of degradation by ionization is reduced by the fact that in general the doping concentration is higher in bipolar than in MOS devices and this property cuts down the probability of inverting p-regions below the surface. But the techniques used for MOS devices, regarding oxide degradation, can likewise be applied to reduce the sensitivity of, for instance, the current gain at low collector currents. For displacement effects in bipolar devices, a general rule holds: the dependence on the minority carrier recombination or generation lifetime should be minimized. This can be done by thinning the base. A rule of thumb is: a base width of 0.5 |im withstands a neutron fluence of 1014 cm"^. Unfortunately this is not applicable for a number of devices. For instance bipolar power devices or low-current signal transistors are vulnerable to displacement damage. Another way of hardening a bipolar device against displacement damage is to deteriorate beforehand the minority carrier lifetime. Any additional deterioration due to irradiation is then minimal (case of rad-hard Zener diodes). 8.3 HARDENEVG BY LAYOUT
As pointed out in the previous paragraph, the presence of a field oxide that lies on top of a p-type material is a potential reliability concern. This problem can be circumvented by the introduction of guard bands using ion implantation. A p+ region is formed just at the transition between the field oxide and the active gate region of the n-channel transistor, as indicated in Fig. 10.28. The disadvantages of this procedure are: - the introduction of additional processing steps, - the use of an increased area, and, - the fact that ion implantation can degrade the oxide quality.
709
710
D. Braunig and F. Wulf Al - Si
J L Poly j^: Guardband n^
n+
F/g. 10.28 The Guardband techniques [132].
A different technique called Closed Cell Layout (CCL) (Fig. 10.29) can be used. The drain contact is totally surrounded by the gate thus prohibiting the creation of any leakage path between drain and source. The disadvantages are similar to those of the previous case. There exist a variety of other approaches that are very specialized for specific devices or dedicated application. These cannot be covered in this book. Source
m^-mm Fig. 10.29 The Closed Cell Layout [133].
8.4 HARDENING BY SHIELDING
In satellites, the electronic parts are commonly located within the "skin" and under a varying amount of cases of different materials and thicknesses. These materials act as absorbing and moderating media of the radiation existing outside the satellite. Only very few parts are located outside. The shielding properties of the spacecraft structure constitute an important part of hardening. Let us consider a geostationary orbit at its best and worst parking locations. In Fig. 10.30, the yearly absorbed dose, expressed in Gray(Si) per year, is plotted versus shielding thickness, expressed in nmi(A^). For parts outside the satellite, this yearly dose amounts to about 1 MGy(Si) and almost no component of the satellite electronics could withstand this stress. This dose is reduced to about 10 or 50 Gy(Si) behind an Aluminium shielding 6 nmi-thick for the best and worst case, respectively. The dose-depth curve keeps decreasing with Ai thickness, although slowly for larger values.
Radiation Effects in Electronic Components
711
Geosynchronous Orbit 1E+07 C UJ (O
1E+06
1 /|BESTCASE:70*'W,L=7|
1E+05 I j ^
§^
" ^ 1E+04 1 ^ CO CD > 1 E + 0 3 1
/
|WORSTCASE;160*W.L=6.6l
/
\_\_, ^^
" / /
/ >^^
§
/
w"
1E+02 t
^
m ^
n •
1E+01 t 1
1E+00
4
6
1 _ j — 1 — 1—i__j—1—i— — i . _ i — • — I —
8
10
12
'
•
14
'
•
1
16
1
1
1
i '
' i-
18
. • f
20
SHIELDING THICKNESS in mm(AI) Fig. 10.30 Yearly absorbed dose versus shielding thickness (dose-depth curves) of a geostationary orbit for best and worst case parking positions. The curve has been plotted using software Spacerad (see Chap. 8 of this volume).
This slower decrease is due to Bremsstrahlung generated by energetic electrons, whose absorption per depth is less than that of the primary electrons. As a result of shielding, the absorbed dose for this specific mission is reduced by 4 to 5 orders of magnitude, by using about 6 mm of Ai shielding. The dose value reached for a 10-year mission is still dangerous for some devices but is acceptable for most of them. Solar cells on panels are directly exposed to the electrons and protons of the van Allen belts. The cells are particularly affected by displacement damage due here mostly to protons. To harden the cells, their surface is covered by a thin layer of quartz which shields them, to a large extent, against lower energy protons. 9. HARDNESS TESTING AND HARDNESS ASSURANCE Since it is difficult to predict accurately the lifetime of a semiconductor device operating in a radiation environment, prior radiation testing is mandatory. Testing is used: • to understand failure mechanisms, • to characterize the radiation response of specific devices, • to select parts, and • to provide data for dedicated applications. We describe next the procedures used to test circuits on the ground (in laboratories) and to simulate the expected space environment of the device. Traditional approaches for parts selection and hardness assurance are covered. We also make some recommendations for a cost-conscious treatment of the more complex aspects of integrated circuit test strategies.
D. Brdunig and F. Wulf
712
9.1 HARDNESS TESTING: PROBLEMS ENCOUNTERED AND PROCEDURES USED
In space, the encountered dose rates are on average about 10 |iGy(Si)/s (i.e. 1 mrad(Si)/s). These very low dose rates are difficult to use to test devices on the ground because it would take a long time to test how tolerant a device is to a given dose. Dose rates used in the laboratory are usually closer to « 1 Gy(Si)/s. One major goal of accelerated testing is the proper simulation of the time-dependent effects of charge build-up and charge annealing in insulators, particularly in the case of MOS devices. In Fig. 10.31 [134] a famous example is illustrated: it shows how the dose level leading to a failure depends on the dose rate, giving rise to a dose rate window. The figure shows that because of time-dependent effects, the failure for low dose rates is caused by the positive threshold voltage shift whereas for high dose rates it is caused by the negative threshold shift. This poses a reliability risk and a severe problem for hardness assurance managers. Recommendations have recently been made to leave aside the traditional parts screening procedures which, starting from an Approved Parts List (APL), result in a Qualified Parts List (QPL) and, instead, to lay the burden of responsibility on the manufacturer and a particular process. This new approach has led to the establishment of a Qualified Manufacturers List (QML) [135]. A different procedure has recently been suggested to allow radiation-induced trapped holes to anneal faster once the traditional irradiation test procedure is finished. This is accomplished by storing the devices at elevated temperatures [136, 137]. This, however, renders the procurement of hardened devices even more expensive and currently the reconmiended test procedures are still those which are documented in the MIL-Standards or in the SCCspecifications. 120 100
r
80 ^
^ CO
FAILURE DUE TO POSITIVE THRESHOLD SHIFT
60
40 20
Ly
-3 10 **
10
-2
J
V
MODEL CALCULATION
•
MEASURED FAILURE LEVELS
•
FAILURE CRITERU: AV|), > ± 0.45V
FAILURE DUE TO NEGATIVE THRESHOLD SHIFT
<
-1 10 '
-L0
10
J-1
10
10
2
10
10
Dose rate [rad(si)/s] Fig. 10.31 The failure level due to ionization phenomena is plotted as a function of dose rate for an nMOS microprocessor [134].
Radiation Effects in Electronic Components
713
The documents used for Ionizing Dose testing are the MIL-STD-883 C, Method 1019.4 [138] in the US and the ESA/SCC Basic Specification n° 22900, Draft C [139] in Europe. An exception is that in most Ionizing Dose tests, an annealing step (storing the devices for 24 h or 48 h at room temperature) is added to get an idea of the device vulnerability to time-dependent effects. Displacement damage testing is covered by the MIL-STD 883 B, Method 1017.2 [140]. Dosimetry is important as well. One of the standard procedures in thermoluminescence dosimetry is given in [141, 142]. Operational means include the use of Faraday cups when testing the sensitivity to charged particle and the use of other dosimetric equipment [143] for neutron and photons. Single Event Upset (SEU) testing is a very specialized and expensive test procedure. It is detailed in Chap. 12. 9.2 THE TESTING OF DISPLACEMENT DAMAGE AND ASSOCIATED PROBLEMS
Since displacement damage has regained importance in space applications, particularly because of the presence of high-energy protons in the Van Allen belt, this damage type is commonly tested with neutrons. Typical neutron sources used for displacement damage testing are: fast-burst nuclear reactors, water-cooled pulsed or power reactors, and neutron generators. However, the simulation of displacement damage using neutrons, instead of electrons or protons, can introduce sizeable errors. Whereas fission neutrons deposit most of their energy through non-ionizing or displacement mechanisms, protons and of course electrons contribute significantly to ionization as well and their activation behaviour (excitation of lattice atoms and subsequent emission of particles or quanta) is different from that of neutrons. Therefore, testing the sensitivity to displacement damage using highly energetic protons and electrons has become necessary for some parts meant to function near the surface of a satellite (e.g. for optical sensors or solar cells). For that task, particle accelerators are used: Dynamitrons, van de Graaff accelerators, cyclotrons, Tandems and linear accelerators. In some cases one uses energies which are beyond the threshold energy for nuclear reactions, to include these effects as well. The test is commonly performed following a step-stress approach (defined in § 9.4). Transient damage can be neglected for space conditions. In the case of a spectral distribution of radiation species, it is advisable to calculate the equivalent fluence at the standard energies (e.g. 1 MeV for neutrons) as mentioned in § 4.1 and according to Fig. 10.10 and Tab. 10.5, by using the following equation. .
_J
YTest " 7 *^Tjest
(10.47)
Here, all the individual spectral contributions are summed up in their effectiveness in producing displacement defects and are related to the laboratory situation.
714
D, Brdunig and F. Wulf
9.3 T H E TESTING OF IONIZING DOSE EFFECTS AND ASSOCIATED PROBLEMS
The terms "Ionizing Dose" and "Total Ionizing Dose" (TID) reflect the assumption that the radiation-induced defects are stable over an extended period of time and depend only on the amount of absorbed dose. This feature is not true for currently produced integrated circuits and especially not for MOS circuitry. The response of many modem ICs to TID is not only a function of the absorbed dose, but also of many other variables including time, dose rate, energy, ambient temperature, polarity and strength of an appUed field and almost every parameter one can think of. As a result, TID testing is a very complex task and makes it absolutely necessary to understand the properties of trapped holes and interface states. In addition it is difficult, and often impossible, to define worst-case testing conditions for a complex integrated circuit. The situation is therefore not very satisfactory from the point of view of a hardness assurance engineer. However experiments performed in a real environment aboard satellites (e.g. aboard the Combined Release and Radiation Effects Satellite, CRRES) indicate that predictions from ground tests are mostly within reasonable design margins and safety factors, compared to real situations. Tests are usually performed using a step-stress approach, but an in-situ approach is sometimes necessary. The former approach is more convenient and electrical testing is easier. Provided the time between irradiation and electrical measurements is kept very short (« a few minutes), that bias is maintained during transport from the radiation chamber to the electrical test site and that the device is stored for less than two days after finishing the last irradiation step, with repeated electrical characterization at the end of the test, then the obtained data are at least as reliable as those obtained from in-situ tests with all the associated restrictions in the latter case. Ionizing radiation environments are usually simulated by using photons and electrons. Electrons are generated by electrostatic accelerators like van de Graaffs, Dynamitrons and Linacs, the latter being used for pulsed applications as well. Photons are emitted from Co-60y-sources and X-ray machines, y-sources are available as closed or open units and are very convenient to use. The energies of Co-60-y-quanta are in the Compton range, i.e. 1.17 and 1.33 MeV, and are thus not significantly absorbed by the device packaging. This makes dosimetry quite easy, and dose enhancement effects are much lower than in the case of low energy X-rays (for instance 10 keV). It has to be confirmed that the damage process is not altered by low-energy photons or at least can be extrapolated regarding results from Co^O-yquanta. Table 10.11 compares the characteristics of different ionization sources with conditions encountered in space.
Radiation Effects in Electronic Components
715
Source
Radiation type
Energy
Dose rates [Gy(Si)/s]
Pulse width
Space
e, p, photons
<1 MeV to > 400 MeV 2.5 MeV 1.17, 1.33 MeV 10-150 keV 5-40 MeV
10-^
Mission dependent 1 ms-dc Continuous Continuous 1-10 |is
1 Van de Graaff Co60 X-ray Tubes LINAC
e,p photons photons e
1-10^ 10-4-5 10-100 10^-10^
Table 10,11 The characteristics of sources used for TID testing are compared to conditions encountered in space. 9.4 SOURCES OF RADIATION TEST DATA
Radiation tests have the following goals: • to improve our understanding of damage mechanisms and how these interactions are related to device failure, • to accept or reject specific device types for a particular application, and • to determine if a production lot can be accepted (e.g. for use in a satellite system). Hardness assurance deals with the third item. Therefore a radiation test must be documented and has to contain all the relevant information regarding identification, test conditions and electrical parameters under test and the way they are obtained. This is an imperative requirement if one wants to compare test results from different test sites or from different devices. There are some reasons to be very careful and even very cautious when extrapolating data, obtained with one device, to other devices, but on the other hand one can save money and what is sometimes more important, time, if reliable test results are already available. Therefore data sources can prove very useful. The most important ones are indicated next. JPL: Jet Propulsion Lab, California Institute of Technology, Pasadena, CA 91103, USA. Data Compilation: K.E. Martin, M.K. Gauthier, J.R. Coss and W.E. Price, "Total-Dose Radiation Effects Data for Semiconductor Devices. 1985 Suppl., Vol. 1", JPL, N-86-20153, NASA CR-176557, JPLPub-85-43-Vol-l, October 1985. RADDATA Data Bank, available to professionals by phone, DECnet or TELNET. ESA: ESA Data Bank, available as a PC-Version from ESA. HMI: Hahn-Meitner-Institut Berlin GmbH, Glienicker Str.lOO, D-14109 Berlin, Germany. Data Compilation: "GFW-Handbook for Data Compilation of Irradiation Tested Electronic Components", Volumes 1 - 7 (1977 - 1997), available as HMI-Reports No. HMI-B353 CERN: Data Compilation CERN-Reports 70-5, 72-2, 75-18, 79-04, 79-08, 81-05 and 82-10.
716
D, Bramig and F. Wulf
ACKNOWLEDGEMENTS The authors wish to thank their colleagues at the Hahn-Meitner-Institut for discussions and G Messenger, and R. Gaillard for their many comments and suggestions. They also wish to thank the editors A. Vapaille and G. Barbottin for their thorough review. LIST OF REFERENCES [I] [2] [3] [4] [5] [6] [7] [8] [9] [10] [II] [12] [13] [14] [15] [16] [17] [18] [19] [20]
J.F. Ziegler, J.P. Biersack and U. Witmark, The Stopping Power and Range of Ions in Solids, Pergamon Press, New York (1985). L. Pauling, J. Phys, Chem., 58. (1954), 662. J.W. Corbett and J.C. Bourgoin, in Point Defects in Solids, Vol. 2, edited by J.H. Crawford anf L.F. Slifkin, (Plenum-Press, New York, 1975). A.L. Barry, B. Lehmann, D. Fritsch and D. Braunig, "Energy Dependence of Electron Damage and Displacement Threshold Energy in 6C Silicon Carbide",ffiEETrans. Nucl Sci., 38, 1991, p. 1111. N.F. Mott, "The scattering of fast electrons by atomic nuclei", in: Proceedings of the Royal Society of London 124A (1929), p. 425-442. N.F. Mott, "The polarization of electrons by double scattering", in: Proceedings of the Royal Society of London 135A, (1932), p. 429-458. W.A. McKinley jr., H. Feshbach, "The Coulomb scattering of relativistic electrons by nuclei", Phys. Review 74 (1948), No 12, p. 1759-1763. B. Lehmann, D. Braunig. "A DLTS variation for the determination of displacement Threshold energies in GaAs", J. Appl. Phys. 73(6), (1993), p. 2781. L. Miller, D. V. Lang and L.C. Kinmierling, "Capacitance Transient Spectroscopy", Rec. Mater. Sci (1977), p. 377. M.-A. Trauwaert, J. Vanhellemont, E. Simeon, C. Claeys, B. Johlander, R. Harboe-Sorensen and L. Adams, "Annealing Behaviour of electrically active Defect generated in Silicon by MeV particle Beams", presented at lEEE-NSREC 1993. V.A.J. Van Lint, T.M. Flanagan, R.E. Leadon, J.A. Naber and V.C Rodgers, Mechanisms of Radiation Effects in Electronic Materials, Vol. 1, John Wiley, New York, 1980. V.A.J. Van Lint, G. Gigas and J. Barengolt, "Correlation of displacement effects produced by electrons, protons and neutrons", IEEE Trans. Nucl. Sci., 22, 2663 (1975). D. Braunig, Wirkung hochenergetischer Strahlung auf Halbleiter-Bauelemente, Springer-Verlag, 1989 G.P. Sunmiers, D.B. Chrisey, W.G. Maisch, G.H. Stauss, E.A. Burke, M. Nastasi and J.R. Tesmer, "Electron and Proton Radiation Effects in High Temperature Superconductors YBa2Cu307", -IEEE Trans. Nucl. Sci. NS-26, (1988), p. 1840. G.P. Sunmiers, "Displacement Damage: Mechanisms and Measurements", IEEE Nuclear and Space Radiation Effects Conference Short Course, New Orleans, July 13, 1992. G.P. Summers, E.A. Burke, C.J. Dale, E.A. Wolicki, P.W. Marshall and M.A. Gehlhausen, "Correlation of particle-induced Displacement Damage in Silicon", IEEE Trans, in Nucl. Science, 34, 1134-1139 (1987). J.R. Srour, R.A. Hartmann and K.S. Kitazaki, "Permanent Damage Produced by Single Proton Interaction in Silicon Devices", IEEE-Trans. Nucl. Sci. NS-33, 1597 (1986). C.J. Dale, P.W. Marshall, E.A. Burke, G.P. Summers and G.E. Bender, "The Generation Lifetime Damage Factor and its Variance", IEEE-Trans. Nucl. Sci., NS-36, Dec 1989, p. 1872-1881. A.M. Kellerer, "Fundamentals of Microdosimetry", in the Dosimetry of Ionizing Radiation, Vol. 1, (Academic Press, New York, 1985). A.S. Grove, Physics and Technology of Semiconductor Devices, John Wiley and Sons, New York, 1967.
Radiation Effects in Electronic Components [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [36] [37] [38] [39] [40]
[41] [42] [43] [44] [45] [46] [47] [48]
1\1
R. Bauerlein, "Strahlenschaden in Halbleitern und Halbleiter-Bauelementen", in Festkorperprobleme VIII, ed. O. Madelung, Vieweg. Pergamon Press (1968). W.Schockley, Electrons and Holes in Semiconductors, D. van Nostrand, Princeton, 1950. D. Braunig and F. Wulf, "Atomic displacement and total ionizing dose damage in semiconductor", Radiat. Phys. Chem., Vol. 41, No. 1/2, p. 105 (1994). J.R. Srour, Basic Mechanisms of Radiation Effects on Electronic Materials, Devices and Integrated Circuits, lEEE-NSREC Short Course, Gatlinburg Tn, July 1983. P.J. McNulty, "Predicting Single Event Phenomena in Natural Space Environments", lEEE-NSREC Short Course, Reno, Nevada, July 16, 1990. L. Onsager, "Initial Recombinations of Ions", Phys. Rev. 54, 1938, p. 554. L. Onsager, "Deviations from Ohm's law in weak electrolytes", J. Chem. Phys. 2, 1938, p. 599. G.A. Ausmann, "Field dependence of geminate recombination in a dielectric medium", HDL-TR-2097, 1986. G. Jaffe, "Zur Theorie der lonisation in Kolonnen", Ann. Phys. (Leipzig), 42, 1913, p. 303, Phys. Z. 15, 1914, p. 353, 1939, p. 849. W.H. Bragg and R.D. Kleeman, "Of the recombination of ions in air and other gases", Phil. Mag. 12, 1906, p. 273. M.P. Langevin, "L'ionization des Gaz", Ann. Chim. Phys. [7], 28, 1903, p. 289 "Recombinaison et Mobilite des Ions dans les Gaz", Ann. Chim. Phys., [7], 28, 1903, p. 433. T.R. Oldham, "Charge generation and recombination in silicon dioxide from heavy charged particles", HDL-Tech. Rep., HDL-TR-1985, 1982. T.R. Oldham and J.M. McGarrity, "Comparison of Co-60 response and 10 keV X-ray response in MOS capacitors", IEEE trans. Nucl. Sci. NS-30,, 1983, p. 4877. T.R. Oldham "Recombination along the track of heavy charged particles in SiOi films", J. Appl. Phys. 57(8), 1985, p. 2695. D.B. Brown and CM. Dozier, "Electron-hole recombination in irradiated Si02 from a microdosimetry viewpoint", IEEE Trans Nucl. Sci NS-28, 6, 1981, p. 4142. CM. Doziet and D.B. Brown, "Effect of photon energy on the response of MOS devices, IEEE Trans. Nucl. Sci. NS-28,1981 p. 4137. CM. Dozier, D.M. Fleetwood, D.B. Brown and P.S. Winokur, "An evaluation of low-energy X-ray and Cobalt-60 irradiations on MOS transistors", IEEE Trans. Nucl. Sci. NS-34, 6, 1987, p. 1535. T.R. Oldham, J.M. McGarrity, "Ionization of Si02 by Heavy Charged Particles, IEEE Trans. Nucl. Sci. NS-28, (1981), p. 3975. R.C Hughes, "Charge Carrier Transport phenomena in Amorphous Si02: Direct Measurement of Drift Mobility and lifetime", Phys. Rev. Lett. 30, (1973), p. 1333. F.B. McLean, H.E. Boesch, Jr. and J.M.McGarrity, "Field dependent hole transport in amorphous Si02", The Physics of SiOa and Its Interfaces, S.T. Pantelides ed. Pergamon Press, Elmsford, N.Y., 1978, p. 19. H.E. Boesch Jr., J.M. McGarrity and F.B. McLean, "Temperature and Field-dependent charge relaxation in Si02 gate insulators", IEEE Trans. Nucl. Sci. NS-25, 6, 1978, p. 1012. H E . Boesch Jr., F.B. McLean, J.M. McGarrity and P.S. Winokur, "Enhanced flatband voltage recovery in hardened thin MOS capacitors", IEEE Trans. Nucl. Sci. NS-32, 6, 1985, p. 3940. E.W. Montroll, G.H. Weis, "Random walk on lattices 11", Journ. Math. Phys. B.12, 1975, p. 167. H. Scher and E.W. Montroll, "Anomalous transit time dispersion in amorphous solids", Phys. Rev. B.12, 1975, p. 2455. H. Scher and M. Lax, "Stochastic transport in a disordered solid I. Theory", Phys. Rev. B7, 1975, p. 4491. G. Fister and H. Scher, "Dispersive (non-gaussian) transit transport in disordered solids". Adv. Phys. 27, 1978, p. 747. H.E. Boesch Jr., F.B. McLean, J.M. McGarrity and G.A. Ausmann Jr., "Hole transport and charge relaxation in irradiated Si02 MOS capacitors", IEEE Trans. Nucl. Sci., NS-22, 6, 1975, p. 2163. F.B. McLean, H.E. Boesch Jr and J.M. McGarrity, "Hole transport and recovery characteristics of Si02 gate insulators" IEEE Trans. Nucl. Sci. NS-23, 6, 1975, p. 1505.
718
[49] [50]
[51] [52] [53]
[54] [55] [56] [57] [58] [59] [60] [61] [62]
[63] [64] [65] [66] [67] [68] [69] [70] [71]
D, Brdunig and F. Wulf
F.B. McLean and T.R. Oldham, "Basic mechanisms of radiation effects in electronic materials and devices", HDL-TR-2129, 1987. F.B. McLean, H.E. Boesch Jr. and T.R. Oldham, "Electron-hole generation, transport and trapping in SiOa", in Ionizing radiation effects in MOS devices and circuits, T.P. Ma and P.V. Dressendorfer ed., John Wiley and Sons, 1989, p. 87. L. Lipkin, A. Reisma and C.K. Williams, "Hole trapping phenomena in the gate insulator of as-fabricated insulated gate field effect transistor", J. Appl. Phys. 68, 6, 1990, p. 4620. J.M. Aitken and D.R. Young. "Avalanche injection of the holes into SiOa", IEEE Trans. Nucl. Sci. NS-24, 6, p. 2128. A.v. Schwerin, M.M. Heyns and W. Weber, "Investigation on the oxide field dependence of hole trapping and interface state generation in SiOa layers using homogeneous nonavalanche injection holes", J. Appl. Phys. 67,12, 1990, p 7595. H.E. Boesch Jr., F.B. McLean, J.M. Benedetto and J.M. McGarrity, "Saturation of the threshold voltage shift in MOSFETs at high total dose", IEEE Trans. Nucl. Sci., NS-33, 6, 1986, p. 1191. T.H. Ning, "Hight-field capture of electrons by Coulomb-Attractive centers in silicon dioxide", J. Appl. Phys. 47, 1976, p. 3203. J.J. Tzou, J.Y.C. Sun and C.T. Sah, "Field dependence of two large hole capture cross sections in thermal oxide on silicon", J. Phys. Lett. 43, 1983, p. 861. J.J. Clement, "A study of radiation effects in MOS capacitors". Ph. D. Dissertation, Princeton University, Jan. 1978. D.J. DiMaria and J.W. Stasiak, "Trap creation in silicon dioxide produced by hot electrons", J. Appl. Phys. 65, 1989, p. 2342. F.J. Feigl, "Characterization of Dielectric Films", in VLSI Electronics: Microstnicture Science, Vol. 6, ed. N.G. Einspruch and G.B. Larrabee, Academic Press, FL (1983), p. 147. R. Freeman and A. Holmes-Siedle, "A simple model for predicting radiation effects in MOS devices", ffiEE Trans. Nucl. Sci., NS-25-6, 1978, p. 1216. S.K. Lai, "Interface trap generation in silicon dioxide when electrons are captured by trapped holes", J. Appl. Phys. 54, 5, 1983, p. 2540. F.J. Grunthaner, P.J. Grunthaner, R.P. Vasquez, B.F. Lexis, J. Maserjian and A. Madhukar, "Highresolution X-ray photoelectron spectroscopy as a probe of local atomic structure: Application to amorphous Si02 and the Si-Si02 interface", Phys. Rev. Letter 43, 22, 1979, p. 1683. M.R. Chin and T.P. Ma, "Gate-width dependence of radiation-induced interface traps in metal/Si02/Si devices", Appl. Phys. Lett., 42, 10, 1983, p. 883. V. Zekeriya and T.P. Ma, "Dependence of X-ray generation of interface traps on gate metal induced interfacial stress in MOS structures", IEEE-Trans. Nucl. Sci. NS-31, 6, 1984, p. 1261. V. Zekeriya and T.P. Ma, "Dependence of radiation-induced interface traps on gate Al thickness in metal/Si02/Si structures", J. Appl. Phys. 56,4, 1984, p. 1017. V. Zekeriya and T.P. Ma, "Effect of stress relaxation on the generation of radiation-induced interface traps in post-metal-annealed Al-Si02-Si devices", Appl. Phys. Lett. 45, 3, 1984, p. 249. K. Kasama, F. Toyokawa, M. Tsukiji, M. Sakamoto and K. Kobayashi, "Mechanical stress dependence of radiation effects in MOS structures", IEEE-Trans. Nucl. Sci. NS-33, 6, 1986, p. 1210. F.B. McLean, "A framework for understanding radiation-induced interface states in MOS structures", IEEE-Trans. Nucl. Sci. NS-27, 6, 1980, p. 1651. N.S. Saks and D.B. Brown, "Interface trap generation via the two-stage H"*" process", IEEE-Trans. Nucl. Sci. NS-36, 6, 1989, p. 1848. N.S. Saks and D.B. Brown, "Observation of H"*" motion during interface trap formation", IEEE-Trans. Nucl. Sci. NS-37, 6, 1990, p. 1624. N.S. Saks, R.B. Klein, S. Yoon and D.L. Griscom, "Formation of interface traps in metal-oxidesemiconductor devices during isochronal annealing after irradiation at 78 K", J. Appl. Phys. 70, 12, 1991, p. 7434.
Radiation Effects in Electronic Components [72]
719
D.B. Brown and N.S. Saks, "Time dependence of radiation-induced interface trap formation in metaloxide-semiconductor devices as a function of oxide thickness and applied field", J. Appl. Phys. 70, 7, 1991, p. 3734. [73] D.L. Griscom, "Diffusion of radiolytic molecular hydrogen as a mechanism for the post-irradiation buildup of interface states in SiOi-on-Si structures", J. Appl. Phys. 58, 7, 1985, p. 2524. [74] J.R. Schwank, P.S. Winokur, P.J. McWorther, F.W. Sexton, P.V. Dressendorfer and D.C. Turpin, "Physical mechanisms contributing to device rebound", IEEE Trans. Nucl. Sci. NS-31, 6, 1984, p. 1434. [75] A.J. Lelis, T.R. Oldman, H.E. Boesch Jr. and F.B. McLean, "The nature of the trapped-hole annealing process", IEEE Trans. Nucl. Sci. NS-36, 6, 1989, p. 1808. [76] M. Simon and H.L. Hughes, "Short term charge annealing in electron-irradiaded silicon dioxide", IEEE Trans. Nucl. Sci. NS-18, 6, 1971, p. 106. [77] M. Simon and H.L. Hughes, "Determining the energy distribution of pulse-radiation induced charge in MOS structures from rapid annealing measurements", IEEE-Trans. Nucl. Sci. 19, 6„ 1972, p. 282. [78] F.B. McLean, "A direct tunneling of charge transfer at the insulator-semiconductor interface in MIS devices", U.S. Government Report, HDL-TR-1765, 1976. [79] P.J. McWorther, S.L. Miller and W.M. Miller, "Modeling the anneal of radiation-induced trapped holes in a varying thermal environment", IEEE-Trans. Phys. Nucl. Sci. NS-37, 6, 1990, p. 1682. [80] V. Lakshmanna and A.S. Vengurlekar, "Logarithmic detrapping response for holes into Si02 and influence of the thermal activation and electric field", J. Appl. Phys. 3, 9, 1988, p. 4248 [81] G.E. Derbenwick and H.H Sander, "CMOS Hardness prediction for low-dose-rate environments", lEEETrans.Nucl.Sci NS-24, 6, 1977, p. 2244. [82] P.S. Winokur and H.E. Boesch Jr., "Annealing of MOS capacitors with implications for the test procedures to determine radiation hardness", IEEE Trans.Nucl.Sci. NS-32, 6, 1981, p. 4088. [83] D.R. Habing and B.D. Shafer, "Room temperature annealing of ionization-induced damage in CMOS circuits", lEEE-Trans.Nucl.Sci. NS-20, 6, 1973, p. 307. [84] G.J. Brucker, "Exposure dose-rate-dependence for a CMOS/SOS Memory", lEEE-Trans.Nucl.Sci. NS-28, 6, 1981, p. 4056. [85] F.J. Grunthaner, P.J. Grunthaner, R.P. Vasquez, B.F. Lewis, J. Maserjian and A. Madhukar, "Local atomic and electronic structure of oxide/GaAs and SiOi/Si interfaces using high-resolution XPS", J. Vac. Sci. Technol. 16, 1979, p. 1443. [86] G.H. Sigel Jr., E.J. Friebele, R.J. Ginther and D.L. Griscom, "Effects of stoichiometry on the radiation response of SiOa", IEEE Trans. Nucl. Sci. NS-21, 6, 1974, p. 56. [87] P.M. Lenahan and P.V. Dressendorfer, "Effect of bias on radiation-induced paramagnetic defects at the silicon-silicon dioxide interface", Appl. Phys. Lett. 41, 1982, p. 542. [88] P.M. Lenahan and P.V. Dressendorfer, "Micro-structural variations in radiation hard and soft oxides observed through electron spin resonance", IEEE Trans. Nucl. Sci. NS-30, 6, 1983, p. 4602. [89] P.M. Lenahan and P.V. Dressendorfer, "Magnetic trivalent silicon centers in gamma-irradiated metaloxide-silicon structures", Appl. Phys. Lett. 44, 1984, p. 96. [90] P.M. Lenahan and P.V. Dressendorfer, "Hole traps and trivalent silicon centers in Metal/Oxide/Silicon devices", J. Appl. Phys. 55, 1984, p. 3495. [91] Y.Y. Kim and P.M. Lenahan, "Elebtron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates", J. Appl. Phys. 64, 7, 1988, p. 3551. [92] D.L. Griscom and E.J. Friebele, "Effects of ionizing radiation on amorphous insulators", Radiat. Effects 65, 1982, p. 63. [93] F.J. Grunthaner and J. Maserjian, "Chemical structure of the transitional region of the Si02/Si interface". The Physics of SiOi and Its Interfaces, S.T. Pantelides ed., Pergamon Press, Elmsford, N.Y., 1978, p. 389. [94] F.J. Grunthaner, P.J. Grunthaner and J. Maserjian, "Radiation-induced defects in Si02 as determined by XPS", IEEE Trans. Nucl. Sci. NS-29, 6, 1982, p. 1462. [95] A.G. Revesz, "Defect structure and irradiation behaviour of non-crystalline Si02", IEEE Trans. Nucl. Sci. NS-18,6, 1971,p. 113.
720
[96] [97] [98] [99] [100] [101] [102] [103] [104] [105] [106]
[107] [108]
[109] [110] [Ill] [112] [113] [114] [115]
[116] [117] [118] [119]
D. Brdunig and F, Wulf
A.G. Revesz, "The defect structures of vitreous Si02 films on silicon (I-III)", Phys. Stat. Sol., 57, 1980, p. 253, 57, 1980, p. 637, 58, 1981, p. 107. P. Balk, Extended Abstracts of Electron Division, Vol. 14, Abstract 109, p. 237 The Electrochem. Society, Princeton, Spring Meeting, San Francisco, 1965. E.H. Poindexter and P.J. Caplan, "EPR on MOS interface states", INFOS, Springer Verlag 1981, p. 150. E.H. Poindexter and P.J. Caplan, "Characterization of Si/Si02 interface defects by electron spin resonance", Progress in Surface Science 14, 1983, p. 201. P.M Lenahan, L.L Brower, P.V Dressendorfer and W.C Johnson, "Radiation induced trivalent silicon defect build-up at the SiOi interface in MOS structures", IEEE Trans. Nucl. Sci. NS-28, 6, 1981, p. 4105. P.M Lenahan and P.V. Dressendorfer, "An electron spin resonance study of radiation-induced electrically active parametric centers at the Si/SiOa interface", J. Appl. Phy. 54, 1983, p. 1457. R.E. Mikawa and P.M Lenahan, "A comparison of ionizing radiation and hot-electron-effects in MOS structures", IEEE Trans. Nucl. Sci. NS-31, 6, 1984, p. 1573. R.E. Mikawa and P.M Lenahan, "Electron spin resonance study of interface states induced by electron injection in metal-oxide semiconductor", Appl. Phys. Lett. 46, 6, 1985, p. 551. R.E. Mikawa and P.M. Lenahan, "Electron spin resonance study of interface states induced by electron injection in metal-oxide semiconductor devices", J. Appl. Phys. 59, 1986, p. 2054. W.L. Warren and P.M Lenahan, "Electron spin resonance study of high field stressing in metal-oxidesilicon devices", Appl. Phys. Lett. 49, 1986, p. 1296. C M . Svensson, "The defect of the Si-Si02 interface, a model based on trivalent silicon and its hydrogen compound". The Physics of SiOi and Its Interfaces, S.T. Pantelides ed., Pergamon Press, Elmsford, N.Y, 1978, p. 328. T. Sakurai and T. Sugano, "Theory of continously distributed trap states of Si-Si02 interfaces", J. Appl. Phys. 52, 1981, p. 2889. D.L. Griscom, D.B. Brown and N.S. Saks, "Nature of radiation-induced point defects in amorphous Si02 and their role in SiOa-on-Si structures", in The physics and chemistry of SiOi and Si-SiOi interface, C.R. Helms, B.E. Deal ed.. Plenum Press, New York, 1988, p. 295. D.B. Brown, "The time dependence of interface state production", lEEE-Trans.Nucl.Sci. NS-32, 6, 1985, p. 3900. C.C. Chang and W.C. Johnson, "Frequency and Temperatures Tests for Lateral Non-uniformities in MIS Capactors", IEEE Trans. Electron Devices ED-24, (1977), p. 1249. P.S. Winokur, "Total-Dose Radiation Effects", lEEE-NSREC Short Course, New Orleans, Louisiana, July 13, 1992. P.S. Winokur, J.M. McGarrity and H.E. Boesch, "Dependence of Interface State Build-up on Hole Generation and Transport in Irradiated MOS Capacitors", IEEE Trans. Nucl. Sci. NS-23, (1976), p. 1580. P.S. Winokur, J.R. Schwank, P.J. McWorther, P.V. Dressendorfer and D.C. Turpin, "Correlating the Radiation Response of MOS Capacitors and Transistors, "IEEE Trans. Nucl. Sci. NS-31, (1984), p. 1453. R.L. Pease, R.M. Turfler, D. Platteter, D. Emily and R. Blice, "Total Dose Effects in Recessed Oxide Digital Bipolar Microcircuits", IEEE Trans. Nucl. Sci. NS-30, (1983), p. 4216. P.J. McWorther and P.S. Winokur, "Simple Technique for Separating the Effects of Interface States and Trapped Oxide Charges in Metal-Oxide-Semiconductor Transistors", Appl. Phys. Letters 48, (1986), p. 133. H.L. Hughes and E.E. King, "The Influence of Silicon Surface Defects on MOS Radiation Sensitivity", IEEE Trans. Nucl. Sci. NS-33, (1976), p. 1573. H.E. Boesch Jr., "Interface-State Generation in Thick SiOa Layers", IEEE Trans. Nucl. Sci. NS-29, (1982), p. 1446. L.M. Terman, "An Investigation on Surface States at a Silicon/Silicon Oxide Interface Employing MetalOxide-Silicon Diodes", Solid-States Electronics, 5, (1962), p. 285. C.N. Berglund, "Surface States at Steam-Grown Silicon-Silicon Dioxide Interfaces", IEEE Trans. Electron Devices ED-13, (1966), p. 701.
Radiation Effects in Electronic Components
721
[120] M. Kuhn, "A Quasi-Static Technique for MOS-CV and Surface State Measurements" Solid-State Electronics, 13, (1970), p. 873. [121] P.V. Gray and D.M. Brown, "Density of Si02 Si Interface States", Appl. Phys. Lett., 8, (1966), p. 31. [122] C.S. Jenq, "High Field Generation of Interface States and Electron Traps in MOS Capacitors", Ph. D. Dissertation, Princeton University, 1978. [123] E.H. Nicollian and A. Goetzberger, "The Si02, Interface-Electrical Properties as Determined by the Metal-Insulator-Silicon Conductance Technique", Bell Syst. Tech. J. XLVI, (1966), p. 1055. [124] E.H. Nicollian and J.R. Brews, "MOS Physics and Technology", John Wiley & Sons, New York, (1982). [125] S.M. Sze, "Physics of Semiconductor Devices", Wiley, New York, (1981). [126] J.S. Brugler and P.G.A. Jaspers, "Charge Pumping in MOS Devices", IEEE Trans. Electron Devices, ED16, (1969), p. 297. [127] G. Groeseneken, H.E. Maes, N. Beltran and R.F. deKeersmaecker, "A Reliable Approach to ChargePumping Measurements in MOS Transistors", IEEE Trans. Electron Devices, ED-31, (1984), p. 42. [128] N.S. Saks, CM. Dozier and D.B. Brown, "Time Dependence of Interface Trap Formation in MOSFET's following Pulsed Irradiation", IEEE Trans. Nucl. Sci. NS-35, (1988), p. 1448. [129] R.R. Brown "Proton and Electron Permanent Damage in Silicon Semiconductor Devices", Boeing Report D2-90570 (1964). [130] G.F. Derbenwick and B.L. Gregory, "Design Optimization of Radiation Hardened CMOS Integrated Circuits", IEEE Trans. Nucl. Sci. NS-22, 1975, p. 2208. [131] W.R. Dawes Jr., "Radiation Effects Hardening Techniques", IEEE NSREC Short Course, Monterey, CA, (July 1985). [132] B.L. Gingerich, J.M. Hermsen, J.C. Lee and J.E. Schroeder, "Total Dose and Dose Rate Radiation Characterization of Epi-CMOS Radiation Hardened Memory and Microprocessors", IEEE Trans. Nucl. Sci.NS-31, 1984,p. 1332. [133] W.S. Kim, T.M. Minich, W.T. Corbett, R.K. Treece, A.E. Giddings and J.L. Jorgensen, "Radiation Hard Design Principles Utilized in CMOS 8085 Microprocessor Family", IEEE Trans. Nucl. Sci. NS-30, 1983, p. 4229. [134] A.H. Johnson, "Superrecovery of Total Dose Damage in MOS Devices", IEEE Trans. Nucl. Sci. NS-31, 1984, p. 1427. [135] C.G. Messenger, "the QML System for Monolithic Microcircuits", IEEE Circuits and Devices, Vol. 6, No. 1,1990, p. 34. [136] D.M. Fleetwood, P.S. Winokur and J.R. Schwank, "Using Laboratory X-Ray and ^Co Irradiation to Predict CMOS Device Response in Strategic and Space Environments", IEEE Trans. Nucl. Sci. NS-35, 1988, p. 1497. [137] D.M. Fleetwood, P.S. Winokur, L.C. Riewe and R.L. Pease, "An Improved Standard Total Dose Test for CMOS Space Electronics", IEEE Trans. Nucl. Sci. NS-36,1989, p. 1963. [138] Steady State Total Dose Irradiation Procedure, MIL-STD-883C, Method 1019, 3, Dept. of Defense, Washington, D.C., 1983. [139] Total Dose Steady-State Irradiation Test Method. European Space Agency ESA/SCC Basic Specification No. 22900, Draft C. Paris 1987. [140] Neutron Irradiation. MIL-STD-883 B, Method 1017.2, Dept. of Defense, Washington, D.C., 1977. [141] J.C. Humphreys and S.E. Chapel, "Standard Procedure for use of Thermoluminescence Dosimetry in Radiation Hardness Testing of Electronic Devices", NBSIR 79-1732, National Bureau of Standards, Washington, D.C., 1979. [142] Standard Practice for the Application of Thermoluminescence Dosimetry (TLD) Systems for Determining Absorbed Dose in Radiation Hardness Testing of Electronics Devices, ASTM E 668, American Society for Testing Materials, Philadelphia 1985. [143] K.G. Kerris, "Pratical Dosimetry for Radiation Hardness Testing", IEEE NSREC Short Course, New Orleans, Louisiana, July 13,1992. [144] J.R. Srour, Short course of the IEEE Nuclear and Space Radiation Effects Conference, Portland, Or., July 1988.
722
D, Brdunig and F. Wulf
[145] CJ. Dale, P.W. Marshall, E.A. Burke, G.P. Summers, and E.A. Wolicki, "High-Energy Electron Induced Displacement Damage in Silicon", IEEE Trans. Nucl. Sci. NS-35 (6), 1988, p. 1208-1214. [146] G.C. Messenger and J.P. Spratt, "The effects of neutron irradiation on germanium and silicon", Proc. IRE, vol 46, p. 1038-1040, June 1958. Recent books on the effects of radiation on electronic components: [147] [148] [149] [150]
Ma and Dressendorfer **Ioiiizing Radiation Effects in MOS devices and circuits**, Wiley, 1989. Messenger and Ashe, **The effects of Radiation on electronic systems**, Van Nostrand, 1992. Holmes-Siedle and Adams, **Handbook of Radiation effects**, Oxford, 1993. Messenger and Ashe, **Single Event Phenomena**, Chapman and Hall, 1997.
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) © 1999 Elsevier Science B.V. All rights reserved.
723
CHAPTER 11
DEFECTS AND RADIATION-INDUCED CHARGE-TRAPPING PHENOMENA IN Si02 byP.PAILLETd) and J.L.LERAYd)
Key items Amorphous stmcture Defect precursors Intrinsic defects E' centers Hole traps Charge trapping Radiation- induced voltage shift Field collapse effect Si/Si02/Si structures High temperature anneal
(1) Centre d'Etudes de Bruyeres-Le-Chdtel DRIF/DCRE/SEIM BP12 91680 Bruyeres-Le-Chdtel - France
724
P. Paillet and J,L, Leray
Abstract of Chapter 11. Defects and radiation-induced charge trapping phenomena in Si02> The irradiation of a MOS structure (a capacitor or a transistor) generally leads to the build-up of a positive space-charge in the oxide. This phenomenon is due to the generation of electron-hole pairs and to subsequent charge trapping on electrically active defects of the Si02 layer. In this chapter, we first recall that most Si02 insulating layers used in microelectronics have an amorphous structure, and then show that an intrinsic defect must be understood as a perturbation of the short-range order of this structure. We next review the electrically active defects, both intrinsic and extrinsic, encountered in amorphous Si02, which have been identified by EPR techniques. We show that most of these identified defects behave as hole traps. By rewriting the continuity equations for free and trapped carriers, we can derive general expressions giving the voltage shifts (AV^^ due to charge trapping in the oxide of a MOS structure irradiated under bias. Usable expressions of AV^^ may be obtained, after making some simplifying assumptions, when the traps are either uniformly distributed in the bulk or are located at the Si-Si02 interface. We next examine, from a phenomenological point of view, what the AV^/E) curves should look like when the applied field (E) varies, for high and low absorbed doses, and for given trap distributions. Predictions are compared to experimental results. We also describe the "field collapse effect" observed for large dose irradiations. In this case, the internal field generated by the space-charge cancels out the action of the applied field. The expressions derived earlier enable us, for given experimental conditions, to determine separately the capture cross-section and the density of oxide traps in a MOS structure. Experimental results obtained for SIMOX oxides are indicated. Finally, we propose a model to describe the effects of high temperature anneals on the Si02 layer, and show how the derived expressions permit also to confirm, by electrical means, that oxygen atoms out-diffuse from silica layers into silicon during some high-temperature process steps. Resume du chapitre 11. Les defauts de la silice et les phenomenes de piegeage de charge induits par Virradiation. L'irradiation d'une structure MOS polarisee (capacite ou transistor) conduit generalement a Vapparition d'une charge d'espace positive dans Toxyde. Ce phenomene est du a la generation de paires electron-trou et au piegeage de ces porteurs sur les defauts electriquement actifs de la silice amorphe. Dans ce chapitre, on rappelle tout d'abord que la plupart des couches de silice utilisees en microelectronique ont une structure amorphe, et on montre que le concept de defaut intrinseque doit se comprendre comme une perturbation de Tordre a courte distance. On passe ensuite en revue les defauts intrinseques et extrinseques de Si02, identifies par les techniques de RPE. On note que la plupart des defauts identifies se comportent comme des pieges a trous. En reecrivant les equations de continuite pour les porteurs libres et les porteurs pieges, on peut etablir des expressions generates donnant la derive de tension AV^^ observee dans une structure MOS irradiee, et due au piegeage des charges dans Toxyde. Des expressions utilisables de AV^^ peuvent etre obtenues en faisant certaines hypotheses simplificatrices, quand les pieges sont: so it uniformement distribues dans le volume, soit situes uniquement a Tinterface •S'/'-SO^ On examine ensuite, d'un point de vue phenomenologique, la forme que devrait prendre la courbe AV^^(E) quand le champ applique (E) varie, a forte et faible dose, et pour des distributions donnees de pieges. On decrit egalement le phenomene d'ecroulement du champ ('field collapse effect") observe lors d'irradiations a forte dose, le champ cree par la charge piegee annulant alors Teffet du champ applique. Les relations etablies plus tot permettent, dans certaines conditions experimentales, de determiner separement la section efficace de capture et la densite de pieges dans le materiau. On donne quelques resultats experimentaux relatifs a Toxyde SIMOX.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica Enfin, on propose un modele qui permet de rendre compte des effets de certains recuits a haute temperature sur les couches de Si02, et on montre comment les formules etablies permettent egalement de confirmer electriquement que des atomes d'oxygene diffusent de la silice vers le silicium pendant certaines etapes de procede a haute temperature. Zusammenfassung zu Kapitel 11. Defekte und durch Bestrahlung erzeugte Ladungen im Si02 Die Bestrahlung einer MOS-Struktur (ein Kondensator oder ein Transistor) fUhrt grundsatzlich zu einem Aujhau positiver Ladung im Oxid. Diese Erscheinung riihrt her von der Erzeugung von Elektron/Loch-Paaren und dem anschliefienden Einfangen der Ladungen in elektrisch aktiven Storstellen der Si02-Schicht, In diesem Kapitel werden wir zunachst die amorphe Struktur alter in der Mikroelektronik gemeinhin benutzten isolierenden Schichten aus Si02 rekapitulieren und anschliefiend zeigen, dafi eine intrinsischen Storstelle als Storung der Nahordnung in dieser Struktur angesehen werden muJ3. Wir werden dann die im Si02 auftretenden elektrisch aktiven Storstellen -sowohl intrinsisch als auch extrinsisch- beschreiben, die durch ESR-Methoden identifiziert wurden. Wir zeigen, dafi die meisten dieser erkannten Defekte Einfangstellen fUr Locher sind. Durch Umschreiben der Kontinuitdtsgleichungen fiir freie und eingefangene Ladungstrdger im amorphen Si02 erhalten wir allgemeingUltige Ausdrucke fUr die Schwellspannungsverschiebung (AV^^ als Folge des Ladungseinfangs im Oxid der MOS-Struktur, welche unter Vorspannung bestrahlt wird. Handhabbare Ausdrucke fiir AV^^ konnen durch vereinfachende Annahmen der Ladungsverteilung erhalten werden, ndmlich entweder durch eine gleichformige Verteilung im Volumen oder durch Lokalisierung an der Si-SiO 2-Phasengrenze. Als Ndchstes untersuchen wir auf phdnomenologische Weise, wie die AV^/E)-Verldufe aussehen sollten, wenn das angelegte Feld variiert wird, insbesondere fUr hohe und niedrige Werte der absorbierten Dosis und fiir angenommen Verteilungen der Einfangstellen. Diese Vorhersagen werden mit experimentellen Ergebnissen verglichen. Ebenso beschreiben wir den "Effekt des Feldzusammenbruches", der bei Bestrahlungen bis zu hohen Dosiswerten beobachtet wird. In diesem Fall wird das angelegte Feld durch das interne kompensiert. Die oben entwickelten Zusammenhdnge ermoglichen uns, fUr vorgegebene experimentelle Bedingungen getrennt den Einfangquerschnitt und die Dichte der Einfangstellen im Oxid einer MOS-Struktur zu bestimmen. Experimentelle Ergebnisse Jur SIMOX-oxide werden ebenfalls gezeigt. Schliefilich stellen wir ein Mode 11 zur Beschreibung des Effektes des Ausheilens bei hohen Temperaturen vor und zeigen, wie die erhaltenen Ausdrucke durch elektrische Messungen die Bestdtigung ermoglichen, daft Sauerstoffatome im Verlauf von Hochtemperatur-Prozeft-Schritten aus den Oxid in das Silizium ausdiffundieren
725
726
p, Paillet and J,L, Leray
Chapter 11. Defects and radiation-induced charge-trapping phenomena in Si02 Table of contents List of symbols and abbreviations used 1. Introduction 2. Generalities about amorphous Si02 2.1. The unavoidable presence of defects 2.2. The structure of amorphous Si02 3. Defects in amorphous Si02 3.1. Intrinsic defects 3.1.1. Identification by EPR 3.1.2. Defect precursors 3.1.3. Defects linked to an oxygen vacancv 3.1.4. Defects linked to strained bonds 3.1.5. Defects linked to the oxygen vacancy/Si complex 3.1.6. Defects linked to oxygen 3.1.7. Defects linked to hydrogen 3.1.8. Defects at the Si02/Si interface - Interface states 3.2. Extrinsic defects 3.3. Defects in Si02 and charge trapping behaviors 3.3.1. A summary of intrinsic charged defects 3.3.2. Positive trapped charge versus E' centers 3.3.3. Electron traps in oxides 4. Equations of charge trapping, analytical solutions 4.1. Case of bulk trapping 4.1.1. Basic assumptions and parameters, 4.1.2. Equations relative to free carriers 4.1.3. Equations relative to trapped carriers 4.1.4. Equation relative to the electric field 4.1.5. Equations relative to the currents 4.1.6. Simplifications in the case of a stationary regime 4.1.7. Case of a single type of trap 4.1.8. Case where two types of trap are present 4.2. Case of interface trapping 5. Practical applications 5.1. Electrical measurement techniques
Defects and Radiation-Induced Charge Trapping Phenomena in Silica 5.2. Behavior in the low dose regime 5.2.1. Case of charge trapping at the Si02/Si interface 5.2.2. Case of charge trapping in the bulk of the oxide 5.2.3. Case of a multilayer oxide 5.3. Behavior in the high dose regime 5.3.1. The field collapse effect 5.3.2. Consequences in the case of an irradiation under positive gate bias 5.3.3. Consequences in the case of an irradiation under negative gate bias 5.3.4. Conclusions 6. Extraction of trap parameters 7. Influence of temperature treatments on the creation of traps in Si/Si02/Si structures and at the Si/Si02 interface 7.1. Proposed model 7.1.1. Principle 7.1.2. Profiles of oxygen interstitials in the silicon substrate 7.1.3. Profiles of O vacancies in the oxide 7.2. AppUcation to the post-oxidation anneal of an Si/Si02 structure 7.2.1. Measurements related to O vacancies in the oxide layer 7.2.2. Measurements related to interstitial oxygen atoms in the silicon 7.3 Application to the anneal of an Si/Si02/Si structure 8. Conclusion Acknowledgements List of references
121
728
P. Paillet and J,L, Leray
LIST OF SYMBOLS AND ABBREVIATIONS USED D D Dn
rad rad.s" cm .s"^
Dp E EQX CQX fe fh
cm .s MV.cm'^ MV.cm" cm — —
go
cm .rad
Jn Jn k n nt NTN NTP Nt Nt"^ p Pt X^n Ap q Qit Qot T t V Vgb Vfb VQ Vmg Vt Vtb X W Y(E) AV
A.cm' A.cm' J.K'^ cm" cm" cm" cm" cm" cm" cm" cm" cm cm C C.cm" C.cm" K s V V V V V V V cm cm — V
2
Absorbed dose Dose rate Diffusion coefficient for electrons
1
3
Diffusion coefficient for holes Electric field Internal electric field Oxide thickness Electron collection function at the interface Hole collection function at the interface 1
Number of electron-hole pairs generated per unit volume and per rad(Si02) Electron current density Hole current density Boltzmann's constant (k = 1.38 x 10 ^^ J.K'^) Density of free electrons Density of trapped electrons Density of electron traps Density of hole traps Surface density of traps Surface density of charged traps Density of free holes Density of trapped holes Mean free path of electrons Mean free path of holes Elementary electron charge (q = 1.6 x lO"!^ C) Interface state charge (per unit area) Oxide trapped charge (per unit area) Temperature Time Voltage Back gate bias Flat band voltage Front gate bias Midgap voltage Front gate threshold voltage Back gate threshold voltage Distance in the oxide (away from the gate/Si02 interface) Thickness of the oxide where EQ^TK) Yield function Voltage shift
Defects and Radiation-Induced
AVe""
V
AVh^
V
AV^
V
AV
V
AVit AVmg
V
AVot
V
AVt
V V F.cm"^ F.cm'^
AVtb
So er Mp
p
-3
cm cm cm cm
^pt
BESOI BOX CMOS CVD DRAM LOCOS MOS rad EPR SIMOX SOI TSC VUV
cm
Charge Trapping Phenomena in Silica
729
Voltage shift associated with electron trapping in the oxide under positive bias Voltage shift associated with hole trapping in the oxide under positive bias Net voltage shift due to the oxide charge trapped under positive bias Net voltage shift due to the oxide charge trapped under negative bias Voltage shift associated with the charge trapped on interface states. Midgap voltage shift Voltage shift associated with the oxide trapped charge Front gate threshold voltage shift Back gate threshold voltage shift Permittivity of silica Permittivity of vacuum (89 = 8.85 x lO-^^ F.cm'l) Dielectric constant of silica (8,. = 3.9) Electron mobility in the conduction band Hole mobiUty in the valence band Volume density of charge Recombination cross-section of free electrons with trapped holes Capture cross-section of free electrons by neutral traps Recombination cross-section of free holes with trapped electrons Capture cross-section of free holes by neutral traps Bond and Etchback SiUcon-On-Insulator Buried OXide Complementary Metal-Oxide-Semiconductor Chemical Vapor Deposition Dynamic Random Access Memory Localized Oxidation of Silicon Metal-Oxide-Semiconductor Radiation Absorbed Dose (1 rad = 10-2 Gy = 10-2 j.kg-l) Electron Paramagnetic Resonance Separation by Implantation of OXygen Silicon-On-Insulator Thermally Stimulated Current Vacuum Ultra Violet
730
P. Paillet and J,L, Leray
1. INTRODUCTION In current Metal-Oxide-Semiconductor (MOS) microelectronic technologies, silicon dioxide (Si02), is used both as a gate insulator and as a passivation layer. In the Silicon-OnInsulator (SOI) technology, silicon dioxide is in addition used as an insulator to separate active layers. The process used to fabricate the various insulating layers depends on the role these layers play in the device. For example gate oxides are usually thermal oxides, while passivation layers are usually obtained by chemical vapor deposition (CVD). In SOI devices, the buried insulating films, used to separate the active layers, are obtained either by implanting oxygen ions (Separation by IMplantation of OXygen, abbr. SIMOX process), or by bonding two thermal oxide films (Bond and Etchback Silicon-On-Insulator, abbr. BESOI process). The only feature that these various Si02 layers really share is their amorphous state. In Section 2 of this chapter we recall briefly how a crystalline material differs from an amorphous one : the former possesses both a long range and a short range order, while the latter only possesses a short range order. We see that in an amorphous material, the concept of "defect" can only be defined as a perturbation of that short range order. This perturbation can be caused by missing or distorted elements of the network (giving birth to intrinsic defects) or by the presence of foreign atoms (leading to extrinsic defects). We review in Section 3 the identified perturbations of the silica network (also called precursors) as well as the defects they give birth to. Most identified defects in amorphous silica behave as hole traps, which explains why, when silica is irradiated, a positive charge build-up is usually observed. We try to sunmiarize our present knowledge of the electrically active defects encountered in the various silicon dioxides used in microelectronic technologies. This summary includes both the identified defects, and those which are only postulated at this time. Since most defects are electrically neutral in their natural state, they can only be detected once they have trapped a charge carrier. We show in the subsequent sections how this is possible by irradiating the structure. In Section 4 we derive analytical expressions of voltage shifts induced by charge trapping in oxides when a MOS structure is irradiated under different bias conditions. To that end, we rewrite the very general continuity equations for free and trapped carriers, and the expressions of the electric field and of the radiation-induced carrier currents. We see that, by making a number of simplifying assumptions, we come to manageable expressions which we apply to two cases. First, the traps are supposed to be uniformly distributed in the bulk, then they are assumed to be located only at the Si/Si02 interface. We can then link some of the carrier generation and trapping parameters to the voltage shifts measured on the irradiated structure. This will enable us later on to extract trap parameters from experimentally measured voltage shifts. We examine in Section 5 the behavior of some typical MOS structures. Both low dose and high dose regimes are reviewed from a practical point of view.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica In the low dose regime, three cases are examined : one in which the traps are uniformly distributed in the bulk of the silica, one in which the traps are located only at the Si/Si02 interface, and one in which the insulator is in fact a bi-layer. In the high dose regime, the case of a uniform distribution of traps is detailed. We see that a new phenomenon, called the field collapse effect, takes place. We describe this phenomenon, and study its consequences on the measurable radiation-induced voltage shifts taking place when both positive or negative bias is applied. In Section 6 we show how the equations established in the previous section can be used to extract the characteristic parameters of the bulk and interface traps. We also give some examples of parameters, relative to electron and hole traps in SIMOX oxides, and extracted by this method. We give another example of how the trapping equations can be used in Section 7. During the high temperature anneal of Si/Si02 and Si/Si02/Si structures, oxygen atoms of the oxide layer out-diffuse, in the form of O interstitials, into the silicon layers. This diffusion leaves O vacancies behind in the oxide layer. This mechanism has been modeled and we show how the trapping equations established earlier allow us to confirm this model, given that O vacancies behave as hole trap precursors. The study of electrically active defects is a very dynamic field. This chapter completes and updates an earlier review of intrinsic and extrinsic silica defects, which can be found in Chap. 2 of Vol. 1 of this series. It does not, however, deal with the specific defects encountered at the Si/Si02 interface. The study of such defects, using charge pumping techniques, can be found in Chap. 6 of this volume. 2. GENERALITIES ABOUT AMORPHOUS Si02 Historically, amorphous Si02 has become the insulator of choice in microelectronics because it is easily obtained through a thermal oxidation of silicon. The use of sufficiently pure substrates, associated with a rigorous control of the process conditions, let one obtain thin Si02 layers of good quality, possessing an Si/Si02 interface which contains few defects. A good transition between the crystalline structure of the silicon substrate and the amorphous structure of silica is obtained because of the structural flexibility of the amorphous network. 2.1. T H E UNAVOIDABLE PRESENCE OF DEFECTS
Regardless of the care used in fabricating an Si02 film, the presence of defects is an unavoidable feature. These defects can be linked to a contamination by impurities^ (i.e. to foreign atoms introduced in the structure of the insulator), one speaks then of extrinsic defects, or to an imperfection of the structure itself, called then an intrinsic defect. ^ Some of these impurities may be introduced voluntarily in the material to give it special properties (e.g. luminescence).
731
732
P. Paillet and J,L, Leray
Generally speaking, both semiconductor and insulating materials used in microelectronics must have the lowest possible defect density. It is possible to minimize the introduction of unwanted impurities by a rigorous control of process conditions. The layers thus obtained contain few native defects. The study of defects encountered in an insulating material is essential on several counts : to improve our knowledge of the material itself, to optimize the manufacturing processes, and to assess the reliability of the components in which the insulator plays an important part. Most often, the presence of an electrically active defect in an insulating layer can only be revealed by means of an external excitation. Several methods may be used to that purpose : - plasma discharge (using for example Ar), - irradiation with a UV photon of energy below the bandgap (UV) or beyond (VUV), - irradiation : X, y, p+, a, e-..., - charge injection, - some H2 anneals. 2.2. THE STRUCTURE OF AMORPHOUS Si02 Our current knowledge of the structure of Si02 is mostly that of its crystalline form. Crystalline silica exists in several varieties (a and p quartz, crystobalite,...), and possesses a network which preserves a long distance order. Amorphous Si02, on the other hand, lacks long distance order but possesses a short distance order, due to the presence of the Si04 tetrahedra which are the building blocks of the structure. These blocks are practically invariant, and are connected to one another by Si-O-Si bonds with variable angles [1,2]. The observed long distance disorder is not due to broken bonds but to the flexibility of bonding angle 9 (see Fig. 11.1), which can vary from 120'' to 180°, (the most probable value being around 140°).
O
Oxygen
Figure 11.1 - Schematic representation of the structure of amorphous Si02.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica To demonstrate the flexibility of the Si-O-Si bond, the variation of the bond energy with the bonding angle has been calculated [1]. This study shows that the bond energy varies very little (0.1 eV) when 9 varies between 120° and 180°. However, this energy rapidly increases for smaller angle values (1 eV when 9 = 100°). Bonds associated with these small angle values are strained bonds in the chemical sense of the term, which does not necessarily imply that the oxide material is globally strained. The bonds between the elementary blocks can also rotate and tighten, either to minimize the bond energy, if the available space is sufficient, or to adapt to constraints of their immediate environment. For example, the rotation angle of the tetrahedra, A (see Fig. 11.1), can vary from 0 to 120°, while the associated variation in bond energy is only 0.05 eV. In densified silica for example, bond angles vary around 120°. The densification of the oxide is then due to a variation of angle 9 accompanied by a variation of angle A. Distances between O atoms become shorter, and the tetrahedra get closer to one another, increasing the density of silica. This material therefore contains a lot of strained bonds, of higher energy. These bonds are responsible for the reactivity of the oxide, for example with water, hydrogen and for its sensitivity to irradiation. A densified oxide is more reactive and shows an increased rate of defect generation under irradiation [3]. Given the above considerations, the main difference between an amorphous and a crystalline material seems obvious. A crystalline material possesses a unique structure, infinitely repeated, while an amorphous material such as Si02 only possesses a local order. An amorphous structure is therefore not defined in a unique manner. There results that even small variations in process conditions can have a significant effect on those oxide properties which depend on bond angle distributions. On the other hand, the properties of the material which mainly depend on the local order, as for example the bandgap width, are less sensitive to differences in preparation conditions, as long as the overall integrity of the oxide structure is preserved. The flexibility of the amorphous structure enables the presence, in the oxide, of different regions, each displaying a particular local order, while the overall material still has a non-crystalUne structure. This vision of a material made of practically invariant tetrahedra, linked by flexible bonds is, however, an ideal one. The real as-processed material is far from this perfection. Due to the great variety of manufacturing techniques (thermal oxidation, ion implantation, bonding, deposition, etc.), and process conditions, the real structure of amorphous silica contains many defects. 3. DEFECTS IN AMORPHOUS Si02 Before trying to classify the defects into different families, it is important to note that, from a physics point of view, any deviation from the "ideal" or "perfect" network of tetrahedral structures previously presented, can be considered as being a structural defect.
733
734
P. Paillet and J,L, Leray
On the other hand, from an electronics point of view, the word "defect" often designates an electrically active defect. In other words, the physical approach includes all types of defect regardless of their charge state, while in practice one often prefers to use the word "defect" when the site is electrically active, and "precursor" when the site is neutral. Most native imperfections are neutral (precursors) and cannot be detected right after the fabrication process. They can only be revealed after an external excitation changes their charge state (precursor -^ defect). Therefore, these electrically active defects usually only appear when the electronic device is being used, which eventually leads to a degradation in performance. 3.1. INTRINSIC DEFECTS
To date, at least nine different sources of electrically active defects have been identified in amorphous Si02, or are strongly postulated to exist. Some of them derive from the same precursor. The various types of defects are presented next, and ordered by precursor, the latter being most of the time intrinsic to the material. Historically, defects were first studied in quartz, i.e. the crystalline form of Si02 and later on in amorphous silica, first in the bulk material, then in the thin layers used in microelectronics. The defects reported next are those which have been observed in amorphous silica. 3.1.1. Identification by EPR Intrinsic defects are basically known through the interpretation of their Electronic Paramagnetic Resonance (EPR) spectra. The EPR technique is the main tool which allows an identification of the microscopic structure of defects. The signal observed by this method corresponds to the absorption of a microwave energy by an unpaired electron subjected to a sweeping DC magnetic field. Indeed, a paramagnetic atom possesses an unpaired electron, i.e. a net electronic magnetic moment. The difference in energy between the two states of the quantized spin (intrinsic angular momentum of quantum number 1/2) is E = hv = gpH, where V is the microwave frequency, h is Planck's constant, H is the value of the magnetic field, (3 is the Bohr magneton (p = eh/47mic), and g is a dimensionless tensor giving information on the symmetry of the defect. Therefore a defect can only be detected by this method if it possesses a dangling bond, i.e. if it is paramagnetic. A diamagnetic defect has no net electronic moment, and thus no EPR signal can be measured. However, this is usually not a major problem because the charge state of a given defect can vary, and there often exists a state in which the defect is paramagnetic. Some paramagnetic defects are neutral, while others are positively or negatively charged. This explains why it is often difficult to correlate the evolution of the density of paramagnetic defects with the total trapped charge density.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
735
3.1.2. Defect precursors In amorphous Si02, one often assumes the existence of vacancy-interstitial pairs, called Frenkel pairs. The existence of an oxygen vacancy (symbolized next by 03^81-81=03) in the material could be linked to the presence of an interstitial oxygen. During the cooling step of the oxidation process, an oxygen atom can be displaced from its site, creating both a vacancy and an interstitial oxygen atom [4]. This displacement phenomenon can also take place when silica is irradiated with charged particles. The interstitial oxygen atom is usually not found as such, but rather attached to another oxygen atom, forming an 03=Si-0-0-Si=03 bridging bond. During irradiation, these two bonds can trap a charge and create electrically active defects. The oxygen vacancy and the excess oxygen atom thus constitute two precursors of intrinsic defects. As seen previously, 8i02 contains also strained bonds. These can likewise act as defect precursors. 8imilarly, hydrogen is almost always encountered in silica, and its presence can be considered as an intrinsic characteristic of many varieties of 8i02. It plays a role in the formation of various defects. Finally, the 8i/8i02 interface possesses properties which differ from those of the bulk material, because of the necessary transition between the crystalline lattice of silicon and the amorphous structure of Si02. Defects encountered at the interface are therefore different from those encountered within the insulator. A summary of identified and postulated defects, as a function of the associated precursor, is presented next. 3.1.3. Defects linked to an oxygen vacancy The family of defects linked to the presence of an oxygen vacancy is probably the most widely known, and to date the best characterized one. To use a simple model, the precursor of this defect family is made up of two neighbor tetrahedra whose bonding oxygen atom is missing. It is represented by : 03=8i-Si=03
(11.1)
This precursor is diamagnetic and electrically neutral. It is therefore impossible to detect it by EPR, nor by any electrical method. However, a hole can get trapped on the vacancy and modify the defect structure. After hole trapping, one of the silicon atoms possesses an unpaired electron, located on an sp^ dangling orbital and remains in a tetrahedral configuration. The other silicon atom carries the trapped positive charge (the trapped hole) and relaxes in the plane of its three remaining oxygen neighbors, as illustrated in Fig. 11.2. This asymmetrical relaxation is possible thanks to the flexibility of the Si-O-Si bond. The main feature of this type of defect is that the unpaired electron is paramagnetic and easily detected by EPR at room temperature.
736
P. Paillet and J,L, Leray
A defect built on an asymmetrically relaxed oxygen vacancy is called an E' center. The common feature of all E' centers is that they all possess the paramagnetic 03=Si° structure, which preserves its tetrahedral sp^ configuration. These centers exist in a rather large variety of types, each differing by the immediate environment of the two silicon atoms. The three best known E' centers are E'^^ E'g, and E'^^. • E'y The structure obtained by the sole trapping of a hole on an oxygen vacancy is the E y center, which is the equivalent, in amorphous silica, of the E'^ center identified in quartz [5]. This defect creation can be written : 03sSi-Si=03 + h+ -> 03=Si° +Si=03
(11.2)
+ h+
1^'
Figure 11.2 - Schematic representation of the formation of an E' center.
• E'p Some E' centers can be electrically neutral, such as for example the E'p center in which case the positive charge is passivated by an hydrogen atom. Two possible modes of generation of this center are illustrated in Fig. 11.3. The E'p center can be symbolized by: 03=Si^ H-Si=03
(11.3) ^
O + H
+ H
(^ )
Silicon
o
Oxygen
•
Hydrogen
Figure 11.3 - Schematic representation of the formation of an E'Q center.
Defects and Radiation-Induced
Charge Trapping Phenomena in Silica
12>1
• E'(x The E'ot center differs from the other two E' centers because its precursor would rather be a "normal" Si-O-Si bond. The capture of a hole by such a precursor is illustrated in Fig. 11.4, and can be written :
03=Si-0-Si=03 + h ^ - • * S i = 0 3 +
°Si^
(ll-4a) O-O
+ h
*
-
*
Figure 11.4 - Schematic representation of the formation of an E'(^ center.
Moreover, theoretical calculations [6] performed on a quartz crystal have shown that a neutral oxygen vacancy could also trap an electron, as symbolized next: 03sSi-Si=03 + e- -> 03=Si-°-Si=03
(11.4b)
In this case, the energy depth of the electron trap is estimated at about 1 eV below the conduction band. Theoretically, this negatively charged defect should be paramagnetic, but to date this has not been confirmed experimentally. Let us note that these theoretical calculations have been performed for a quartz crystal, and not for amorphous silica. 3.I.4. Defects linked to strained bonds The defects related to strained bonds (the latter being symbolized by (Si-O-Si) in the equations given next) can be separated in two groups : - the Self Trapped Holes, which have been identified by EPR, and - two E' type centers (the E'^ center and another one resulting from calculations [7]). Two types of Self Trapped Holes (STHl and STH2) [8] have been identified by EPR in amorphous silica. • STHl In this case, a hole is thought to be trapped on a bridging oxygen, Si-O-Si, as follows: 03=Si-0-+-Si=03
(11.5)
• STH2 In this case, a hole is thought to be trapped between two oxygen atoms of the same tetrahedron as indicated next:
738
P, Paillet and J.L, Leray
°2=\:
(n.6)
0-S£0> 3 These defects anneal at a temperature of approximately 200 K, and therefore can only be observed after irradiation at low temperature [8]. A strained bond can also be dissociated by irradiation. Two different paramagnetic centers can thus be produced : one containing two paramagnetic electrons and which is globally electrically neutral (called E'^j), and another one which could be a paramagnetic center (03=Si°) whose positively charged part should contain an oxygen atom. However, the existence of this charged defect is still only speculated, since it has not been proven experimentally yet [7]. The generation mechanisms can be written : Speculated
(Si-O-Si) + h+ ^ 03=Si^ +0-Si=03
(11.7)
E'd
(Si-O-Si) + AE --> 03=Si° °0-Si=03
(11.8)
(AE here designates an amount of energy, supplied for example by irradiation) However, given the fact that the paramagnetic structure is relatively insensitive to its immediate environment, it seems difficult to separate the signal due to the 03=Si° +0-Si=03 center from the signal due to other E' centers. 3.1.5. Defects linked to the oxygen vacancy/Si complex A new type of defect has recently been observed by charge injection in thermal oxide, BESOI and SIMOX materials [9]. The precursor could be an oxygen vacancy/Si complex, the central Si atom being linked to four other Si atoms, forming a tetrahedron. This defect can be looked at as a multiple oxygen vacancy, or as a silicon cluster, likely to exist in a slightly substoichiometric material such as SIMOX. It becomes paramagnetic and positively charged after trapping a hole as symbolized next: 0,=Si 3
\ /
0,=Si 3
Si=0,
/
Si
3 + h^
-—^
0,=Si t Si=0, 3 XT/. 3 •
\
Si+ :
'/
Si^O,
3
0,= Si " 3
\
Si=0, 3
In this defect, called E'5, the paramagnetic electron is delocalized over 5 silicon atoms. This E'5 center seems very hard to detect after X or y irradiation. This difficulty could be due to the fact that the trapped positive charge has a quite large recombination cross section with electrons.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
739
Since irradiation generates both holes and electrons simultaneously, and uniformly in the oxide, free electrons can recombine on the E'5 centers as soon as these centers are being created by hole trapping. By using a selective hole injection technique, recombination is prevented (since there is no available free electron), and the defect can be detected. This type of defect seems to anneal quite rapidly, and the associated trapped charge does not seem therefore to be stable. Other defects of the same type (with a delocalized spin) have been observed by EPR. They are called EH and EX. They have in conmion the same g factor (g=2.0023), and differ from one another by the hyperfine coupling constant. The EH center could be generated by VUV irradiation as well as by charge injection in a BESOI oxide [10]. No model has been proposed yet for its microscopic structure. It seems to be a possible source of positive charge in the oxide. The EX center could appear in an oxide processed at 800°C, could be eliminated by a hydrogen anneal and regenerated by a vacuum anneal [11,12]. Once again, no model of the defect structure exists yet. 3.1.6. Defects linked to oxygen Two other defects are linked to the oxygen atom : the Non Bridging Oxygen Hole Center (NBOHC), and the superoxide radical. The NBOHC is mainly obtained by the radiolysis of OH groups, as illustrated in Fig. 11.5. In this case the mechanism can be written : 03=Si-0H + hv -> 03=Si-0° + HO
(11.9)
and the center is paramagnetic and neutral. Although detectable by EPR, this defect plays no role since it is neutral. A similar defect can also be generated by the trapping of an electron on an OH group through the following mechanism : 03=Si-0H + e- ^ 03=Si-0- + H^
(11.10)
This latter defect is diamagnetic, and thus not detectable by EPR, but constitutes a possible source of negative charge. The creation of NBOHC requires the presence of hydroxyl groups in the oxide. They therefore mainly exist in materials processed either in a wet atmosphere or with a large amount of hydrogen.
740
P, Paillet and J,L. Leray
Thermal "wet" oxides, or oxides annealed in hydrogen are therefore likely to display this type of defect. On the other hand, it seems less probable to find it in an oxide obtained by ion implantation (e.g. the SIMOX process), because the high temperature post-implantation anneal inherent to this process is performed in a slightly oxidizing, but dry atmosphere (Ar+1%02). Hydroxyle group
Non bridging oxygen (NBOHC)
.
HO
Figure 11.5 - Schematic representation of the creation of an NBOHC
The other paramagnetic defect associated with the oxygen atom is the superoxide radical. The precursor of this defect should be the peroxyle bridge. The existence of this precursor is not proven, but derives from the vacancy-interstitial Frenkel model previously mentioned. During irradiation, this bridging bond could trap a hole, as illustrated in Fig. 11.6, and create a paramagnetic superoxide radical and a positive trapped charge through the following mechanism: 03=Si-0-0-Sis03 + hv ^ 03=Si-0-0° "^Si=03 + e-
(11.11)
This type of precursor is expected in oxides containing few OH groups, such as thermal oxides grown in dry atmosphere. Peroxyle bridge
Superoxide radical
+ h^
Figure 11.6 - Schematic representation of the formation of a superoxide radical
This defect can also be obtained by the annealing in an oxidizing ambient of an E'y center. The center reacts by trapping a diffusing species such as O2. This mechanism can be written: 03=Si^ +Si=03 + 02 -> 03=Si-0-0° +Si=03 (11.12)
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
1A\
3.1.7. Defects linked to hydrogen The presence of hydrogen in Si02 is a consequence of most manufacturing processes. Hydrogen is almost always encountered, and can be considered as an intrinsic feature of many varieties of Si02. The introduction of hydrogen is either due to the composition of the products used for the oxidation of silicon, or to the hydrogen anneals used to passivate some defects (mostly interface states). The introduction of hydrogen in an oxide can however trigger the occurrence of other defects or modify the existing ones. For example, the E'p center represented in Fig. 11.3 illustrates how a neutral (diamagnetic) oxygen vacancy can be transformed into a paramagnetic (but still neutral) defect under the action of hydrogen. The reaction reads as follows: 03=Si-Si=03 + HO -^ 03=Si° H-Si=03 (11.13) Recently, two other paramagnetic defects associated with the presence of hydrogen have been identified. These are the 74-G and 10.4-G doublets [13,14,15]. They result from the interaction of an E' center with molecular hydrogen. The 74-G doublet possesses an hydrogen atom substituting one of the O atom in the tetrahedron. After hole trapping, the paramagnetic structure of this doublet can be symbolized as follows : 0^=Si-Si^03 H
.h^
—
0^=Si^^Si^3
(1114)
H
The paramagnetic center differs therefore from the "classical" E' center. In the EPR spectrum, the hydrogen atom gives a symmetrical doublet, due to hyperfine interaction, separated by 74 G, superimposed on the usual form of the E' signal. In the case of the 10.4-G doublet, the precursor has not been identified yet. The only thing one knows about this defect is its microscopic structure, deduced from the shape of the EPR spectrum, and symbolized by : 0=Si-0-H 2
(1115)
It is therefore presently not possible to know the charge state of this defect. 3.1.8. Defects at the Si02/Si interface - Interface states The defects mentioned above are encountered in the bulk of the oxide, and are due to a modification of the material structure. Other defects exist at the Si/Si02 interface, because of the differences which exist between the crystalline structure of silicon and the amorphous state of Si02 (lattice mismatch).
742
P. Paillet and J,L. Leray SiO,
SiO,
O Oxygen • Silicon
Figure 11.7 - Schematic representation of typical P^ interface defects^ (after [17]).
There exists specific types of paramagnetic defects at the Si02/Si interface, called P^ centers. These centers feature a tri-coordinated silicon atom, linked to three silicon atoms of the substrate, and which possesses a dangling bond (paramagnetic electron) perpendicular to the interface and directed towards the Si02 [16,17], as illustrated in Fig. 11.7. A P5 center can be represented by: Si3=Si°
(11.16)
In presently used gate oxide technologies, interface states are passivated by a hydrogen anneal, which leads to the formation of Si3=Si-H groups. Under irradiation, a depassivation of these states occurs. The exact mechanisms of creation and evolution of the interface states during and after irradiation are still a matter of debate. However, a relative consensus has been reached about the participation of hydrogenated species to this process (H2, H+ proton, or atomic hydrogen H^, or ?...). The hydrogenated species are supposed to react by dimerization on the passivated P^ center, leaving a paramagnetic dangling bond. The chemical reactions can be written : Si3=Si-H + HO -^ Si3=Si° + H2
(11.17a)
Si3=Si-H + H+ + e- -^ Si3=Si° + H2
(11.17b)
A complete discussion of the various mechanisms proposed to explain the creation or the depassivation of interface states is beyond the scope of this review.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
743
However, the P^ centers represent only one contribution to the interface states, and there surely exist other defects at the interface. The P^ centers are the only interface defects observed by EPR. Three versions of this paramagnetic center have been observed by EPR, namely : P^, Pbo and P^j. Their presence depends on the crystalline orientation of the silicon substrate. The dangling bond found in (111) substrates (i.e. that described by (11.16)) is called the P^^ center. The P^i and P^Q centers are those observed on (100) substrates. The microscopic structure of the P^Q center is very close to that of the P^ described above. In fact, we could consider that the P^o center appears on (111) facets of the (100) substrate, as illustrated in Fig. 11.7. On the other hand, there exists no precise description of the microscopic structure of the V\yi center. The postulated microscopic structure of this paramagnetic center could be : °Si=Si20
(11.18)
Theoretical calculations [18] and results of ^^O tracing experiments [19] show that its chemical identity might be different. To date, a precise description of this structure, and of its precursor, is still missing. 3.2. EXTRINSIC DEFECTS
Extrinsic defects are by definition linked to the introduction of foreign atoms (F, C^, N, metals, C, P, B, Ge ...) in the material. These impurities can be introduced during some process steps (e.g. by the gases used for such steps as oxidation, post-oxidation anneal, postmetallization anneal, post-oxidation doping implantation, ...). These impurities can also be present in the starting materials (for example P or B for doped silicon substrates). These foreign atoms may be encountered in the material as interstitial atoms, or in substitution of a silicon or an oxygen atom in the silica network. Depending on their nature, they can form more or less complex groups with silicon and oxygen atoms. These extrinsic defects will not be described here. A more detailed and more complete review of the physical nature of these extrinsic defects has been given in Chap.2 of Vol.1 of this series [20]. Figure 11.8 schematically illustrates some of the most characteristic ones.
744
P. Paillet and J,L. Leray
• Silicon O Oxygen @ Non bridging interstitial oxygen
Silicon is substituted a by a pentavalent impurity 0 by a trivalent impurity Oxygen is substituted ® by a monovalent ion
Figure 1L8 - Schematic representation of some extrinsic defects encountered in silicon dioxide (after [20]),
3.3. DEFECTS IN si02 AND CHARGE TRAPPING BEHAVIORS After this short description of the various defects observed by EPR in Si02 and at the Si/Si02 interface, let us try to summarize their electrical behavior, since, as already mentioned, electronic devices are only perturbed by electrically active defects. We shall then see how these features relate to the experimentally-observed trapping behavior of amorphous Si02. 3.3.1. A summary of intrinsic charged defects Table 11.1 sunmiarizes the main features of the intrinsic charged defects described in this section, along with their precursors. We can note that once these defects have trapped a charge, at least seven of them are possible sources of positive trapped charge, and only two are sources of negative charge. Moreover, one source of negative charge is only likely to exist in an oxide containing a lot of OH groups, and the other one is only postulated. This explain why in the past, Si02 layers were always expected to be positively charged when irradiated.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
1 Type 1
-t-* Y
Precursor 03sSi-Si=03 (03=Si-0-Si=03)
E(x
(03=Si-0-Si=03)
E'5
1 Peroxyle radical postulated STHl
745
Representation
Charge state
03=Si° +Si=03
Positive
active
0 = S i ° +Si=Q, 2 1 3 0-0 0^=Si A Si^O^
Positive
active
Si / \ Q,=Si Si=Q, 3 3 03=Si-0-0-Si=03
• Si+; •/ \ 0=Si Si=0^ 3 3
Positive
delocalized active
03=Si+ °0-0-Si=03
Positive
active
(03=Si-0-Si=03)
03sSi° +0-Si=03
Positive
active
(03=Si-0-Si=03)
03sSi-0+-Si=03
Positive
active
Positive
active
Positive
active
?
active
O^Si 3 \
/
Si=Q, 3
Xlx
3
3
EPR state 1
0-Si=0 / I
STH2
E'74.G E'io.4-G
(03=Si-0-Si=03)
0=Si-Si=0 2 1 3 H ?
3 0=Si + 2 \ 1 O-Si^O^ 3 0 = S i ° +Si=Q, 2
1
3
H 0=Si-0-H 2
1 postulated
03=Si-0-H
03=Si-0-
Negative
inactive
postulated
03=Si-Si=03
03=Si-°-Si=03
Negative
active ? 1
Table 11.1 - Summary of identified or postulated charged intrinsic defects in Si02 and of their precursors. The (0^^i-0'Si=0^) notation means that the Si-O-Si bond is strained.
3.3.2. Positive trapped charge versus E' centers At this point, an important remark must be made : for some years, many publications in the Uterature have associated the build-up of a positive trapped charge with an increase in the amount of E' centers and it is frequent to read that the main source of positive trapped charge (sometimes the only source...) in an oxide is due to E' centers. This affirmation is based on the fact that a 1-1 correlation has been observed in some thermal oxides [21], between the increase, after irradiation, in the number of E' centers and the voltage shift associated with the oxide trapped charge. The authors showed (by etchback measurements) that the two space distributions are identical, and that the annealing behaviors are also similar. However, more recent publications [22,23,24] have raised serious doubts about this assertion.
746
P. Paillet and J.L. Leray
Indeed, experiments carried out on regular thermal oxides [24], as well as on oxides obtained by wafer bonding (BESOI) [23] and on implanted buried oxides (SIMOX) [22,23], have clearly demonstrated the total lack of correlation between the amount of positive trapped charge and the number of E' centers detected by EPR. It clearly appears that the two build-ups observed during irradiation have completely different behaviors. Therefore, this result is not simply due to the fact that the oxides are obtained by different processes. In fact, the previously observed correlation should be considered as fortuitous, and should not be generalized to all oxides. We see that there exists at least five different identified sources of positive charge (seven exist overall, but two are only visible at low temperature). Moreover, these potential sources are those which are detected by EPR, and nothing tells us that other types of charged defect do not exist. The existence of neutral paramagnetic defects makes it unlikely to obtain a direct correlation with the trapped charge. 3.3.3. Electron traps in oxides In some oxides, electron trapping has also been observed [25,26,27,28,29]. This negative charge trapping only appears at high doses of irradiation, under given bias conditions (negative applied field). However, our current state of knowledge about oxide defects does not allow us to relate this negative trapped charge to a specific defect nor to a type of defect. To date, the only known negatively charged defect is linked to the presence of OH groups in the material, which corresponds to a wet oxidation, and/or to an hydrogen anneal. Oxides that display this electron trapping behavior are obtained by ion implantation (SIMOX process), followed by a high temperature anneal in a dry, slightly oxidizing, atmosphere (Ar + 0.5% O2). Given this fabrication process, it is unlikely that this material possess many OH groups. The technological origin of this negative charge seems to have been recently identified [29,30,31], and will be discussed in a later section, but the exact nature of the defects remains unknown up to now. Electron trapping is also detected in some thermal oxides, but with more difficulty than in a SIMOX material. By combining C(V) and TSC measurements (Thermally Stimulated Current), some authors [33,34] have been able to detect the presence of trapped electrons in thermal oxides. This negative charge partly compensates the positive charge, and leads to smaller net voltage shifts under irradiation. These trapped electrons are a lot less numerous in wet thermal oxides than in dry thermal oxides. Theoretical calculations in quartz show that an electron may indeed get trapped on an oxygen vacancy [6]. However, the associated defect would have to be paramagnetic and should therefore be detected by EPR. No experimental result has been reported to confirm this calculation. The characterization of electron traps in Si02 is far less advanced than that of hole traps. This is mainly due to the fact that for three decades, the main observation made about Si02 was that a net positive charge gets trapped in the material. Thus, few efforts have been devoted to the search for electron traps. This situation is likely to change, and some of these defects may soon be, at least partially, identified.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
lAl
4. EQUATIONS OF CHARGE TRAPPING, ANALYTICAL SOLUTIONS The irradiation of silica generates electron-hole pairs in the material. If no electric field is applied, these pairs recombine and no current flows. If an electric field is applied, most pairs are separated and the carriers can be collected at either electrode unless they get trapped during their drift and diffusion. In this section, we recall this generation and drift phenomena and examine how the presence of carrier traps in the silica layer of a MOS structure affects the transport equations. Two cases will be distinguished : first the traps are supposed to be uniformly distributed in the oxide bulk, then the traps are supposed to be located at the Si/Si02 interface. The use of simplifying assumptions will let us derive analytical solutions. 4.1. CASE OF BULK TRAPPING
Let us first study the case of an oxide containing traps distributed in the bulk of the silica layer. The oxide is supposed to contain both hole traps, of density N^pCx) and capture crosssection CTpt(E), and electron traps, of density Njjy^(x) and capture cross-section cjjjt(E). Variable x designates the space coordinate whose origin is the gate/Si02 interface (see Fig. 11.9). 4.1.1. Basic assumptions and parameters Initially, the oxide is supposed to contain no trapped charge, and the electric field in the oxide, E, is therefore uniform. During irradiation, charge trapping in the bulk of the oxide is generally revealed by the build-up of a net positive charge, which locally modifies the value of E and disturbs its profile. The local value of E has a direct influence on the net charge generation factor. Charges are generated uniformly across the oxide, but the probability of escaping initial recombination depends on the local value of the intemal electric field. The variations of E with x lead therefore to a different factor of pair separation in each point of the material. Let us for example consider that a positive bias is applied to the gate while the MOS structure is being irradiated. A fraction of the electron-hole pairs generated by the incident radiation are separated by the applied field (Fig. 11.9). Holes are driven to the Si/Si02 interface, while electrons are swept toward the gate. The hole current, jp(x,t), is therefore nil beneath the gate (x=0), and maximum at the Si/Si02 interface (x=eQx). Conversely, the electron current, Jn(x,t), is nil at the Si/Si02 interface, and reaches its maximum value beneath the gate. During their transport to the electrodes, the carriers can get trapped on centers supposed to be electrically neutral before irradiation. The presence of these trapped charges influences in tum currents Jn(x,t) and jp(x,t). The free carriers of one kind can also recombine with trapped carriers of opposite polarity, which behave as coulombic attractive centers.
748
P. Paillet and J,L, Leray
Symbol <5^(E) designates the recombination cross-section associated with the capture of an electron on a positively charged center, and Gp^CE) designates the recombination cross-section associated with the capture of a hole on a negatively charged center. Carriers which have not been trapped are swept away at the collecting electrodes. X =0
Ionizing Radiation
ecombination e®: Charged traps o : Neutral trap
Electric Field Figure 11.9 - Illustration of carrier transport and charge trapping phenomena in a MOS structure under positive gate bias.
4.I.2. Equations relative to free carriers As mentioned above, during irradiation two charge trapping mechanisms can take place in the oxide, one for each type of carrier. The continuity equations (for holes in the valence band and for electrons in the conduction band of silica) can thus be written by taking into account the generation term, the flux gradient and both trapping phenomena. Using a one-dimensional model, we get: ^I!^ at
= gJ)Y(E) + L^ln^ q ox
- L q
oJE)ljJx,t)l[NTN(x)-nt(x.t)]
- - o„/E) lj„(x,t) lp/x,t)
dt
• = g^T>Y(E)--^^^^-— q ox
(11.19)
--ap^E)lj(x.t)l[NTp(xhp/x,t)] q ^ ^
- - Gpj(E) ljp(x,t) ln/x,t)
(11.20)
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
749
where : n(x,t) and p(x,t) are respectively the density of free electrons in the conduction band and that of free holes in the valence band, g^ is the density of electron-hole pairs generated per rad(Si02), D represents the dose rate [rad (Si02)/s], Y(E) is the probability of escaping initial recombination (also called the yield function), n^{xX) and Pt(x,t) are respectively the density of trapped electrons and trapped holes, t designates the time evolved since the beginning of irradiation, and q represents the charge of the electron. 4.1.3. Equations relative to trapped carriers To be able to derive equations for trapped carriers, we must first assume that there only exists one trapping level for holes and one level for electrons in the bandgap of the insulator, and that these traps are deep enough so that we can neglect carrier detrapping during irradiation, at the temperature of the experiment. The continuity equations for trapped electrons and trapped holes can then be written: ^ ^ ^ = ^ GJE) Ijjxj) l[NTN(xhnt(xM at q
- ^ Gpr(E) IjJxj) ln,(x,t) q
^ ^ ^ = - oJE) IjJx^t) at q ^ ^
- - oJE) Ijjx^t) lpt(x,t) q
I[NTP(X)-P/XM
(11.21)
(11.22)
The first term of each equation corresponds to the trapping of free carriers. This mechanism depends both on the number of "candidates" (brought by the current), and on the number of available trapping sites (e.g. N ^ N - ^0- The second term accounts for the recombination of already trapped charges with free carriers of opposite sign. The trapping of a free charge on a trapped carrier of opposite sign does not a priori depend on the direction of its displacement. Therefore, only the absolute values of each current are taken into account. 4.1.4. Equation relative to the electric field In order to simplify the writing of the equations, the intemal electric field in the oxide will be written E, although it should more correctly be written E(x,t) since it depends both on the space coordinate and on time. Indeed, E does evolve with the build-up of the trapped charge, both in time and space. The evolution of the field is obtained from the Poisson equation which, for this one-dimensional model, yields : dE(x,t) —r dx
^ =
r / ^\ / ^\i [pt(x, thn/x, t)]
8ox
where E^X stands for the dielectric permittivity of silica.
/ i i oa^ (11.23)
750
P. Paillet and J,L. Leray
This equation links the local concentrations of trapped carriers to the local field value E(x,t). Free carriers are not taken into account because during irradiation, their density rapidly becomes negligible compared with the trapped charge density. 4.1.5. Equations relative to the currents The expressions of the electron and hole currents must now be defined. Each current can be written in its very general form, as the sum of a drift term and of a diffusion term. It follows : Jn(x,t) = qn(x,t)lH^E(xa) + qD^-^^^ ox ]p(x.t) = qp(xj)HpE(x,t) - qDp^^^
(11.24)
(11.25)
where ii^ and |ip respectively designate the mobility of electrons in the conduction band and that of holes in the valence band, and Dj^ and Dp are the diffusion coefficients for electrons and for holes, which verify the Einstein relationship recalled below : kT D= — ju
(11.26)
The expressions of currents j ^ and jp can be inserted into the preceding equations. The four continuity equations for free carriers and trapped charges, coupled with the equation of the internal electric field constitute the system that allows us to calculate the profiles of the charge trapped in the oxide. The voltage shifts associated with charge trapping in the oxide can then be deduced by integration. Without simplifying hypothesis, this system of five coupled equations can only be solved numerically. In the following, we shall make simplifying assumptions to derive usable analytical expressions. 4.1.6. Simplifications in the case of a stationary regime Instead of trying to solve numerically this system of five coupled equations, we can attempt to find some simple analytical solutions corresponding to typical profiles of trapped charges. These analytical resolutions are valid as long as the generation of free carriers remains little disturbed by the trapped charge, i.e. when the space charge effect is not too important. They are therefore limited to low doses of irradiation, and need simplifying hypotheses. • The first simplifying assumption is that corresponding to the stationary regime. The method [35,36] then basically consists in solving the continuity equations for free carriers, by assuming that 3n/3t = 8p/3t = 0. We assume that the radiation-induced free carriers do not accumulate in the oxide, and thus are either trapped, or swept away at the electrodes.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
751
5/
Figure 11.10 - Illustration of the electron and hole currents in the oxide (for a constant dose rate), under positive gate bias.
In the following, let us examine the case of an irradiation under a positive gate bias. The case of a negative bias will be deduced by symmetry. The boundary conditions for currents jp(x) and jjj(x) are in this case : jp(0)=0 and Jn(^ox)=^ (^^ thus assume that the electrodes do not inject any carrier). The traps are supposed to be uniformly distributed in the oxide. This limitation is not compulsory, but it greatly simplifies the calculations. With these boundary conditions, we obtain the following solutions to Eqs. (11.19) and (11.20): ^ox
JnM = qgot^y(E)X^ 1 -exp\
\
(11.27)
M
( jp(x) = qgoDY(E) Xp \l — exp
^
X
(11.28)
The above expressions have been simplified by introducing the concepts of mean free path before capture for electrons (X^), and holes (^p), which can respectively be written:
K= Xp -
(11.29) [(JnrPt + (^nti^TN-nt
[(TprHt +
)]
GptiNjp-pj)]
(11.30)
The cxirrents are represented schematically in Fig. 11.10. Because of the uniform distribution of traps, the continuity equations for trapped holes and trapped electrons can be written in this case:
752
P. Paillet and J,L, Leray
^^i^= at
-OptljJx,t)l[NTp-Pt(x,t)] q ^ '^
- -oJE)ljJx,t)lp/x,t) q
^ ^ ^ ^ = - Ont ljn(x.t) l[NTN-n/x,t)J - - Op/E) ljJx,t) ln/x,t) at q <1
(11.31)
(11.32)
These expressions contain cross-coupled terms jp(x,t) and jn(x,t), which makes it very complex to find an analytical solution. • An additional simplifying assumption is to neglect the recombinaison of free carriers and trapped charges. In this case, the expressions of the mean free paths before capture (i.e. (11.29) and (11.30)) become: K=
^-
(11-33)
<^nt(NTN-nt)
^=
-^
:
(11.34)
The continuity equations for trapped carriers then reduce to: ^ ^ ^ = - Opt ljp(xj) /[NTP'PtJ
^ ^ ^ 4 ^ = - Ont ijni^^t) ilNTN-n,] at q
(11.35)
(11.36)
In the next paragraphs, the calculation of the voltage shifts associated with charge trapping is going to be detailed, first by taking into account only one type of trapped carrier, then by taking into account both types, using the superposition theorem. 4.1.7. Case of a single type of trap Let us consider for now that the material only contains hole traps, uniformly distributed in the oxide. By replacing jp(x,t) by expression (11.28) into Eq. (11.35), we get: M ^ ^
gJiY(E) [l 'exp[-C5pt[NTp-Pt]x)\
Equation (11.37) can then be written:
(11.37)
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
^ ^ ^ = gJ^Y(E) [l -exp[-Gp,NTpx
)exp[c5p,ptx)]
753
(11.38)
In the low dose regime, the trapped charge density remains small, and we can develop the exponential term to the first order. It yields: M ^ ^
g^tY(E) [l -^exp(-ap,NTpx)
(i + a^,p,;c)]
(11.39)
By integrating over time, we find the following expression of the positive charge trapped in the oxide as a function of x: P A W = 8cPY(E) [y -exp{-CptNTpx
(11.40)
)J
D being the (integrated) absorbed dose (D = D.tjj^). The space distribution of this positive trapped charge after a given irradiation time t is represented schematically in Fig. 11.11 below: ,Al Gate
SiO.
Si N TP
^
E
t ^
A+
Figure 11.11 - Distribution of the positive charge trapped in the bulk of the oxide (at a given dose), under positive gate bias (see text for assumptions made).
It is now necessary to calculate the voltage shift associated with this trapped charge. It corresponds in fact to the voltage one must apply to the gate, to compensate the image charge induced in the silicon substrate by the charge trapped in the oxide. This image charge^ is given by the first moment of the space charge distribution. The voltage shift associated with this positive trapped charge can then be written:
^ For more details on the image charge effect see Chap. lOA of Vol. 1.
754
P. Paillet and J.L. Leray (11.41)
By replacing PtCx.tjji.) in (11.41) by expression (11.40), we get: qgoDY re I ^^ x\l -expl-CptNjpxMdx --
AVi^=
(11.42)
'OX
which, after integration, yields: AV^ =
(11.43)
1 qgoDYe^ ox
—+•
'OX
2
1
exp[-a ptNjpeox)-
OptNfpeox
exp[-a ptNjpCox)) (^pt^Tpe,ox
If we note that the mean free path before capture, in the low dose regime (neglecting the electron - trapped hole recombination), is : ^ = l/<5p(Njp, then the voltage shift can be written: AK+ =
qgoDYe,
ox
-ox
1
(
A,
2 e.OX exp\\
''OX
1 - exp\ '"P) ^ox V
\\ ^ox
(11.44)
P J)
Expression (11.44) gives the voltage shift associated with the positive charge trapped on hole traps uniformly distributed in the oxide, in the case of a positive applied electric field. This equation can be simplified in two limit cases : when the mean free path is much greater than the oxide thickness (case of a low trap density), or much smaller. It yields : ^y+^
QSpDYe^x F(X) ^ox
with
n^;=l4 e-^ -±\ -7(^1 - -^I X
(11.45)
(11.46)
and (11.47) ^p
• When the mean free path is smaller than the oxide thickness, i.e. when X » l , then F(X) tends towards 1/2.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
755
• When the mean free path is larger than the oxide thickness, i.e. when X « l , then by developing the exponential term to the third order we find that F(X) tends towards Gp^Ng/S, with Ns=Nxpeox, representing the total trap density per unit area (uniform distribution). In summary, AV can be written under the following forms : for a large quantity of traps ( X « l ) :
^Vt 'h « -
^goDYe^j,
(11.48)
2e ox
when the quantity of traps is small ( X » l ) ti%J r\ I B W /^
(11.49)
3e,
^ox
Remark : To come to these fairly simple analytical solutions, we have assumed that the traps are uniformly distributed across the oxide bulk. This hypothesis is only justified to simplify the calculation. Indeed, expression (11.40) and Fig. 11.11 show that the trapped charge will have a non-uniform spatial distribution, even if the trap density is uniform. For all practical purposes, the only thing that matters is that the traps be distributed in the oxide bulk (more or less uniformly). 4.1.8, Case where two types of trap are present In the same manner, we can calculate the voltage shift associated with a negative charge trapped on uniformly distributed electron traps, when a positive gate bias is applied. Si
SiO^
Al Gate
NI „ PT
X
E
1
""OX
Figure 11.12 - Distribution of the negative and positive trapped charges in the bulk of the oxide (for a given dose), under positive gate bias.
By developing a calculation, similar to the previous one, from the continuity equation of trapped electrons (and with the associated boundary conditions), we obtain :
P. Paillet and J.L. Leray
756
^y+ _ qgoPyel^ 1
I—
2
'OX
Cfnt^TN^ox
(i -
exp[-optN-TNeox))
(11.50)
^nt^TN^ox
In this case, the mean free path of electrons can be written 1
Xn =
(11.51)
^nt^TN
and the above expression can thus be written : ^n 1 - exp\ - ^ox w
I ^
e•'OX
"-OX
"^OX
(11.52)
''n y
The net total shift obtained for a positive applied field is then simply the sum of the contributions due to negative and positive trapped charges. We then obtain :
r
_^ox_
AV^ =-
exp\ ^ox
\
I ^p)
^ox
(
-h. 1-exp ( ^ox \
[
1 1 ^ox ^^ L AL l-^\l-exp\--^
^pjj
^ox
W
^ox
(11.53) The reasoning in the case of an irradiation under a negative field is identical, by giving a symmetrical role to holes and to electrons. The voltage shift obtained in this case can be written:
4y"=-
^gO^Ye^^ I ^p
( 1--
1 - exp\
w 'PJJ
( exp\ A
nJ
f
\\
1 - exp\ - ^ox \ V (11.54)
We therefore come to a system of two non-linear equations with two variables, which must be solved to extract the values of X^ and Xp from experimental data. The main disadvantage of this model is that it only gives access to values of the a^Nj products. It is not possible to assess independently the capture cross-section and the trap density. For that purpose, it is necessary to use a simpler model, based on the idea that, although the trapped charges are distributed in the bulk, what we experimentally measure is the net equivalent charge, projected at the Si/Si02 interface. It is thus possible to assimilate this equivalent charge to a charge located at the Si/Si02 interface, and to use a simplified model, presented in the following paragraph.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
757
4.2. CASE OF INTERFACE TRAPPING
This model is used in the literature to account for charge trapping in thermal oxides. The most often used hypothesis is that these oxides only possess hole traps. We therefore neglect electron trapping on neutral traps, and consider that electrons are only able to recombine with trapped holes. The hole traps are supposed to be entirely located at the Si/Si02 interface. The equations in this case are simplified compared to the previous case, since we consider that the carriers generated by irradiation can circulate without trapping in the bulk of the oxide, and only get trapped at the interface. By taking recombination phenomena into account, the evolution equation for charged traps at the interface can be written: ^ ^ =
Opt(E)jp(e^J[NrN;(t)J
- oJE)Nt(t)Ue„^)
(11.55)
where N^ represents the trap density at the interface and Nj^(t) is the fraction of charged traps. The expression of current jp at the interface is obtained by solving the continuity equation for free holes in the stationary regime, and by neglecting the diffusion term. We get: dp(x,t) dt
dp(xj) dt
dp(x,t) *!„£ dx < 11.56)
which yields: \ipXpE
V 1 - exp\
"^OX
=
K
"^ox
(11.57)
^P'^P^J
gotY(E)e^Jh(E)
with _
fh(E) =
l^p'^P^ ^OX
1 - exp\
(11.58)
^ox \ipXpE
which corresponds to the hole collection function at the interface. For strong fields, fh(E)« i . For low fields, fh(E) « ^ ^ ^ ^ "OAt
.
758
P. Paillet and J.L, Leray
In the same manner, we can calculate the expression of the electron current at the interface for VQ positive by solving the continuity equation for free electrons in the stationary regime. We obtain: Jni^^O) = gobY(E)e^J^(E)
(11.59)
where fn(E) corresponds to the electron collection function at the interface, linked to the mean free path of the electrons. Its expression takes into account all transport phenomena (drift, diffusion, hot electron). We can therefore solve the evolution equation for charged traps at the Si/Si02 interface by replacing the currents by their expressions. It comes:
^t(D)=^j^P^^l^
(11.60)
where OptfhiE) The voltage shift associated with this charge trapped at the interface can be written : AV^(D; = -
_ ^ £ f ^ [ i - exp{-o p,gofh(E)Y(E)e,,[l + F(EJ\D)]
(11.61)
It is possible to separate the behaviors at low and high dose by developing the exponential term in the linear and in the saturation parts [37]. It yields : at low doses. AV^(D) = -^^ap,NME)Y(E)e^oxD
(11.62)
AV^(D) =
(11.63)
at high doses. ^^^^^ e„,[l + F(E)J
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
759
5. PRACTICAL APPLICATIONS Due to the complexity of the phenomena occurring during the generation, transport and trapping of charges in an irradiated oxide, simple analytical expressions can only be obtained when broad simplifying assumptions are made. The equations derived from these models are then only usable in the experimental context which satisfies the series of assumptions made. To simplify the interpretation of experimental results even further, we attempt next to schematize the behavior of some typical oxides. This will give us a tool to rapidly identify specific trends by the simple observation of some measured electrical characteristics. 5.1. ELECTRICAL MEASUREMENT TECHNIQUES
Before beginning to discuss and interpret experimental results, let us briefly recall some of the most common measurement techniques used to electrically characterize defects in MOS devices. In practical applications, the experimental structure being tested is either a MOS capacitor or a MOS transistor. • In the case of a MOS capacitor, the technique consists in recording the capacitance-voltage curve (C-V curve), either using a small AC signal superimposed on a DC voltage ramp (high or low frequency C-V), or using a quasi static C-V technique. Figure 11.13 shows typical high frequency (1 MHz) C-V curves obtained on a p-substrate MOS capacitor, before and after irradiation at a dose of 10 Mrad(Si02). The dashed lines in Fig. 11.13 show the position of the flatband (Cf^) and midgap (Cj^g) capacitances, defined respectively as the capacitance value for which the silicon surface potential is equal to 0 and (|)g. Potential ^ is the bulk potential given by: fN^
05 = —H
\^i
(11.64) J
where q is the elementary charge of an electron, k is Boltzmann's constant, T is the absolute temperature, N^ is the substrate doping concentration, and Uj is the intrinsic carrier concentration. The gate voltage applied to reach Cf^ (resp. Cjj^g) is the flatband voltage Vjf^ (resp. migdap voltage Vjjjg). The traps at the Si/Si02 interface are amphoteric, which means that their charge state can change depending on the value of the surface potential of silicon. They thus can be positive, neutral, or negative. Traps in the lower half of the Si bandgap are predominantly donor-like (i.e. they "give off an electron when the Fermi level at the interface is below the trap energy level), and traps in the upper half of the bandgap are essentially acceptor-like (i.e. they "accept" an electron when the Fermi level at the interface is above the trap energy level). The most widely accepted assumption (well confirmed by recent results [54]) is that interface traps are approximately charge neutral at midgap [51-53].
P. Paillet and J,L, Leray
760
With this assumption, the midgap voltage shift (AVj^^g) caused by irradiation is only due to the oxide trapped charge (AVQ^), while the flatband voltage shift takes into account both the oxide trapped charge and the charge trapped on interface traps between flatband and midgap. 65 60 55
1
"'^^'"N.
1
]
Cfb
1
o 50 jc cd
0 krad lOMrad
-•-»
45 'o cd %
40
V^mg
35 30 H -40
.
Vfb. ^—3
1
-30
H -20
iT^ -10
1
1
0
1
10
1
20
VG(V) Figure 11.13 - Typical high frequency (1 MHz) C-V curves of a MOS capacitor before and after a 10 Mrad(Si02) irradiation. The structure was biased at VQ = 0 V during irradiation.
• In the case of a MOS transistor, the most usual technique consists in recording the currentvoltage curve (I-V curve), usually the drain current I^g versus gate-to-source bias VQS, at a given drain-to-source bias V^g, either in the linear region (small V^s) or in the saturation region (large V^s). The threshold voltage of a transistor is determined basically from the intercept with the voltage axis of the Ij) vs VQS curve in the linear region, or of the (ID)^'^^ VS VQS curve in the saturation region. Another method, which is similar to that used for capacitor studies, is to determine the gate voltage for which the surface potential of the silicon substrate equals 2(\^, which corresponds to the onset of strong inversion [55]. Figure 11.14 shows typical I-V curves obtained on an n-MOS transistor, before and after irradiation. Figure 11.14 also shows the position of the "threshold current" and "midgap current", defined as those values of Ij)s for which the surface potential of silicon equals 2(^ and (^ respectively. The amplitudes of the threshold and midgap voltage shifts caused by irradiation are also shown in Fig. 11.14. The calculated "midgap current" is usually very low, thus the midgap voltage is obtained by extrapolating the I-V curves to the low current level required, and by determining the voltage corresponding to that "midgap current". Except for the extrapolation step, this method is very similar to the high-frequency C-V method.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
761
Using the same assumption, AV^^ is equal to the midgap voltage shift (AVj^g), whereas the threshold voltage shift (AVt^) is equal to the sum of AV^^ and AVj^. Consequently, the voltage shift due solely to the interface trapped charge, AVj^, can be determined by the stretch-out of the I-V curve (i.e. by difference AV^j^ - AVj^^g).
<
10
C>0
Figure 11.14 : The typical sub-threshold I-V curves of an n-MOS transistor are schematically represented before and after irradiation.
Other techniques have been developped to determine AV^^ and AVj^, especially the dualtransistor techniques, combining threshold voltage measurements with either mobility measurements or charge pumping techniques [56]. They will not be detailed here. The reader is referred to Chap. 6 of this volume for more details. 5.2. BEHAVIOR Bv THE Lov^ DOSE REGIME
After a low dose irradiation of a silicon oxide, a net positive charge is created in the insulator. Depending on the process used to manufacture the oxide, this trapped charge can be distributed in different ways in the material. To determine the type of charge trapping taking place, a simple method consists in measuring the trapped charge for different biases applied during irradiation. We can thus evaluate how the electric field influences charge trapping, which gives information on the position of the centroid of the net trapped charge. In the next paragraphs, several typical cases are presented.
762
P. Paillet and J,L, Leray S.2.1. Case of charge trapping at the Si02/Si interface
One may assume that all traps are located at the Si02/Si interface. This is the simplest case, and that most often used to interpret charge trapping in thermal oxides. • During an irradiation under a positive bias (VQ > 0), the generated holes flow toward the interface and can get trapped there. This trapping gives birth to an important image charge in the semiconductor, and therefore to a significant voltage shift of the irradiated structure. Gate
Thickness
Applied electric field
Figure 11.15 - Schematic representation of the electric field dependence of the measured voltage shifty in the case of an interfacial charge trapping (left). The corresponding trap distribution (Nj(x)) and charge distribution p(x) in each case (E>0 and E<0) are also shown (right).
• On the other hand, during an irradiation under a negative bias, holes flow toward the gate, and only a very small fraction of them can get trapped at the Si02/Si interface. In this case, the image charge in the semiconductor is reduced and leads to a far less important voltage shift.
-40
-|
1
1
-30
-20
-10
1 20 VG
r 30
40
(V)
Figure 11.16 - Measured midgap voltage shift versus gate bias applied during irradiation, of a thermal oxide at a dose of 10 krad(Si02) [29].
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
763
One thus obtains a completely asymmetrical AVQt(E) characteristic. This asymmetrical shape is typical of a distribution of hole traps close to the Si/Si02 interface. Figure 11.15 illustrates this expected behavior, as well as the trap and charge distributions corresponding to such a case. Figure 11.16 shows an example of the experimentally measured voltage shift induced by the oxide charge trapped in a thermal oxide, as a function of the gate bias applied during irradiation [29]. 5.2.2. Case of charge trapping in the bulk of the oxide Let us now consider the case of hole traps uniformly distributed in the oxide. During an irradiation under a positive bias, the hole current flows toward the Si02/Si interface. Holes can then get trapped in the bulk of the oxide, and give birth to a positive space charge growing from the gate up to the interface, as illustrated in Fig. 11.17.
Si
Gate
SiO,
o
\:AE<0 ; .
^zs^^
Applied electric fied
eox
0 Thickness
Figure 11.17 - Schematic representation of the field dependence of the measured voltage shift, for a uniform bulk charge trapping (left). The corresponding trap distribution (Nj=cst) and charge distribution p(x) in each case (E>0 and E<0) are also shown (right).
The centroi'd of the trapped charge is in this case close to the Si02/Si interface, and the image charge induced in the silicon substrate is therefore sizeable. Charge trapping also increases with increasing applied field, because the fraction of holes escaping initial recombination increases, and more holes are thus likely to get trapped. For a similar irradiation, but under a negative bias, the process is symmetrical. Holes flow toward the gate, but can nevertheless get trapped in the oxide bulk. The centroid of the trapped charge is then displaced toward the gate, and the image charge induced in the silicon substrate is less important than under a positive bias.
P. Paillet and J.L. Leray
764
A similar dose of irradiation, but deposited under zero applied bias, leads to a very small voltage shift, smaller than that obtained under negative and positive bias. Indeed, under zero applied bias, the fraction of separated pairs is far smaller, and so is the number of carriers Ukely to get trapped. The AVQ^ (E) curve obtained in the case of a uniform trap distribution displays an almost symmetrical behavior with regards to the sign of the applied field. The shift obtained under negative field remains however smaller than that obtained under positive field. By integrating the trapped charge profiles shown schematically in Fig. 11.17, we can evaluate the associated voltage shifts. In this case of uniform trap distribution, this evaluation leads to a theoretical AV^t/AVot ratio of 2. l\J-
-•-MOS Capacitors
^^NMOS Transistors •
15 -
> 10 <1
•
•
•
•
5-
n-40
^
•
•
^
\ -20
11
1 \ »_ 0 20 Applied gate voltage (V)
,
1
40
Figure 11. 18 - The voltage shifts^ measured on MOS capacitors and transistors using SIMOX oxide irradiated at a dose of 10 krad(Si02), are plotted versus the gate voltage applied during irradiation [29],
Figure 11.18 shows an example of the experimentally measured voltage shifts induced by the oxide charge trapped in a SIMOX oxide, as a function of the gate bias applied during irradiation. In this example, both MOS capacitors and n-MOS transistors have been irradiated at a dose of 10 krad(Si02)). 5.2.3. Case of a multilayer oxide Multilayer oxides possess, by construction, several interfaces, which are potential sources of defects, and which act therefore as preferential trapping locations during irradiation. These multilayer structures are used for example to manufacture field oxides. The purpose in this case is to replace the thick thermal LOCOS oxide by a doped oxide usually less sensitive to radiation. The doped oxide is placed between a thermal oxide (which ensures a good Si/Si02 interface) and an undoped oxide, in order to limit the diffusion of dopants.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
765
Some nitrided oxides (ONO structures) can also be considered as multilayer structures, the silicon/oxide interface being fabricated from a pure thermal oxide (see Chap.l of this volume). Even if they are made up of thermal oxides, buried oxides obtained by wafer bonding (BESOI) must also be regarded as multilayers, because of the presence of the bonding interface. Since these various structures are generally made up of oxides which exhibit little bulk charge trapping, the traps may be considered as being mostly located in the interfacial regions. The expected trap distribution profiles are illustrated in Fig. 11.19 (right). The structure represented here is a two-layer one, and the oxide/silicon interface is supposed to be of good quality. On the other hand, the interface separating the two insulating layers contains a much larger defect density, which is often the case for deposited or bonded oxides.
Gate
Applied electric field Figure 11.19 - Schematic representation of the field dependence of the measured voltage shift, in the case of charge trapping at the interfaces of a multilayer oxide (left). The corresponding trap distribution (^^) and charge distributions p(x) in each case (E>0 andE<0) are also shown (right).
During irradiation under a positive bias, the hole current is maximum at the Si/Si02 interface (see Fig. 11.10), and the holes get trapped mainly near the Si/Si02 interface, which contains few defects. Much fewer holes get trapped at the interface between the two oxides. Under a negative bias on the other hand, holes are attracted by the gate, and mostly get trapped at the interface between the two oxides. The defect density being much more important there, the image charge induced in the silicon substrate will be more important in this case. It is therefore possible to obtain for a negative bias a voltage shift which is larger than under a positive bias (as illustrated in Fig. 11.19), which is exactly the opposite of what would be found for a pure thermal oxide.
P. PaiUet and /.L. Leray
766
5.3. BEHAVIOR IN THE HIGH DOSE REGIME
In the high dose regime, the electric field in the oxide can no longer be considered as equal to the applied field. The presence of a trapped charge strongly disturbs the internal field, and even reduces it to almost zero in a large part of the oxide. This "Field Collapse Effect" has been described by Hughes [38] in the case of thermal oxides irradiated at low temperature. It has also been used by Boesch [39] in the case of implanted oxides. 5.3.1. The field collapse effect Let us consider the case, illustrated schematically in Fig. 11.20, of an oxide displaying a uniform density of hole traps, and for which electron trapping is first supposed to be negligible. Before irradiation, no trapped charge is present in the material, the internal electric field is therefore uniform in the oxide and equal to the applied field (case a). no trapped charge
(D 'TS
0)
ro ox o
a>
o
'T^
TO O
2
low dose
very low dose
o
c
^ ^
ed
o
high dose
o
/(D /TO
0) TO
/
o / rS
o
Vg/e
cd
-^^
/
o»
0
a)
ox
0
b)
®ox 0"
c)
®ox
0"
d)
^
OX
Figure 11.20 - Illustration of the field collapse effect in an oxide, (after [39]). (top) Profile of the trapped charge density, (bottom) Profile of the internal electric field. Cases a), b), c), d) are described in the text.
At the beginning of irradiation, a positive trapped charge is created uniformly in the bulk (case b). The electric field induced by the positive trapped charge tends to reduce the applied field at the anode, and to increase it at the cathode. The intemal electric field, initially uniform in the oxide (no trapped charge), varies now linearly in the oxide, from anode to cathode (case b). When the trapped charge (i.e. jqpf(x)dx\ becomes sufficient, it cancels the action of the field at the anode (case c).
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
767
By assuming that the charge is uniformly trapped, we can calculate the value of the trapped charge density (Q+) for which the field at the anode becomes nil : it is that density which produces a voltage shift equal to the applied voltage. It yields : - AV,, = -^\ox
xp,{x)dx
•'OX
= ^Q"-
= V,G
(11.64)
•-ox
and thus (11.65)
Q * = ' - ^ ''OX
For this trapped charge density, the separation of electron-hole pairs is scarce in the anode region since the electric field there is nil. On the other hand the field is increased at the cathode, and the generated electrons, separated in this strong field region, are swept to the low field region, where they recombine with the existing trapped holes. The space charge in this region then tends to disappear, which leads to an extension of the zero field region (cases c andd). Let us now examine what are the consequences of the internal field collapse effect described above on the characteristic voltage shifts obtained under positive and negative bias. 5.3.2. Consequences in the case of an irradiation under positive gate bias When a positive bias is applied on the gate of a MOS structure, this electrode corresponds to the anode described in § 5.2.1, and the cathode corresponds to the Si/Si02 interface. In this case, the field collapse occurs under the gate, and the region of strong recombination extends from the gate into the oxide bulk. The trapped charge is therefore found mostly in that region (of thickness W) where the field is not nil, as illustrated in Fig. 11.21. Gate
Si
Si02 L
P(x)
Q^ 1 (-
6
w
> 1
^o'x
Figure 11.21 - Illustration of the field collapse effect under positive bias. Schematic representation of: left) the trapped charge density, right) its contribution to the internal electric field.
When the absorbed dose increases, while V Q remains unchanged, the thickness of this trapping region decreases. This is because p+ increases with dose, and thus its associated interna^ electric field contribution increases also.
768
P. Paillet and J.L. Leray
Since the integration of the net internal electric field must remain equal to | V Q | , W must decrease accordingly. The region where the field is not nil has therefore a thickness of:
W=
2eox\VG\ ^
(11.66)
Q"When the trapped charge Q+ increases, W decreases. The calculation of the obtained voltage shift yields: ox xpf(x)dx
^Vot-
OX
= Q^
^OX
xdx
(11.67)
^ox (e
ox
-W)
After integrating, and inserting W given by (11.66) we obtain :
^Vot =
^ox-^G
(11.68)
^OX
This model predicts that for an irradiation under positive field, the voltage shift increases with increasing dose, beyond the applied bias, but in a sub-linear manner (if Q+ increases roughly linearly whith dose D, we have approximately AV^^ = D^^^). 5.3.3. Consequences in the case of an irradiation under negative gate bias When a negative bias is applied on the gate electrode of a MOS structure, the anode corresponds to the surface of the silicon, and the cathode is the gate electrode. J ate
SiCh
Si
p(xy P^
1 w Figure 11.22 - Illustration of the field collapse effect under negative bias. Schematic representation of: left) the trapped charge density, right) its contribution to the internal electric field.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica It follows that the internal field collapse effect now occurs near the Si02/Si interface, and the region where the field is virtually nil extends from the interface into the oxide bulk. The trapped charge distribution and its contribution to the internal electric field are illustrated in Fig. 11.22. By symmetry, the cancellation of the field at the interface is obtained for the same density of trapped charge than previously, but in this case the charge is distributed over a thickness W under the gate electrode. The calculation of the associated voltage shift in this case can be written: AV^t=-^\''''xpAx)dx
=^—\
xdx
(11.69
which, after integration, and after inserting W given by (11.66), leads to : IAV^J^IVGI
(11.70
This model, therefore, predicts that when a negative voltage is applied on the gate electrode, the voltage shift associated with the oxide trapped charge saturates at a value lAV^f I = IVQ I when dose increases. 5.3.4. Conclusion This simple model of the "field collapse effect" has the advantage of yielding correct orders of magnitude. It agrees quite well with experimental data, provided one can measure voltage shifts due solely to the charge trapped in the oxide. Indeed, the charge trapped in interface states is absolutely not taken into account in this model. When interface trapping is important, this model is incomplete and must be revised. 6. EXTRACTION OF TRAP PARAMETERS Once we have determined the trapping behavior of a particular oxide by means of the techniques presented in the preceding sections, we can try to estimate the characteristic parameters of the oxide traps. When charge trapping occurs at the Si/Si02 interface, the extraction of trap parameters is straightforward from the set of Eqs. (11.61) to (11.63). It becomes more difficult when charge trapping takes place in the oxide bulk. In practice, using the set of Eqs. (11.53) and (11.54) should enable us to evaluate the characteristic parameters of the bulk oxide traps. However, this method is limited because it only gives access to the product of the capture cross section by the trap density, without allowing a separate determination of these two parameters.
7
770
P. Paillet and J,L, Leray
In order to assess capture cross-section and trap density independently, it is necessary to use a model of interfacial trapping, even if we know that the charge is distributed in the oxide bulk. The reasoning is made then in terms of net equivalent charge projected at the Si/Si02 interface, which corresponds to what is measured experimentally. We use therefore the equation of the voltage shift due to a trap distribution at the interface (i.e. (11.61)) in the regime of strong applied electric field (i.e. with f\fJS) « 1). It yields: (11.71) By differentiating with respect to D, we can write: f
ln\
2
| = /n| dD
V
Nn
•ap,goY(E)eoxD
(11.72)
^ox
The plotting of ln(-dAV/dD)as a function of dose leads to a separate extraction of the capture cross section and of the net equivalent charge at the interface, by determining the slope of the obtained curve and its intercept with the y-axis. For hole traps, this procedure of parameter extraction is only valid when the intemal field is not too much disturbed by the trapped charge, i.e. in the low dose regime with a large applied electric field. With these conditions, the combination of Eqs. (11.71) and (11.72), leads to the determination of hole trap parameters.
10
10
Dose (krad)
Figure 11.23 - Oxide trapped charge contribution AVpf as a function of dose for -I MV/cm back gate applied field. The symbols refer to different SIMOX oxide variants : A Thin oxide, # Medium I, Y Medium II, M Standard. The solid lines correspond to a fit using the exponential model.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
11\
Electron trapping in oxides is only revealed in the case of a high dose irradiation when a large negative bias is applied. Due to large discrepancies in the capture cross sections of holes and electrons, the corresponding net voltage shift can be separated into two exponential components given by (11.71). Each one can be fitted with its set of trap-related parameters. Examples of such a trap parameter fit is shown in Fig. 11.23, where the symbols refer to experimental data, and the solid lines refer to this simple model fit. A very good agreement is shown here for SIMOX oxides of different thicknesses. The complete set of trap parameters (i.e. those extracted for large positive and negative fields) is given next in Table 11.2.
Oxide thickness
BOX Type Thin SEMOX
Electron Traps
Nj
<5t
Nj
<Jt
[nm]
[cm-2]
[cm2]
[cm-2]
[cm2]
80
2.7 (0.3) xl0l2
2.9 (0.3)
7.1 (0.1)
28 (16)
X 10-13
xlOll
X 10-15
4.3 (0.2)
2.8 (0.2)
2 (0.2)
15 (10)
xl0l2
X 10-13
Xl0l2
X 10-15
5.6 (2.2) xl0l2
3.7 (1.7)
2.4 (0.3)
7.9 (3.3)
X 10-13
Xl0l2
X 10-15
1.3 (0.4)
5.2 (1.3)
2.5 (0.3)
5.4 (3.2)
Xl0l3
X 10-14
Xl0l2
X 10-15
1 Medium I SIMOX
95
1 Medium II SIMOX
121.5
Thick SIMOX
Hole Traps
400
|
1
Table 11.2 - Summary of trap densities and capture cross sections extracted from AV^f vs dose data using the exponential fit method. Values in parentheses have been extracted for large negative fields, other values have been obtained for large positive fields.
7. INFLUENCE OF TEMPERATURE TREATMENTS ON THE CREATION OF TRAPS IN Si/Si02/Si STRUCTURES AND AT THE Si/Si02 INTERFACE In the preceding sections, evidence has been provided that the presence of defects, and thus the trapping properties of thin oxide layers, are highly process-dependent. The problem is then to identify which technological step(s) of the process is (are) responsible for these observed differences. Processes may indeed vary in the way the oxide is manufactured (thermal oxidation, ion implantation, etc.), or in the composition of the reacting gases ("wet" or "dry" thermal oxidation for example), as well as in the thermal treatments (annealings) subsequently performed on the oxide layers.
772
P. Paillet and J,L. Leray
The high temperature annealing of Si/Si02 interfaces in vacuum or in an inert atmosphere is known to result in a degradation of both the interface and the overlying oxide [40, 41, 42, 43]. It is thus reasonable to think that differences in the appUed thermal treatments (temperature, time, atmospheres, annealed structures) could explain the differences in behavior observed between various Si/Si02 structures. In almost any technology, the thin oxide films are covered by an overlayer. It is the case for example in the poly-Si/oxide/mono-Si gate structures found in MOS transistors, and in the mono-Si/oxide/mono-Si SOI substrates (substrates obtained by wafer bonding or by the SIMOX process). Recent work has shown that the high temperature anneal of such Si/Si02/Si structures can result in the generation of point defects in the oxide layer. The presence of a low oxygen content in the Si layers above and below the oxide layer during the high temperature anneals leads to a gettering of oxygen atoms, coming from the oxide, into interstitial sites of the Si layers. Moreover, the out-diffusion of these oxygen atoms leaves oxygen vacancies in the oxide. We attempt next to understand and model these phenomena. 7.1 PROPOSED MODEL
The model proposed to explain the experimentally observed dual behavior (oxygen depletion in Si02 and oxygen gettering in Si) is based on the out-diffusion of oxygen during the high temperature anneal. 7.1.1 Principle The driving force behind this out-diffiision is the temperature-dependent solubility limit of oxygen interstitials at elevated temperature in Si, which can be written [44]: [O^^. =1.5310^^exp(-1.03eV/kT)
cm^
(II.73)
At high temperature, the amount of O interstitials in Si is far below its solubility limit at this particular temperature. The diffusion of oxygen atoms from the Si02 layer through the Si/Si02 interface takes place according to Pick's law. The oxygen atoms penetrate into the Si layer as interstitials, leaving behind oxygen vacancies. The quantities thus transferred depend on the anneal temperature and on the anneal duration. As seen in Section 3, the O vacancies constitute one of the main defect precursors (in Si02) which account for the trapping of a net positive charge in the oxide [2,45,46,47]. We should thus be able to assess the concentration of O vacancies by electrical techniques. On the other hand, using Pick's equation we can calculate both the profile of O interstitial atoms in the silicon substrate, and the profile of the missing, out-diffused, O atoms in the Si02 layer.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
ll'i
7.1.2 Profiles of oxygen interstitials in the silicon substrate This profile is expressed by the following equation [44] : VAsi = [0tsierfc(x/24D^t)
(11.74)
where : x is the space coordinate in silicon, whose origin is taken at the Si/Si02 interface, t is the anneal time (in this simple model, the durations of the temperature ramps are not taken into account), L^J^/ " ^ L^J5/02 i^ the oxygen concentration in silicon close to the interface 22 3 (2: 5. 10 cm' ), k being the solubility segregation coefficient derived from (11.73), and finally Dsi is the diffusion coefficient for O interstitials in Si, modeled as [48] : Dsi =0.17exp(-2.54eV/kT)
(11.75)
7.1.3 Profiles of oxygen vacancies in the oxide The out-diffused oxygen atoms have left behind oxygen vacancies in the oxide. The concentration of oxygen vacancies (n^^) is a function of depth and can be written [44]: n^^ - [0]l.(Dsi / D^, f^^effc[^xsio, /2(D,^tf^^]
(11.76)
where XSJ02 ^^ the abscissa in Si02 taken from the Si/Si02 interface, and Do^=2.6 exp(-4J
eV /kT)
(11.74)
2 1
is the diffusivity of oxygen (cm .s ) in the oxide [49]. The integration of this profile over X3J02 gives NQX, the equivalent surface concentration of oxygen vacancies [cm"^] at a given time. This concentration is equal to the total number (per unit area) of O atoms which have out-diffused from Si02 into silicon during the annealing. It is assumed here that cooling down is instantaneous and does not lead to the back-diffusion of oxygen atoms into Si02. 7.2 APPLICATION TO THE POST-OXIDATION ANNEAL OF AN si/si02
STRUCTURE
In order to evaluate the validity of the above assumptions, the idea is to first compare the trap density revealed by irradiation and measured electrically, with the calculated quantity of out-diffused oxygen atoms.
P. Paillet and J.L. Leray
774
. Furnace 30min RTMOs S o
o §
900
950
1000
1050
1100
Anneal Temperature (°C) Figure 11.24 - Quantity of out-diffused oxygen atoms according to the simple model
To that purpose, the calculation process [44] described above has been strictly followed, and applied to various RTA and furnace anneal conditions. The calculated concentrations N^^ of out-diffused oxygen atoms are shown at different temperatures in Fig. 11.24. Although the proposed model is quite simple, it can explain the differences found between the two types of anneal (RTA and furnace). The model accounts for the two key experimental parameters, namely temperature and the duration of the anneal. Furthermore, the rate-limiting process is the diffusion of oxygen, whose activation energy (2.54 eV) is compatible with the experimentally measured slope of the Arrhenius plots (around 3 eV). 7.2.1 Measurements related to oxygen vacancies in the oxide layer 2
If during irradiation, all the oxygen vacancies in the oxide, of concentration NQ^ (cm" ), trap a hole, the resulting voltage shift induced at the MOS interface, AVoxy, can be written : ^yoxy^qN^x/Co,
(11.76)
since in thermal oxides most vacancies are located very close to the interface. In Fig. 11.25, the experimental AV^^ results obtained for a dose of 1 Mrad(Si02), and measured by electrical means, are compared with the "theoretical" voltage shifts due to the out-diffusion of O atoms and deduced from (11.76). Both voltage shifts are plotted as a function of anneal temperature.
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
•o CD
CD CD
O
> <1
775
0 -0.2 X -0.4 i -0.6 i
-o.si -i.oi -1.2 -1.4 -1.6i
-i.si -2.0
800
m
Experimental data
Predicted data: jm- RTA
- » - Furnace
850
900 950 1000 Anneal Temperature (°C)
1050
1100
Figure 11.25 - Comparison between predicted and experimental threshold voltage shifts associated with charge trapping on O vacancies after annealing, as a function of anneal temperature (personal author's data).
Although the magnitude of the two voltage shifts differ, the shape of the predicted curve (AVoxy=f(Tanneal)) ^^ ^^ 8^^^ agreement with the experimental data (AVQt=f(Tanneal))- This difference in magnitude can be explained as follows : (i) the predicted voltage shift, AVQ^YJ is calculated by considering only hole traps (i.e. the compensating electron traps are neglected), (ii) the radiation-induced positive charges may not be related one-to-one to oxygen vacancy precursors (possibility of neutral centers), and (iii) the dose level used for the experimental data may not be sufficient to fill up all the available defect precursors. 7.2.2 Measurements related to interstitial oxygen atoms in the silicon The amount of oxygen interstitials in silicon can be measured by Infra Red Spectroscopy. These absorption measurements concem the "interstitial" O mode in the silicon substrate (frequency ~ 1106 cm'^). Measurements of the intensity of this peak are used to derive the concentration of O interstitials in the Si substrate [50]. Figure 11.26 shows the measured concentration of oxygen interstitials in the silicon substrate, as a function of the O vacancy concentration calculated using the simple outdiffusion model of § 7.1.
776
P. Paillet and J,L, Leray
0
5
2.5
10
7 5
(10l5cm-2)
Calculated N ox
Figure 11.26. : The concentration of interstitial oxygen atoms measured by infrared absorption in a Si substrate after high temperature anneal, is plotted versus the calculated density of out-diffused oxygen atoms. After [50].
The very good agreement between calculation and experimental data suggests that although the model is quite simple, and does not take into account such effects as interfacial strain or energy barriers, the physical process occurring is reasonably predicted. 7.3 APPLICATION TO THE ANNEAL OF AN Si/Si02/Si STRUCTURE
Another clear example of the oxide reduction process occurring during a high temperature anneal is provided by the following experiment. Standard SIMOX oxide is known to be slightly sub-stoichiometric, and charge trapping in this material is uniform across the oxide. This phenomenon must be related to the very high temperature anneal inherent to the SIMOX process (1320°C, 6h).
1
\
-20
^ T^hs-i
e >
>T ^
too
MJ
r^^ \
-40
\
-60
\ r -80
I I I
10
mil
10
1 1 1 Mill
1 1 1 Mill
2
10
10
1 1 1 Mill
3
10
1 1 1 mil
10
DOSE (krad) Figure 11.27 - Measured midgap voltage shift as a function of X-ray dose. The irradiation bias was -30 V: O SIMOX; Ohigh temperature annealed thermal oxide; Vunannealed thermal oxide
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
111
In order to check this hypothesis, and to investigate the effect of high temperature anneal on the Si/Si02/Si structure, a similar sandwich structure has been made from a thermal dry oxide, and then subjected to a high temperature anneal. The radiation-induced charge trapping properties of SIMOX, are then compared to those of annealed and unannealed thermal oxides in the following figures. Figure. 11.27 reveals the presence of electron traps in the SIMOX oxide, through a reduction in the net measured voltage shift with respect to the unannealed thermal oxide. As can be observed, the annealed thermal oxide clearly behaves like the SIMOX oxide, and thus shows the existence of a negative trapped charge after high temperature anneal. Moreover, Fig. 11.28 shows the charge trapping behavior as a function of the applied field during irradiation. The unannealed thermal oxide only traps at the Si/Si02 interface (i.e. when a positive field is applied), whereas the SIMOX oxide exhibits a positive trapped charge whatever the sign of the applied field (uniform trap density). Once again here, the annealed thermal oxide structure tends to behave like a SIMOX oxide, thus revealing the presence of a trap density extending inward, in the oxide bulk. This corresponds to the oxygen out-diffusion phenomenon mentioned above, and thus corroborates the described model.
IM III1 IIIII IIIII II IIIIII[IiII[II M IIIII I
-40 -30 -20 -10
0 10 V^ (V)
20
30
40
Figure 11.28 - Measured midgap voltage shift as afiinction of gate bias applied during irradiation. The total dose used for this study is 10 krad(Si02) : O SIMOX ; O high temperature annealed thermal oxide ; V unannealed thermal oxide.
The results presented here clearly show that the annealing of Si/Si02/Si structures in inert or partially oxidizing ambients at high temperature results in a degradation of the sandwiched oxide. There is strong evidence that this degradation results from an oxygen out-diffusion from the oxide layer, leaving oxygen-vacancy related defects behind. Even if the proposed model is quite simple, the physical process seems to be reasonably well reproduced. Further improvements of the model should take into account such effects as heat-up and cool-down times, interfacial strain, and interfacial energy barriers.
778
P. Paillet and J,L. Leray
8. CONCLUSIONS Despite the large amount of efforts devoted to the understanding of the rather simple looking Si/Si02 system, a complete and precise "picture" of its properties is still missing. The charge trapping phenomena described in this chapter only deal with defects encountered in the oxide bulk. The generation of interface traps and its dependence on the manufacturing process, which are even more complex, have not been reported here. Even concerning oxide traps and their precursors, the best known ones are those related to hole traps, whereas electron traps and their precursors are barely known. Electrical methods can be used to estimate the overall quantities of hole and electron traps, but electrical characterization alone cannot yield the exact microscopic nature of the defects. To this purpose, the combination of several techniques (such as EPR, TSC, Charge Pumping, and standard electrical characterization) is necessary. This methodology can also be applied to the characterization of other insulating materials, such as nitrided oxides, tantalum oxides or even ferroelectric materials, all materials which are of great interest for future technologies. Acknowledgements The authors thank R. A. B. Devine for his collaboration and stimulating discussions. They also wish to thank the editors G. Barbottin and A. Vapaille for their critical reading of this chapter and their many helpful conmients and suggestions. They express their gratitude once again to G. Barbottin for his help in translating this text into English. LIST OF REFERENCES 1
2 3 4 5 6 7
8 9
A.G. Revesz, G.V. Gibbs, "Structural and Bond Flexibility of Vitreous Si02 Films", In The Physics of MOS Insulators, Eds G. Lucovsky, S.T. Pantelides and F.L. Galeneer, (New York : Pergamon), p. 92, (1980). R.A.B. Devine, "The Structure of Si02, its Defects and Radiation Hardness", IEEE Trans. Nucl ScL, 41, p. 432, (1994). R.A.B. Devine, J. Arndt, "Correlated Defect Creation and Dose-Dependent Radiation Sensitivity in Amorphous Si02", Phys. Rev. B, 39, p. 5132 (1989). D.L. Griscom, "Intrinsic and Extrinsic Point Defects in a-Si02", In The Physics and Technology of Amorphous Si02 Ed. R.A.B. Devine, (New-York : Plenum), p. 125, (1988). F.J. Feigl, W. Beall Fowler, K.L. Yip, "Oxygen Vacancy Model for the E\ Center in Si02", Solid State Commun., 14, p. 225, (1974). J.K. Rudra and W. Beall Fowler, "Oxygen Vacancy and the E\ Center in Cristalline Si02", Phys. Rev. B, 35, p. 8223,(1987). R.E. Stahlbush, A.H. Edwards, D.L. Griscom, B.J. Mrstik, "Post-Irradiation Cracking of H2 and Formation of Interface States in Irradiated Metal-Oxide-Semiconductor Field Effect Transistors", J. Appl. Phys., 73, p. 65S, (1993). D.L. Griscom, "Self-Trapped Holes in Amorphous Silicon Dioxide", Phys. Rev. B, 40, p 4224, (1989). W.L. Warren, D.M. Fleetwood, M.R. Shaneyfelt, J.R. Schwank, P.S. Winokur, R.A.B. Devine, "Excess-Si Related Defect Centers in Buried Si02 Thin Films", Appl. Phys. Lett, 62, p. 3330, (1993).
Defects and Radiation-Induced Charge Trapping Phenomena in Silica
10 11 12 13 14
15 16 17 18 19 20 21 22 23
24 25
26 27 28
29 30
31
779
W.L. Warren, J.R. Schwank, M.R. Shaneyfelt, D.M. Fleetwood,, P.S. Winokur, "Hydrogen Interactions with Delocalized Spin Centers in Buried Si02 Thin Films", Appl Phys, Lett,, 62, p. 1661, (1993). A. Stesmans, "New Intrinsic Defect in as-grown Thermal Si02 on (lll)Si", Phys. Rev. B, 45, p. 9501, (1992). K.L. Brower, "Formation and Passivation of New Paramagnetic Defects Associated with Thermal Oxides on Silicon", Mat. Res. Soc. Symp. Proc, 105, p. 219 (1988). B. B. Triplett, T. Takahashi, and T. Sugano, "Electron Spin Resonance Observation of Defects in Device Oxides Damaged by Soft X Rays," Appl. Phys. Lett, 50, p. 1663, (1987). T. Takahashi. B. B. Triplett, K. Yokogawa, and T. Sugano, "Electron Spin Resonance Observation of the Creation, Annihilation, and Charge State of the 74-Gauss Doublet in Device Oxides Damaged by Soft X Rays," Appl. Phys. Lett., 51, p. 1334, (1987). J. F. Conley and P. M. Lenahan. "Room Temperature Reactions Involving Silicon Dangling Bond Centers and Molecular Hydrogen in Amorphous Si02 Thin Films", IEEE Trans. Nucl. Set., 39, p. 2186, (1992). Y. Nishi,"Study of Silicon-Silicon Dioxide Structure by Electron Spin Resonance", Jpn. J. Appl. Phys., 10, p. 52, (1971). E. H. Poindexter, P. J. Caplan, N. M. Johnson, "Interface States and Electron Spin Resonance Centers in Thermally Oxidized (111) and (100) Silicon Wafers", /. Appl. Phys., 52, p. 879, (1981). A.H. Edwards, "Theory of Defects in the MOS System", In The Physics and Chemistry of Si02 and the Si-Si02 Interface, Eds. C.R. Helms and B.E. Deal, (New-York: Plenum), p. 135 (1988). K.L. Brower, "Electron Paramagnetic Resonance Studies of Si-Si02 Interface Defects", Semicond. Set. Tec/i., 4,p.970(1989). B. Balland, "Defects in Silica Films", In Instabilities in Silicon Devices, Eds. G. Barbottin and A. Vapaille, (North Holland : Elsevier), p. 101, (1989). P.M. Lenahan and P.V. Dressendorfer, "Hole Traps and Trivalent Silicon Centers in Metal/Oxide/Silicon Devices", IEEE Trans. Nucl Sci., 29, p. 1459, (1984). D. Herve, J. L. Leray and R. A. B. Devine, "Comparative Study of Radiation-Induced Electrical and Spin Active Defects in Buried Si02 Layers", J. Appl. Phys., 72, p. 3634, (1992). W.L. Warren, M.R. Shaneyfelt, J.R. Schwank, D.M. Fleetwood, P.S. Winokur, R.A.B. Devine, W.P. Maszara, J.B. McKitterick, "Paramagnetic Defect Centers in BESOI and SIMOX Buried Oxides", IEEE Trans. Nucl. Sci., 40, p. 1755, (1993). R.A.B. Devine, D. Mathiot, W.L. Warren, D.M. Fleetwood and B. Aspar, "Point Defect Generation and Oxide Degradation During Annealing of the Si-Si02 Interface", Appl. Phys. Lett., 63, p. 2926, (1993). J.L. Leray, O. Musseau, E. Dupont-Nivet, J.F. Pere, Y.M. Coic, "A Study of the Sensitivity to Cosmic Rays and Integrated Dose of Bit Slice Microprocessors in CMOS and CMOS/SOI Technologies", Proc 6* Int. Conf. Reliab. Maintain., Strasbourg (France), p. 625, (1988). H. E. Boesch, T. L. Taylor, L. R. Hite, W. E. Bailey, "Time-Dependent Hole and Electron Trapping Effects in SIMOX Buried Oxides", IEEE Trans. Nucl. Sci., 37, p. 1982, (1990). T. Ouisse, S. Cristoloveanu, G. Borel, "Electron Trapping in Irradiated SIMOX Buried Oxide", IEEE Electron Device Lett., 12, p. 312 (1991). O. Flament, D. Herve, O. Musseau, Ph. Bonnel, M. Raffaelli, J.L. Leray, J. Margail, B. Giffard, A.J. Auberton-Herve, "Field Dependent Charge Trapping Effects in SIMOX Buried Oxides at Very High Dose", IEEE Trans. Nucl. Sci., 39, p. 2132, (1992). P. Paillet, D. Herve, J.L. Leray, R.A.B. Devine, "Evidence of Negative Charge Trapping in High Temperature Annealed Thermal Oxide", IEEE Trans. Nucl. Sci., 41, p. 473 (1994). R. E. Stahlbush, G. J. Campisi, J. B. McKitterick, W. P. Maszara, P. Roitman and G. A. Brown, "Electron and Hole Trapping in Irradiated SIMOX, ZMR and BESOI Buried Oxides", IEEE Trans. Nucl. Sci, NS39, 2086 (1992). P. Paillet, D. Herve, J.L. Leray and R.A.B. Devine, "Effect of high temperature processing of Si/Si02/Si structures on their response to X-Ray irradiation", Appl. Phys. Lett., 63, p. 2088 (1993).
780 33 34
35 36 37
38 39 40 41 42 43 44
45 46 47 48 49 50 51 52 53 54 55 56
/>. Paillet and J,L, Leray Z. Shanfield, G.A. Brown, A.G. Revesz, "A New MOS Radiation-Induced Charge : Negative Fixed Interface Charge", IEEE Trans. Nucl Set, 39, p. 303 (1992). D. M. Fleetwood, S. L. Miller, R. A. Reber, Jr., P. J. McWhorter, P. S. Winokur, M. R. Shaneyfelt, and J. R. Schwank, "New Insights into Radiation-Induced Oxide-Trap Charge through Thermally-StimulatedCurrent Measurement and Analysis", IEEE Trans. Nucl. Scl, NS-39, 6, 2192, (1992). D-S.Lee, C-Y.Chan, "Oxide charge accumulation in metal oxide semiconductor devices during irradiation", J.Appl.Phys., 69, 10, 1991. R. J. Krantz, L. W. Aukerman and T. C. Zietlow, "Applied Field and Total Dose Dependence of Trapped Charge Buildup in MOS Devices", IEEE Trans. Nucl. Set., 34, p. 1196, (1987). J. L. Leray, "Contribution h. I'etude des phenomenes induits par les rayonnements ionisants dans les structures ^ effet de champ au silicium ou h, I'arseniure de gallium utilisees en microelectronique", These Doct. Etat: Universite de Paris-Sud, Centre d'Orsay, France, (1989). R.C. Hughes, "Theory of response of radiation sensing field effect transistors", J. Appl. Phys., 58, p 1375, (1985). H. E. Boesch, G. A. Brown, "Charge Buildup at High Dose and Low Fields in SIMOX Buried Oxides", IEEE Trans. Nucl. Set, 38, p. 1234, (1991). R. Tromp, G. W. Rubloff, P. Balk, F. K. LeGoues, and E. J. Van Loenen, "High temperature Si02 decomposition at the Si/Si02 interface", Phys. Rev. Lett. 55, 2332, (1985). G. W. Rubloff, "Defect microchemistry at the Si02/Si interface". Mat. Res. Soc. Symp. Proc. 105, 11-21, (1988). M. Liehr, J. E. Lewis, and G. W. Rubloff, "Kinetics of high-temperature thermal decomposition of Si02 on Si (100)", J. Vac. Sci. Tech. A5, 1559-1562, (1987). F. M. d'Heurle, "On the possibility of hole nucleation at the Si-Si02 interface", J. Electrochem. Soc. Extended Abs. 90-2, 446, (1990). W.L. Warren,D.M. Fleetwood, M.R. Shaneyfelt,J.R. Schwank, P.S. Winokur, R.A.B. Devine and D. Mathiot, "Links between oxide, interface, and border traps in high-temperature annealed Si/Si02 systems". A;?/?/. Phys. Lett., 64, p. 3452, (1994). P.M. Lenahan, and P.V. Dressendorfer, "Micro-structural Variation in Radiation Hard and Soft Oxides Observed Through Electron Spin Resonance", IEEE Trans. Nucl. Sci. NS-30,4602 (1983). A.X. Chu and W.B. Fowler, "Theory of oxide defects near the Si-Si02 interface", Phys. Rev. B, 41, n°8, 5061 (1990). P. Paillet, J. L. Autran, J. L. Leray, B. Aspar and A. J. Auberton-Herve, "Trapping-Detrapping Properties of Irradiated Ultra-Thin SIMOX Buried Oxides", IEEE Trans. Nucl. Sci., 42, p. 2108 (1995). M. Stavola, J.R. Patel, L.C. Kinunerling, and P.C. Freeland, Appl. Phys. Lett. 42, 73 (1983). J.C. Mikkelsen, Jr, Appl. Phys. Lett. 45, 1187 (1984). R.A.B. Devine, W.L. Warren, J.B. Xu, I.H. Wilson, P. Paillet and J.L. Leray, "Oxygen Gettering and Oxide Degradation During Annealing of Si/Si02/Si Structures", J. Appl. Phys., 11, p. 175, (1995). P.S. Winokur, J.R. Schwank, P.J. McWhorter, P.V. Dressendorfer and D.C. Turpin, "Correlating the Radiation Response of MOS Capacitors and Transistors", IEEE Trans. Nucl. Sci., 31, p. 1453 (1984). P.J. McWhorter, P.S. Winokur, and R.A. Pastorek, "Donor/Acceptor Nature of Radiation-Induced Interface Traps", IEEE Trans. Nucl. Sci., 35, p. 1154 (1988). Y.Y. Kim and P.M. Lenahan, "Electron-Spin-Resonance Study of Radiation-Induced Paramagnetic Defects in Oxides Grown on (100) Silicon Substrates", J. Appl. Phys., 64, p. 3551, (1988). D.M. Fleetwood, "Long-Term Annealing Study of Midgap Interface-Trap Charge Neutrality", Appl. Phys. Lett. 60, 2883 (1992). PJ. McWhorter and P.S. Winokur, "Simple Technique for Separating the Effects of Interface Traps and Trapped-Oxide Charge in Metal-Oxide-Semiconductor Transistors", Appl. Phys. Lett. 48, 133 (1986). J.R. Schwank, D.M. Fleetwood, M.R. Shaneyfelt and P.S. Winokur, "A critical Comparison of ChargePumping, Dual-Transistor, and Midgap Measurement Techniques", IEEE Trans. Nucl. Sci., 40, p. 1666 (1993).
INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related Instabilities G. Barbottin and A. Vapaille (Editors) © 1999 Elsevier Science B.V. All rights reserved.
781
CHAPTER 12
THE EFFECTS OF COSMIC IONS ON ELECTRONIC COMPONENTS
by O. MUSSEAU(i)
Key items Cosmic (heavy) ions Single Event Upset (SEU) Single Event Latchup (SEL) Other Single Event Phenomena Ion-device interactions Linear Energy Transfer (LET) Charge generation Device cross section Critical LET Sensitive volume Charge collection mechanisms Ground simulation techniques SEU prediction Hardening
(1) Centre d'Etudes de Bruyeres-Le-Chdtel DRIF/DCRE/SEIM BP 12 91680 Bruyeres-Le-Chdtel - France
782
O. Musseau
Abstract of Chapter 12: The effects of cosmic ions on electronic components Because of their increasing degree of mtegration, on-board electronic components are increasingly sensitive to the heavy ions of the cosmic rays. When an energetic ion goes through a component, it generates ionization phenomena along its path. The ensuing transient (photo) current may alter the content of memory cells or perturb the functioning of logic devices (logical upset) or even destroy the component. The sensitivity of a component to heavy ions may be characterized by measuring the number of single events produced as a function of the incident energy of the particle or of the energy it looses. Two mechanisms contribute to collecting the charges generated along the ion track: funneling and diffusion. These mechanisms may be analyzed relatively easily for an ideal pn diode. In a real component, the charge collection mechanisms are more complex because of the presence of neighboring sensitive structures, of coupling phenomena and of possible short-circuits. A permanent degradation of the component may even take place because of the excitation of parasitic structures. Three such degradation modes have been identified so far: the Single Event Latchup (SEL), the Single Event Burn-out (SEB) and the Single Event Gate Rupture (SEGR). It is, to some extent, possible to compare the sensitivity of various technologies by studying their structure and the dimensions of their elementary transistors. These analyses are, however, insufficient to model the phenomena and experimental characterizations are needed. These can be performed by means of particle accelerators, radioactive sources or picosecond lasers. Methods to predict the number of logical upsets likely to occur during a mission have been devised using semi-empirical models and computer codes. In some cases, the predicted results have been compared (successfully) to results obtained on-board satellites. It is possible to harden electronic circuits against heavy ion effects by adapting the manufacturing process, and by using different cell architecture and routing. The very dynamic field of ion-component interactions will no doubt continue to grow as circuit integration continues and more electronics is flown in space. Resume du chapitre 12 : Les effets des ions cosmiques sur les composants electroniques Du fait de leur croissant degre d'integration, les composants electroniques embarques sont de plus en plus sensibles aux ions lourds du rayonnement cosmique. Le passage d'un ion tres energetique au trovers d'un circuit genere une forte ionisation le long de sa trace et provoque Vapparition d'un fort (photo) courant transitoire. Ce transitoire peut alterer le contenu de cellules memoire, perturber la logique combinatoire (alea logique) ou meme detruire le composant. La sensibilite d'un composant aux effets des ions lourds peut etre caracterisee en mesurant le nombre d'evenements singuliersproduits enfonction de Tenergie de laparticule ou de Tenergie qu'elleperd. Deux mecanismes contribuent a la collecte des charges generees par le passage de I'ion : Taspiration et la diffusion. L'analyse des mecanismes peut etre realisee relativement facilement dans le cas d'une diode pn ideale. Les mecanismes de collecte de charge dans un composant reel sont plus complexes du fait: de la proximite des differentes structures sensibles, des phenomenes de couplage et des courts-circuits possibles. Une degradation permanente du composant peut egalement se produire dufait de I'excitation d'une structure parasite. Trois modes de degradation ont ete identifies a ce jour: le verrouillage maintenu, I'emballement thermique et le claquage de grille. II est possible, dans une certaine mesure, de comparer la sensibilite de differentes technologies en etudiant leur structure et les dimensions de leurs transistors elementaires. Ces analyses sont toutefois insuffisantes pour mode User les phenomenes et une caracterisation experimentale est necessaire. Ceci peut sefaire a I'aide : d'accelerateurs de particules, de sources radioactives ou de lasers picoseconde. Des methodes de prediction du taux d'aleas logiques ont ete mises au point a partir de modeles semiempiriques ou de codes de calcul. Dans certains cas, les resultats de prediction ont pu etre compares avec succes a des resultats provenant d'experiences embarquees.
The Effects of Cosmic Ions on Electronic Components
783
// est enfin possible de durcir les circuits electroniques contre les effets des ions cosmiques en modifiant: le procede technologique, Varchitecture des cellules et leur interconnexion. Le domaine, tres dynamique, des interactions ions lourds/composants devrait continuer a croitre du fait de I'integration croissante des composants et de leur utilisation toujours plus importante dans I'espace. Zusammenfassung zu Kapitel 12: Die Wirkung kosmischer lonen auf Halbleiter-Bauelemente Wegen der steigenden Integrationsdichte wird die Empfmdlichkeit von Komponenten der Elektronik an Bord eines Satelliten gegeniiber den schweren lonen aus der kosmischen Strahlung immer grOfier. Wenn ein energetisches Ion in eine Bauteil eindringt, generiert es Idngs seiner Spur lonisationsereignisse. Der einsetzende transiente (Photo-) Strom kann dazujuhren, daji der Inhalt einer Speicherzelle verandert wird, ihre Funktion gestort wird (logischer Fehler) oder sogar das Bauelement zerstOrt wird. Die Empfmdlichkeit eines Bauteils gegeniiber schweren lonen kann bestimmt werden durch die Messung derAnzahl von erzeugten Einzelfehlern (single events) als Funktion der Energie des eintreffenden Teilchens oder seines Energieverlustes. Zwei Mechanismen tragen zur Sammlung der generierten Ladungen Idngs seiner Spur bei: funneling (durch das Plasma und die Potentiate erzeugte Kaminwirkung fur Ladungstrager) und Diffusion. Diese Mechanismen kOnnen relativ einfach an einem idealen pn-Ubergang analysiert werden. In einem realen Bauteil sind die Mechanismen der Ladungssammlung wegen des Vorhandenseins benachbarter empfmdlicher Strukturen, wegen Uberkopplung und wegen moglicher KurzschlUsse erheblich komplexer. Eine permanente Schddigung der Komponenten kann gerade durch die Anregung parasitdrer Strukturen entstehen. Drei solcher Schddigungsmechanismen sind bisher identifiziert worden: der Single Event Latchup (SEL), d.i. das ZUnden parasitdrer Vierschichtstrukturen, das Single Event Burn-out (SEB), d.h, Kurzschlufi durch thermische Uberlastung, und Single Event Gate Rupture (SEGR), d.i. der Durchschlag des Gates. Es ist teilweise mdglicK die Empfmdlichkeit der verschiedenen Technologien zu vergleichen, indem man ihren Aufbau und die Abmessungen der einzelnen Transistoren zugrunde legt. Diese Art der Charakterisierung istjedoch nicht ausreichend, um diese Phdnomene zu simulieren. Daher sind experimentelle Untersuchungen notwendig. Diese konnen mit Hilfe von Teilchenbeschleunigern, radioaktiven Prdparaten oder Picosekunden-Lasern durchgefuhrt werden. Verfahren zur Vorhersage der Anzahl der wahrscheinlich auftretenden logischen Fehler wdhrend einer Mission sind unter Zuhilfenahme halbempirischer Modelle und Rechnerprogrammen durchgefuhrt worden. In einigen Fdllen sind diese Abschdtzungen (erfolgreich) mit Daten verglichen worden, die an Bord des Satelliten gemessen wurden. Es ist mOglich, elektronische Schaltkreise gegen die Wirkung schwerer lonen durch Anpassung des Herstellungsprozesses und durch Anderung der Zellenarchitektur und der Verschaltung zu hdrten. Das sehr dynamische Gebiet der lon/Materie-Wechselwirkung wird zweifellos weiter an Bedeutung gewinnen, solange der Integrationsgrad der Schaltkreise und die Anzahl elektronischer Schaltkreise im Weltraum wdchst
784
O. Musseau
Chapter 12: The effects of cosmic ions on electronic components Table of Contents List of symbols and abbreviations used 1. Introduction 2. Generalities on cosmic ion effects 2.1 A historical overview 2.2 Some characteristics of cosmic rays 2.2.1 Nature and origin of cosmic radiation 2.2.2 Main properties 2.3 How cosmic rays interact with components 2.3.1 Effects on components 2.3.2 Specific characteristics of the observed failures 2.4 Main trends 2.5 Recent bibliography 3. An overview of the main disturbances caused by cosmic ions 3.1 Transient errors: single event upsets 3.2 Permanent degradations 3.2.1 Single Event Latchup (SEL) 3.2.2 Single Event Burnout (SEB) 3.2.3 Single Event Gate Rupture (SEGR) in power MOS transistors 3.2.4 Snapback, or single transistor latch effect 4. Concepts and parameters used to describe the sensitivity of a component to SEU 4.1 Parameters describing the energy transfer 4.1.1 Energy lost by the incident ion 4.1.2 Energy deposited in the semiconductor material 4.1.3 Conversion of the energy into electric charges 4.1.4 The phenomenology of charge collection 4.2 Parameters characterizing a component sensitivity 4.2.1 The device cross section 4.2.2 Device cross section as a function of a characteristic parameter of the ion 4.2.3 Sensitivity threshold, critical charge, critical energy 4.2.4 Role of the ion penetration depth 4.2.5 Critical LET 4.2.6 Theoretical versus real sensitivity 4.3 The sensitive volume 4.3.1 The collection length 4.3.2 The charge collection volume (sensitive volume) 4.4 The special case of protons 4.4.1 Interactions mechanisms 4.4.2 Secondary radiations 4.4.3 The interaction volume 4.4.4 Characterization of the sensitivity to protons and comparison with the sensitivity to heavy ions
The Effects of Cosmic Ions on Electronic Components 5. The charge collection mechanisms in a diode 5.1 Carrier thermalization 5.2 Charge funneling 5.2.1 The physical mechanism of funneling 5.2.2 The main characteristics of funneling 5.2.3 Funneling length 5.3 Charge collection by diffusion 5.4 A review of the major charge funneling models 5.4.1 Discussion of the various models 5.4.2 Comparison of models 6. The charge collection mechanisms in a real structure 6.1 The role of parasitic structures 6.2 Caseof a MOS transistor 6.2.1 The sensitive elements of a MOS transistor structure 6.2.2 The n"^p'p"^ structure: Effect of the interface field 6.3 Case of a CMOS structure 6.3.1 The Complementary MOS (CMOS) structure 6.3.2 The CMOS inverter 6.3.3 Charge collection in a diode in a well 6.3.4 The n'^pn'n'^ structure. Short-circuit along the ion track in epitaxial layers 6.3.5 The n"^pn"n'^ structure. Conduction of the vertical bipolar transistor 6.4 Case of a bipolar transistor 6.5 Case of devices on insulator 6.5.1 CMOS on sapphire (CMOS/SOS) 6.5.2 CMOS on insulator (CMOS/SOI) 7. Permanent degradation mechanisms 7.1 Generalities 7.2 Single Event Latchup (SEL) 7.2.1 The physical mechanism of latchup 7.2.2 Relations between physical parameters and sensitivity to heavy ions 7.2.3 Temperature effects 7.2.4 Effect of irradiation geometry 7.3 Single Event Burnout (SEB) in a power MOSFET 7.3.1 The physical mechanisms of burnout 7.3.2 Parameters affecting component sensitivity 7.4 Single Event Gate Rupture (SEGR) in a power MOS transistor 7.4.1 Effects of ion LET and bias voltages 7.4.2 Effect of the angle of incidence and of temperature 8. Comparative sensitivity to SEU of various technologies 8.1 Geometric factors determining sensitivity to logic errors 8.1.1 Sensitive area 8.1.2 Collection length 8.1.3 Theoretical comparison of three technologies: bipolar, CMOS, and CMOS on insulator 8.2 Experimental comparison of different technologies
785
786
O, Musseau
9. Experimental simulation techniques and means 9.1 Advantages and shortcomings of the experimental approach 9.2 Accelerators 9.2.1 Accelerators in the very high energy domain 9.2.2 Accelerators in the moderate energy domain 9.2.3 Accelerators in the low energy domain 9.2.4 Ion microbeams 9.2.5 Irradiation apparatus 9.3 Radioactive sources 9.3.1 Spontaneous fission sources (Californium 252) 9.3.2 Alpha sources 9.4 Picosecond lasers 9.5 The limitations of the various means of irradiation 9.5.1 The structure of the ion track 9.5.2 The penetration depth 9.5.3 Variation of the angle of incidence 9.6 Test methodology 9.6.1 SEU: modification of the information 9.6.2 Effect of the ionizing dose accumulated during testing 9.6.3 SEL: fimctionality modification 9.6.4 SEB and SEGR: destruction 10. The prediction of events in orbit 10.1 The basic assumptions 10.2 The semi-empirical models 10.2.1 The Petersen model 10.2.2 The case of protons: the Bendel models 10.3 The computer codes 10.3.1 The case of heavy ions: the basis of computations 10.3.2 Limitations of the sensitive volume concept 10.3.3 The case of protons 10.4 Limitations, domains of validity 10.4.1 The effective LET 10.4.2 Comparison with in-orbit measurements 11. A brief review of prevention and hardening techniques 11.1 Analysis of the component manufacturing sequence 11.2 Physical hardening 11.3 Electrical hardening: design and assembly 11.3.1 Hardening of the basic electrical cells 11.3.2 The effects of lay-out and routing 11.4 Error detection and correction 11.4.1 System analysis 11.4.2 Prevention methods 12. Conclusion Acknowledgements List of references
The Effects of Cosmic Ions on Electronic Components
1%1
LIST OF SYMBOLS AND ABBREVIATIONS USED A D E
a.m.u. cm^.s"^ eV, KeV, MeV, GeV dE_ MeV.pm-i
Ion mass Ambipolar diffusion coefficient Particle energy Particle stopping power
dx
eV, KeV, MeV, GeV cm'2 pm Ic MeV/(mg/cm2) Lc Leff MeV/(mg/cm^) Lth MeV/(mg/cm^) NA cm"^ Ec
No Nei
# #
Nel
#
q
C pCfC pC, fC cm^ #/bit-day V pm'^ pm eV pm pm pm pm
Qc Qi Si Te
Vo Vc
w Wp
Xc Xp Y
z Pnpn Ppnp 11c
e
o
p
cm^.V"i-s'^ cm^.V-i-s'i cm^.V-i-s"^ g.cm"^
Pn Pp
P
Critical energy of a circuit Particle fluence Chordlength in the sensitive area Critical LET Effective LET LET threshold Substrate doping (p-type) Number of errors in a circuit Number of multiple errors, i.e. affecting i registers or output bits simultaneously Number of single errors (i.e. those affecting only one register or one output bit) Electron charge Critical charge of a circuit Critical charge of electric node i in a circuit Sensitive area of electric node i in a circuit Error rate in orbit Voltage applied to circuit terminals Charge collection volume (sensitive volume) Thickness of the depleted area Creation energy of an electron-hole pair Charge collection length Effective funneling length Trace length Circuit thickness Atomic number Small signal current gain of an npn bipolar transistor Small signal current gain of a pnp bipolar transistor Differential charge collection yield Charge funneling yield Ion angle of incidence (from normal). Ambipolar mobility Electron mobility Hole mobility Density
O, Musseau
788 a cm^/bit
CCD CMOS DRAM LET LSI SEB SEE SEL SEP SEU SRAM VHSIC VLSI
Component cross section Cross section per bit Cross section when irradiated by heavy ions Cross section when irradiated by protons Asymptotic cross section Charge-coupled device Complementary metal-oxide semiconductor Dynamic random access memory Linear energy transfer Large-scale integrated Single-event burnout Single-event effect Single-event latchup Single-event phenomenon Single-event upset Static Random Access Memory Very high-speed integrated circuit Very large-scale integrated
The Effects of Cosmic Ions on Electronic Components 1
789
INTRODUCTION
As microelectronic technologies have developed, the subject of cosmic ion effects is one that emerged over the last twenty years. The problems generated by these ions on electronic components are first mentioned in Section 2. These problems depend on the physics of the components themselves, and evolve with increasing integration. The energy deposited along the path of a cosmic ion generates an extremely short and intense transient charge in any circuit it passes through. This parasitic charge causes then different types of disturbances which are described in Section 3: • it may upset the data the component is processing, mainly in the memories and in the microprocessor parts of the circuit, without altering the functionality of the circuit as a whole, • or it may disturb the component functionality, sometimes causing irreversible degradations, which may lead to component destruction. In Section 4 we see that characterizing the sensitivity of a component to Single Event Phenomena means determining the type and the number of failures resulting from exposure to a known flow of particles, as a function of the physical quantities measuring the energy deposited by the individual incoming particles. The different types of failure depend on the microscopic structure of the components and on the physical mechanisms through which these components collect the ionizing charges generated by the incident particle. Our analysis of charge collection in an ideal diode - which is the typical sensitive region of any electronic component - is carried out in Section 5. This analysis shows that two mechanisms are at work. One is related to the action of the electric field on the generated carriers (funneling), and the other is the diffusion of these carriers toward regions of lower carrier density. These two collection mechanisms occur relatively separately in time, and may sometimes give rise to different phenomena within the microelectronic component. Charge collection mechanisms are more complex in today*s microelectronic components than in an ideal n+p junction as explained in Section 6. Several neighboring junctions may in fact be perturbed by a single ion. Either the charges deposited may be shared, or the various junctions may be coupled, thereby causing new collection mechanisms to take place. Permanent degradations may also result from the excitation of parasitic structures by incident ions as described in Section?. In the latchup and bumout processes, the iongenerated photocurrent sets a parasitic bipolar transistor into conduction, which "shortcircuit"s the circuit supplies and can lead to destruction by thermal dissipation.
790
O. Musseau
By studying the structure and the dimensions of their elementary transistors, it is possible: to guess the sensitivy of various technologies, to compare them and to assess the influence of increasing integration. This is carried out in Section 8. Although these physical analyses are useful, they are still insufficient, to model the observed phenomena on a macroscopic scale, and further experimental characterizations are needed. We present in Section 9 the various existing test facilities which let us simulate the effects of cosmic ions: • accelerators, in a broad range of energies, • radioactive sources, • picosecond lasers. Predicting the error rate of a component in a real space environment requires that experimental characterization data be available. We see in Section 10 that various prediction methods used have been developed from semi-empirical models or computer codes. Their precision is limited, though, and it is difficult to evaluate the error margins considering the few comparisons that have been made with flight measurements. There exist various methods to reduce the sensitivity of electronic components. This is achievable: either by modifying their physical structure (microelectronic technology) or their electrical design. Tliis is explained in Section 11. Moreover transient photocurrents can be filtered out since their duration is much shorter than that of useful signals. In order to consider the effects cosmic ions will have on an entire system, it is essential: •
to analyze its global sensitivity, which will reveal the propagation paths of disturbances and the weak points in the system, and
• to proceed according to the idea of balanced vulnerability. 2 GENERALITIES ON COSMIC ION EFFECTS. As a subject of study, the effects of cosmic ions in electronic components is part of man's attempt to better understand and use the near-earth space environment. It is a relatively recent subject that appeared concomitantly with technological progress in microelectronics. Far from having subsided with that progress, the problems posed seem in fact to depend structurally on the physics and geometry of the electronic components themselves, and have become increasingly important.
The Effects of Cosmic Ions on Electronic Components 2.1 A HISTORICAL OVERVIEW. A review of a few key dates will give an idea of how the problem posed by cosmic rays on electronic components has evolved historically. 1911. Cosmic rays are discovered by Hess, with ray counters lofted by balloon [1]. 1947. The transistor is invented by Schockley, Brattain, and Bardeen. 1957. Sputnik, world^s first artificial satellite, is launched. 1958. The first bipolar integrated circuits is manufactured. 1962. It is forecast that cosmic rays are a factor that might eventually limit the integration level of nonredundant electronic systems [2] (the limit is then set at about ten microns!). 1970. The first LSI circuits are manufactured (1000 transistors on a chip). 1975. The first hardware failures are observed in orbit [3]. Bipolar JK flip-flops of the 54L series flip "spontaneously" four times in 17 years, with no correlation possible with the usual magnetic or electrostatic disturbances. The minimum electric charge of 0.8 pC needed to flip is found to be compatible with the energy deposited by the iron nuclei of cosmic radiation. This charge, determined by a scanning electron microscope analysis of the circuit, is collected in the base-collector junction of blocked transistors. 1978. Supercomputers come into use, with a new generation of integrated circuits (16-kbit DRAM). Random failures are observed, affecting only one bit at a time [4] [5] but capable of upsetting an entire system. These are attributed to the traces of radioactive atoms present in the materials used for the component ceramic packaging. A number of different teams then undertake to determine the sensitivity of the components to direct bombardment by a particles, and measure the residual radioactivity of the encapsulation materials [6-10]. Simple solutions are then provided: less active package materials; protective coatings; detection and correction systems of the hardware and software varieties, and so on. In connection with the Very High-Speed Integrated Circuit (VHSIC) plan, further prospective studies are launched to determine the effect of different radiation sources such as: residual radioactivity (a) of the packages; cosmic cascades (electrons, muons, protons, neutrons, etc.) produced at ground level by the interaction of a cosmic ion with an atom of the upper atmosphere; and cosmic ions in space [11-13].
791
792
O, Musseau
Data on failures of integrated circuits in a space environment then begin to be published. Figure 12.1 clearly illustrates that the appearance of failures due to cosmic ions coincides with the use of circuits of increasing integration level, in satellites. The investigation of the phenomena occuring in electronic components, due to cosmic ions, and termed single-event effects (SEE), begin in earnest only in the early eighties, and are essentially aimed at solving the immediate problems of industrial concern, with no strict formal argument being developed. 160
120
Fig. 12.1 Variation in the total number of failures in orbit prior to 1985, attributed to cosmic ions. Data is drawn from the SAM (Spacecraft Anomaly Manager) data base of the NGDC (National Geophysical Data Center), USA (private communication).
SI
E 2 '(5
22
SOME CHARACTERISTICS OF COSMIC RAYS-
To gain a better understanding of the problems posed by cosmic rays, it is important to recall the origin and the main characteristics of these particles (for a more complete description, see Chap. 10). 2.2.1 Nature and origin of cosmic radiation. Cosmic radiation is essentially a flux of high-energy (partially to totally ionized) ions. Because of their composition (Fig. 12.2), these ions would seem to be ejected from the outer layers of exploding supemovae. The distribution of the various constituents is quite close to the natural abundance of the elements, though it is modified by nuclear spallation reactions with interstellar matter. Spallation considerably increases the proportion of some lighter nuclei (boron, beryllium). Figure 12.3 presents the energy spectrum of various nuclei. For each mass, the flux reaches a peak value for energies of 0.1 to 1 GeV/a.m.u., and then decreases as a power law at higher energies, while at lower energies the cosmic ray flux is modulated by solar activity.
The Effects of Cosmic Ions on Electronic Components n—I—I—I—I—I—I—r
793
-I—\—I—I—r
10^'
II
z o o
10*
Fig. 122 The relative abundance of heavy ions (in free space) is plotted as a function of their atomic number. The abundance of Si ions is set arbitrarily at 1(P (see Chap. 8).
> UJ
oc Hi
U <
102
Z3 00
<
10 -21
I
I 10
(
L 20
30
40
50
60
70
80
90
100
ATOMIC NUMBER
2.2.2 Main properties. The cosmic rays possess some remarkable properties. 1) Their flux is isotropic in space (far away from earth). 2) Their flux is nearly constant with time, and interacts randomly with electronic components. 3) The high energy of cosmic ions allows them to travel straight through considerable thicknesses of matter without deflection, making it difficult to effectively shield against them.
Fig. 12.3 Differential energy spectra of the major ions contributing to cosmic rays.
106
PARTICLE KINETIC ENERGY (MeV/nucleon)
794
O, Musseau
1E2
1E1
"!> 1E0
f LU
-I
1E-1
1E-2
1E-3 1E-2
1E-1
1E0
1E1
1E2
1E3
1E4
1E5
1E6
1E7
Energy MeV
Fig. 12.4 Bragg curves for a few representative ions. The stopping power in silicon is plotted versus energy [168]. 2.3
HOW COSMIC RAYS INTERACT WITH COMPONENTS.
2.3.1 Effects on components. When an ionizing radiation interacts with a semiconductor material, it creates a neutral plasma of electron-hole pairs. The total number of carriers generated is proportional to the energy deposited. This energy deposit can be computed from Bragg curves, which give the variations of the stopping power as a function of the energy of a particle. A few of these curves are shown in Fig. 12.4. The stopping power of an atomic nucleus increases with its mass, and greatly exceeds that of the light particles (photon, electron, etc.) (more details on stopping powers and LET can be found in § 4.1.1 and in Chap. 9 of this volume). Given the mass distribution of cosmic rays, the maximum LET (Linear Energy Transfer coefficient) is limited in practice by that of iron, at about 30 MeV.cm^.mg-^ (in a silicon target).
The Effects of Cosmic Ions on Electronic Components
13.2
795
Specific characteristics of the observed failures.
Contrary to what is observed with other types of particles which deposit less energy, cosmic ray-induced failures result from the interaction of a single ion with the component (thus the term "Single Event Effect": SEE). These errors appear randomly with time. In spacebome systems, these events mainly affect the data, leaving the circuit functionality itself intact. Logic circuits require a minimum amount of energy (measured by a "critical" charge) to code the data they process, and any higher energy deposited in them is therefore capable of inducing a failure. It was when large-scale integrated circuits, with their lower critical charge, were first used in orbit that the first events were observed, at an increasing rate, as shown in Fig. 12.1, with no possibility of effectively shielding them. 2A
MAIN TRENDS.
Electronic logic circuitry is based on the assumption that the components can distinguish between two signal levels (current, charge, or voltage). As microelectronic technologies steadily progress toward higher levels of integration, the difference in energy between these two levels decreases, as does the margin for error, while noise sensitivity consequently increases.
100
10
Fig, 12.5 The critical charge of various technological families is plotted as a function of the characteristic dimension of that technology (after [14]).
1.0
Oc^) 0.1
.01
J01
too
10
1i>
796
(9. Musseau
To estimate the global sensitivity of a prospective system in orbit, we must take into account the increase in the number of its components compared with existing systems (which is an indication of the increasing complexity of systems over the years) and the change in sensitivity of each component. The latter will depend on the critical charge and on the dimensions of each individual cell. Experimentally, it can be said that the sensitivity threshold of the components, which might for example be measured by their critical charge, varies approximately as the square of the characteristic dimension of the technology (e.g. the gate length) for all families of components (Fig. 12.5 [14]). On the other hand, the sensitivity of individual cells varies by less than an order of magnitude when the dimensions are reduced by a factor of 10 (lithography reduced from 4 pm to 0.4 pm) [15]. This sensitivity has been estimated precisely by taking into consideration the scale factors which influence simultaneously the critical charge and the "sensitive" dimensions (using simplified charge collection models). 2,5
RECENT BIBLIOGRAPHY.
At the time the present document goes to print (1995), there exists no publication encompassing exclusively the effects of heavy ions on electronic components. This problem is, however, dealt with as part of radiation effects on electronics, in the following books. B.C. Messenger and M.S. Ash, The Effects of Radiation on Electronic Systems, Van NostrandReinhold, 1992 [21]. N.J. Rudie, Principles and Techniques of Radiation Hardening, vol. XIA, "Single Event Upset", Western Periodicals Company, North Hollywood, CA, 1986 [169]. Ionizing Radiation Effects in MOS Devices and Circuits, T.P. Ma and P.V. Dressendorfer, eds., Wiley Interscience, 1989 [69]. A. Holmes-Siedle and L. Adams, Handbook of Radiation Effects, Oxford University Press, 1993 [170]. "Space Radiation Environment and Effects", L. Adams, ed.. Radiation Physics and Chemistry, vol. 43, 1, 1994 [171]. Most unclassified American sources are presented at the annual Nuclear and Space Radiation Effects Conference (NSREC), and are published in its proceedings (IEEE Transactions on Nuclear Science, Vols. 26 to 41, December, 1979 to 1994). A large portion of European works on the subject are published in the proceedings of the European Radecs conference (1989, 1991, 1993) on electronic hardening. Lastly, a Single-Event Effects
The Effects of Cosmic Ions on Electronic Components symposium (issuing no publication) has been held exclusively on this subject since 1984, with unrestricted participation since 1992. 3 AN OVERVIEW OF THE MAIN DISTURBANCES CAUSED BY COSMIC IONS. The energy deposited along the path of a cosmic ion generates a relatively intense transient photocurrent of up to a few hundred microamperes (peak value), but of short duration (less than ten nanosecond) in the electric nodes of the circuit- This parasitic current can then cause different types of disturbances, depending on the local structure and on the operating mode of the component. A distinction must be made between two categories of disturbances: • disturbances of the data processed by the component, which affect mainly the logic circuits (memories, microprocessors, etc.). Only the data processed, transmitted, or stored, is modified. The functionality of the circuit remains unaltered, and the disturbance is called a "soft" or a "transient" error. •
disturbances of the circuit functionality. Here, the ion interaction modifies the very operating mode of the circuit and, if no outside measure is quickly taken, such as component or system reset, this functionality degradation is irreversible. These "hard" errors may lead to component destruction. 3.1 TRANSIENT ERRORS: SINGLE EVENT UPSETS.
A transitory change of logic state, or SEU (Single Event Upset), is the modification of logic data that is either stored in binary form in a data hold circuit (such as flip-flop, register, memory, or other) or is being processed and propagated through a circuit. In practical terms, this means an undesired transition of one or more bits from a zero to a one state, or vice versa. Although, depending on the device, different operating modes may be used, e.g.: - charge-sensitive circuits (in CCDs and DRAMs), - current-sensitive circuits (bipolar), - voltage-sensitive circuits (CMOS), the same overall behaviour explains simply how an SEU takes place. The reaction to this type of disturbance is always generally the same. In a CMOS memory circuit, the carriers created along the trace charge the capacitances (parasitic or nonparasitic) in the component, thereby generating a voltage excursion at a node of the electric circuit. The local potential, which is initially representative of a high or low logic level, may either diverge farther from or come closer to the potential representing the other logic level. In the former case, no durable disturbance will appear. But in the latter, if
797
798
O. Musseau
the voltage excursion exceeds the threshold of the complementary logic state, the binary data will change state. Since the system is bistable, the information itself is then lost; but the component remains functional and the error can be corrected if it is detected. This will be referred to as a soft error. In microprocessors (microcontrollers, microsequencers, etc.), soft errors may affect combinatory or sequential logic circuits devoid of bistable cells. These errors are due to the fact that the component interprets the spurious transient signal as if it were normal data, and may be detected by the user circuit only a few clock cycles later, i.e., the time it takes to propagate to the circuit outputs [16]. By propagation, then, this type of error may affect several bits of data, simultaneously or at different times, and at different locations in the circuit; and the probability of this occurring increases with the level of integration and the speed of the circuits. The "contamination" due to the initial error may spread to the entire system, including individually hardened components [17]. Three parameters control the occurrence of SEUs: (0 the duration of the disturbance, to be compared with the electric circuit time constants or clock frequency, (//) the point of impact of the incident ion (disturbed electric node), and iiii) the component's noise margin, i.e. the minimum voltage, current, or charge excursion needed to change its logic state. 3.2
PERMANENT DEGRADATIONS.
The energy a cosmic ion deposits is generally too small to degrade the component all by itself. The component may, however, change operating mode, going beyond its usual utilization limits, into a low-impedance state. Without effective limitation of the current it carries, the component may be degraded or destroyed. The energy needed to degrade or destroy the component is then provided by the outside (power supply) circuit. These failures, which are only triggered by the irradiation, therefore appear only after a rather long sustaining period {i.e. long with respect to the duration of the direct ion-induced spurious signal). So it is generally possible to protect against this by monitoring, limiting, or cutting off the supply to the sensitive circuits. 3.2.1 Single Event Latchup (SEL). Latchup is directly related to the physical structure of the component. When the component contains four continuous alternately doped films in an npnp sequence, a latchup is said to occur when this parasitic thyristor is turned on. This structure is often found in CMOS components which include parasitic bipolar npn and pnp transistors for which the base of one
The Effects of Cosmic Ions on Electronic Components
799
is the same region as the collector of another [18-20] (see Fig. 12.6). Many experimental investigations have been conducted on latchup under pulsed photon irradiation (to simulate a military nuclear environment). A conventional thyristor will latch up only when two conditions are met simultaneously: (/) a positive voltage greater than the hold voltage must be applied between anode and cathode, and (//) enough current must be injected into the gate region to make the thyristor conduct. Latchup due to irradiation by pulses of X and y photons (dose rates encountered in the military nuclear environment) has been studied extensively, and publications abound [21]. In the case of an ion-induced latchup also called "Single Event Latchup" (SEL), the trigger current results from the collection of charges generated by the ion in one of the two bases. This transient current must then be amplified by the bipolar transistors, which is possible only if the product of their gains is greater than unity (p^pn Ppnp > !)• Once the thyristor is triggered, if the supply voltage VDD - V^s is greater than the hold voltage, the current flows directly from anode to cathode through a low-impedance path. It can flow indefinitely until the component is locally destroyed by thermal runaway or until the power supply is cut off. One of the main difficulties in studying SEL is that carrier injection only takes place along the track of the ion. The circuit latchup depends very much: on the exact geometry of the structure (film thicknesses and doping distributions determine the gain of the parasitic transistors) and on the test conditions (angle of incidence, point of impact, power supply voltage, and so forth): this of course complicates the analysis of the results.
NMOS
PMOS
P+ N+ Fig. 12.6 Representation of the parasitic (npnp) thyristor structure existing in a CMOS inverter.
The seriousness of the damage done by latchup has long provided an incentive for component manufacturers to find solutions to this problem, by reducing or eliminating the
800
O, Musseau
coupling between the two parasitic transistors (e.g. using highly doped buried films, epitaxial substrates, structures on insulators, among other arrangements). The result of this effort is that today, for use in spacebome hardware, components insensitive to this latchup are usually commercially available. 3.2.2
Single Event Burnout (SEB).
Single Event Bumout (SEB) is due to the creation of a path of low resistance along the trace of the ion, between the source and drain of a field effect transistor. The thermal dissipation causes the breakdown of the source-channel or drain-channel junctions. SEB affects mostly power MOS transistors. Just like for SEL, the ion here does no more than turn a parasitic structure conductive within the irradiated component (Fig. 12.7). The base current of the vertical bipolar transistor can be amplified by the carriers created by avalanche in the base. This leads to a breakdown (bipolar transistor second breakdown mechanism), which generally occurs for a rather low drain-source voltage V^s. This effect can be reduced by varying the technology of the component (e.g. modifying the doping, optimizing the geometry) so as to reduce the density of the parasitic current and thereby limit avalanche generation.
Fig. 12.7 Cross section of a power MOSFET structure, showing also the parasitic bipolar structure.
3.2.3
Single Event Gate Rupture (SEGR) in power MOS transistors.
Various experiments have revealed the possibihty that the gate oxide of a power MOS transistor can experience breakdown along the trace of a heavy ion [22, 23]. This phenomenon called Single Event Gate Rupture (SEGR) seems to have to do with a complex mechanism of charge generation and charge transport occuring simultaneously in the oxide and in the silicon. It depends closely on the biasing conditions (gate and drain voltages), on the LET, and on the angle of incidence of the incident ion.
me tjjects of cosmic ions on tlectronic Components
801
3.2.4 Snapback, or single transistor latch effect. Snapback is a non-destructive disturbance that can affect N-type MOSFETs containing a parasitic npn bipolar transistor. The charges generated in the p-well insulating an n-channel FET (the p-well constitutes the base of the parasitic bipolar transistor) can generate an avalanche current in the drain, sustained thereafter by an injection of minority carriers from the source. There results a latch of the transistor for hold voltages V^s below the avalanche voltage of the parasite bipolar transistor. This phenomenon has notably been observed in transistors on insulator (NMOS/SOI) irradiated by heavy ions, in which the absence of a substrate reference under the channel (floating substrate) makes it easier to render the bipolar transistor conductive [24]. This type of error does not yet seem to have been observed in practice on components in flight. 4 CONCEPTS AND PARAMETERS USED TO DESCRIBE THE SENSITIVITY OF A COMPONENT TO SEU. Characterizing component sensitivity consists in determining the number of "failures" (i.e. any of the above disturbances) resulting from exposure to a known flow of particles. The type of failure depends on the kind of component being tested and on its structure, while its occurrence depends on the energy deposited by the incident particles. In short characterizing a component reduces to plotting its sensitivity as a function of physical quantities measuring the energy deposited by the particles. 4.1
PARAMETERS DESCRIBING THE ENERGY TRANSFER.
The energy transfer can be described in three consecutive phases corresponding to three different viewpoints: • energy lost by the incident ion, • energy deposited in the semiconductor material, • energy "injected" into the component's electric circuit. 4.1.1 Energy lost by the incident ion. An ion of mass A and energy E loses a fraction of its energy when it travels through matter. For commonly encountered cosmic ion energies, this lost energy serves to excite the electrons in the target. Because of the ratio of masses between ion and electron, this energy loss is continuous along the ion trajectory. It is known as electronic "slow down", and is
802
O, Musseau
dE ^ measured either by the stopping power — or by the linear energy transfer dx normalized with respect to the target density. How the LET varies with the incident energy is represented by the Bragg curves (Fig. 12.4).
1 ^''^ ^
dE ^' Let us note that some authors call — the linear energy transfer and p dx stoppmg power. 4.1.2
dx
the
Energy deposited in the semiconductor material.
The primary energetic electrons (formally called 5 rays) knocked-off by the incident ion lose in turn their energy by ionizing or exciting other atoms in the target. This gives rise to an electron cascade - a sort of avalanche phenomenon - in which the total number of excited electrons increases while their individual energies decrease. Since the energy of the primary electrons may be rather high, they can sometimes deposit their energy at quite some distance from the ion path. In the case of very small volumes, it is then important to take into account the difference between the energy lost by the ion as it passes through the volume, and the energy finally deposited by the electrons outside this volume [25]. 4.1.3
Conversion of the energy into electric charges.
After a complex sequence of processes, the above-mentioned excited electrons and the ionized atoms give rise to electron-hole pairs forming a dense column along the ion path in the semiconductor (i.e, the ion "track"). The energy necessary to create a pair, w^, depends on the forbidden bandgap of the semiconductor, E^, through relation [26]: Wp - 2J67 Eg + 0,87 (eV)
(12.1)
or 3.6 eV in silicon and 4.5 eV in GaAs. The density of charges created in the ion trace is directly proportional to the ion's LET, and is high enough to exceed the usual doping of semiconductor devices (injection density greater than 10^^ cm"^). It follows that these devices are locally in a situation very far from their equilibrium state or even from their dynamic state of operation. 4.1.4
The phenomenology of charge collection.
Charge collection is the transitory period during which the perturbed component retums to electric equilibrium (the final state sometimes does not coincide with the original one).
The Effects of Cosmic Ions on Electronic Components
803
Since the number of holes created equals the number of electrons, the \^olume of the ion track is electrically neutral (equipotential). When the trace passes through a region where there exists an electric field, there is a competition between the electrical neutrality, which tends to repel the field out of the trace, and the separation of the carriers (electrons and holes) driven in opposite directions. The local potential is therefore distorted by the addition of carriers, and repelled toward the end of the trace. This 'Tunneling" effect (illustrated in Fig. 12.17) is specific to ions, (it does not occur for e or y irradiation), and will be discussed in sub-Section 5.2. When there is no electric field, the carrier concentrations evolve spontaneously by diffusion. Both these charge collection mechanisms - funneling in an electric field and diffusion without ~ induce transient currents that propagate and charge the nodes of the component's electric circuit. The failures these photocurrents cause may differ depending on the electric node being disturbed and on the amplitude of the disturbance. 4.2
PARAMETERS CHARACTERIZING A COMPONENT SENSITIVITY.
4.2.1 The device cross section. The cross section of a component is theoretically equal to the total area of its "sensitive" electric nodes. For a given energy deposit, a node is sensitive when any ion passing directly through it causes a component failure. In practice, the cross section of a component for a given type of failure is measured as follows. When a circuit subjected to a fluence F^ of ions (of mass A, energy £, and stopping dE power — ) , expressed as a number of particles/cm^ counted in the plane of the chip, a ax number A^^; of single failures are recorded. Then the cross section a (cm^) is defined by: <5^-^ F
(12.2A)
(these failures may be total circuit failures, latchup or burnout, or errors affecting only one register or a single output bit at a time). This ratio is a macroscopic value which, on the scale of the whole circuit, expresses the average sensitivity of the different regions of the component. The ratio P of the number of errors to the number of particles received on the component surface (i.e. Fp) . 5 is a dimensionless quantity that expresses the probability that a component fails. P can also be obtained by dividing the cross section by the total area of the component:
804
O, Musseau P = (5/S
(12.2B)
This concept of equivalent cross section is only valid if the region disturbed by the trace is much smaller in dimension than the smallest sensitive regions of the device (the smallest sensitive patterns of the circuit), i.e. that we characterize indeed the circuit and not the ion. This condition is met as long as the diameter of the ion trace (about 0.1 jim) is less than the characteristic dimension of the component (currently of the order of 0.5 to 2 pm for commercially available circuits).
4.2.2
Device cross section as a function of a characteristic parameter of the ion.
The sensitivity of an electronic component to heavy ions is generally expressed by plotting the cross section as a function of one of the following parameters: - LET of the incident ions, - effective LET of the incident ions, corrected for the angle of incidence 0 of the particles (measured with respect to the normal to the circuit surface): f FT
LETff = ^^^^^
(12.3)
- energy deposited in the sensitive layers of the circuit, - energy of the incident ions. 1E-7
1E-7
1E-8^ CM
E o c o
1E-9-
1E-10-
(/) (/)
o o
-
1E-11 -_
GQ
1E-12
1E-13 50
100
150
200
Effective LET MeV/(mg/cm2)
2
4
6
Energy loss in silicon (MeV)
Fig. 12.8 Plots illustrating the sensitivity of a 64 K SRAM manufactured using a CMOS/SOI technology. Left: the device cross section is plotted as a function of the effective LET of the ion. Right: the device cross section is plotted as a function of the ion energy deposit (unpublished results by O. MUSSEAU).
The Effects of Cosmic Ions on Electronic Components
805
Figure 12.8 gives two curves obtained for the same circuit, according to two presentations (the device is an SRAM in CMOS/SOI technology [27]). That value of the circuit's sensitive area obtained when the LET or the energy deposited reaches its maximum value is called the asymptotic cross section (5^. 4.2.3 Sensitivity threshold, critical charge, critical energy. For each node of an electric circuit, it is possible to determine the characteristics of the minimum disturbance that will lead either to a change of state (in a bistable system) or to a change in the circuit output (when the disturbance propagates through a logic circuit). This disturbance is characterized by its amplitude as a function of time. Since the shape of the transient signal generated by a heavy ion depends relatively little on the mass or on the energy of the ion, and since its duration is less than the response times of today's components (100ps< 1-10 ns), the parasitic current is integrated. The problem thus reduces to finding the critical charge of each circuit node. When the response times of microelectronic components become comparable to the pulse duration, which will occur for technologies of less than 0.5 to 0.3 pm, the exact shape of the parasitic signal will then have to be taken into account. The sensitivity threshold of a circuit is then the smallest of the critical charges, Q^, determined for alltihenodes. Any generated charge Q that is greater than Q^ will induce a failure, with a cross section equal to the area Si of the / nodes of charge Q,, with Q^K Qi < Q. On the other hand, when the generated charge is less than the critical charge, the cross section is mathematically zero. The o(Q) curve is therefore ideally a "staircase" function. Because of the shape of the Bragg curves (Fig. 12.4), the c(E) curve may exhibit two thresholds - one at low energy and the other at high energy - outside of which the circuit will not be subject to failures. Given the creation energy of an electron-hole pair Wp (expressed in eV), we can define the critical energy Ec [eV] by: E =.!!£^
(12.4)
Q
AJ2A Role of the ion penetration depth. To relate the critical energy to the energy deposited by the incident ion, we must use the concept of charge collection differential efficiency X\Q. This efficiency is the ratio of charge dQ' collected at the electrodes to charge dQ deposited between the abscissas x and x-^-dx along the trace, counting from the origin at the point of entry of the ion (Fig. 12.9). The critical energy can then be expressed by integrating the stopping power along the ion trace:
806
O. Musseau
Ec=]^^(-)dx
(12.5)
Strictly speaking, function r[^(x) depends on the characteristics of the incident ion (i.e. its mass, energy, and deposited energy), on the irradiation geometry (point of impact, angle of incidence), and on the structure of the device (doping, electrodes where the charges are collected).
Semiconductor
>
Diffusion Recombination
Ion track
Fig. 12.9 Illustration of the charge collection efficiency.
4.2.5
Critical LET.
Cosmic radiation is usually described by its LET spectrum, which is computed from the mass and energy spectra of the various ions [28]. For very energetic cosmic ions, the stopping power dE/dx varies very little with energy and remains nearly constant through the entire thickness Y of the circuit (see the Bragg curve in Fig. 12.4). Formula (12.5) above can therefore be simplified by using the critical LET, L^, as follows (p being the density of silicon): (12.6) 0
0
The critical LET is therefore the greatest LET value for which no failure occurs. However, at these high energies, the LET only represents the mean energy lost by the incident ion, and not the energy deposited locally. The difference between these two
The Effects of Cosmic Ions on Electronic Components
807
quantities is due to the energy carried away by the energetic primary electrons (8 rays), which may interact far from their point of creation. This difference increases when the dimensions of the sensitive volume decrease (and become less than the mean free path of the primary electrons) [25]. This discrepancy between energy lost and energy deposited may be a problem for the most integrated technologies (feature lengths of less than 0.5 pm) and for technologies on insulator, in which the film of active silicon may be thinner than 150 nm. A flux of monoenergetic ions will indeed generate a whole distribution of LET and thus a distribution of energy deposits in the component. 4.2.6
Theoretical versus real sensitivity.
Since the critical LET cannot be determined exactiy, it is replaced in test reports, by the threshold LET, L^^, defined as the LET for which the measured cross section is minimum when the particlefluenceis 10^ ions/cm^ [29]. When each electric node of the circuit is characterized both by its critical charge Qi and a physical area Sp it is theoretically possible to determine how the component cross section varies as a function of the deposited charge. This analysis was performed for a particular case [30], leading to a a(Q) curve consisting of a series of steps, with the switch between each step occurring at the critical charge values Qi of the various nodes (see Fig. 12.10A). 0.5 pC
1.0
10
1.5 a-o°
5000 E =1
o
m
212 MeV Ar
A
130 MeV Kr
9
27 MeV C
•
26 MeV 0
o OS o
Fig. 12.10A The theoretical interaction cross section of a component possessing nodes with several critical charges, has been plotted versus the collected charge (after [30]).
COUICTEO CHARGE
Experimentally, the curves describing how the cross section varies as a function of the LET ((y(LET)) rarely exhibit such pronounced plateaus (Fig. 12.10B). The difference between the predictions and reality is due to two main causes: - the complexity of the charge collection mechanisms, which can make the sensitive area depend on the LET of the incident ions (because of the collection by diffusion at the edges of the sensitive areas).
808
O. Musseau
- the dispersion of the critical charges of assumedly identical regions (such as memory cells(i)), which can contribute to attenuating the cross section variations. Moreover, it is common to plot the G(LET) curve using a semi-log paper (i.e. ln(G) =f(LET)). With such a representation, the increase in cross section at higher LETs is masked by a pseudo-saturation. However if we plot c =f(lnLET) the consistency of the experimental results shows up and in particular whether an asymptotic cross section exists or not becomes obvious [31]. 10
n
1
1
100 1
1 o
o
200
1
\
1
\
\
r
».^j^.,~,^ ^••••«w*^«•-••<-•,-•-••—••—i*—•'-•|j|4»i»4ni»--i
*
o"Q'€C"^-^PC
*—E • 145 MeV
^^Sc
Q'j • 2.0 pC
^ L Q ' , « 1 . 0 pc BNL
•
A 1 •
UW-NPL
o
a
U
rp-|—^ I
^Q'BI-0.9PC
MODEL
i y — Q ' j -0.5 PC J
JLJ ZJ
I 80
I
1 120
\
i 160
\
I 200
[
L 240
BROMINE - ION ENERGY, MeV
Fig. 12.10B The experimentally-measured (5(E) curve has been plotted for a component possessing nodes with several critical charges (after [129]). 4.3 THE SENSITIVE VOLUME.
4.3.1 The collection length. We define the collection length X^ as the integral of Equ. (12.6):
^c =j^c(^)dx
(12.7)
Although it is impossible to give the general shape of the collection efficiency r\c(x), the expression for the collection length can still be simplified for a particular case that is encountered quite frequently. When funneling exceeds diffusion in the charge collection (^^ If there is no parasitic effect related to the device structure [166]y the failure probability of a set of identifical cells can be described by a Weibull distribution whose various parameters are adjusted using the experimental cross section curves [145].
The Effects of Cosmic Ions on Electronic Components
809
mechanisms (see Section 5 next), the collection efficiency is determined by the foraier, using "He "* 'HF "=* 1 (see Fig. 12.9). The collection length is then equal to the equivalent funneling length Xp: 'r\f = Oforx>Xf In this case, the relation between the critical charge, the critical energy, and the critical LET is: £, = X ^ P 4 = ^ ^ ^
(12.9)
This formula, which therefore assumes that r|p = 1, is generally used to determine the equivalent funneling length from the charge collection measurements performed in test structures. If Q^ has been determined by an analysis of the electric operation of the component (e.g. by simulations), the thickness of the sensitive volume can also be computed directly from the critical LET. 4.3.2 The charge collection volume (sensitive volume). Whatever simplifications may be allowed in determining the critical charge, the whole difficulty resides in estimating the length of trace over which the charges generated by the ion can be collected. The sensitive regions of a circuit are therefore characterized by a "volume" in which the ionization charges will be collected. This collection volume V^ is the product of the area Si in the chip plane by the collection length of the charges, X^. This collection volume is important because it is used in computing error rates in orbit (see Section 9). Its physical validity, though, remains subject to interpretation. The concept of collection volume depends closely on the charge collection mechanisms. These in turn depend on the ion trace characteristics (length, density of energy deposit, angle of incidence) and on the circuit structure. When the collection occurs mainly by funneling, the sensitive volume can easily be assumed to be a parallelipiped. When the collection occurs by diffusion, or in the case of indirect mechanisms (SEL or SEB), the collection length can no longer be approximated by a constant length independent of the incident ion. The concept of sensitive volume may nonetheless be used for error rate estimations, but it should be considered more as a mathematical tool than a physical reality. 4.4
THE SPECIAL CASE OF PROTONS.
4.4.1 Interactions mechanisms. While the ions heavier than helium lose most of their energy through electronic collision, this energy loss mode is negligible for protons, if we compare it to the energy consumed in nuclear collisions (elastic or inelastic) (see Chap. 9).
810
O, Musseau
When a projectile interacts by nuclear reaction with the nuclei of the target, the recoil fragments in turn deposit in the target the kinetic energy acquired during the reaction. If the projectile is a proton (energy between 10 MeV and 1 GeV), the electronic energy loss of the recoil nucleus (which generally starts off with an energy of less than a few tens of MeV) greatly exceeds the local electronic energy lost by the proton itself. In the case of heavy ions, these secondary reactions are only important for highly energetic ions. However at high energy the ion fluxes become very small, and these secondary reactions can therefore be neglected. For protons, the much greater fluxes and the existence of the earth's proton-rich radiation belts force us to take this possibility of producing secondary ions into account. 4.4.2
Secondary radiations.
Qualitatively speaking, irradiating an electronic component with protons is equivalent to exposing it to a source of (secondary) heavy ions within the component. 6 10 p.
ICO MeV/6 pm Si 10 p. 200 McV/6 jjm Si
1 \
HETC
E ^
> 5io
.
.
B%p.
.
Ni.
fit
\ v
-l
Vv^ •'^>< >\vn .>sc . • >v.
,
0.
exp.
,
,
6.
,
,
^!
y
p
12.
i
•
^
....
,
- ^ . 1
18.
Deposited Energy (MeV)
1
* ,
9.
12.
IS.
Deposited Energy (MeV)
Fig. 12.11 Differential spectra of the energy deposits due to the recoil nuclei for two energies of the incident protons (left: 100 MeV protons, right: 200 MeV protons). The incident fluence is 10^ and silicon thickness is 6ptm.
The masses of these secondary ions are those of the elements found in the device structure (mainly silicon, oxygen, aluminum, sometimes nitrogen, tungsten, gold, and so on) and in its immediate environment (package). Intermediate masses resulting from the fragmentation of nuclei in the target are also found. The spectra of the energy deposited by the recoil nuclei depend on the energy of the incident protons (Fig. 12.11). The low energies of these recoil nuclei lead to LET values that are generally lower than the maximum LET yielded by silicon (except in the presence of abundant heavy metals (Au, W) i.e. when metallized regions are found in the immediate vicinity of the sensitive junctions).
The Ejfects of Cosmic Ions on Electronic Components 4.4.3
811
The interaction volume.
The failures caused by protons result therefore from a two-phase mechanism: • interaction of a proton with an atom of the target, and ejection of a recoil nucleus, • energy loss of the recoil nucleus, and charge collection. While the circuit, for this latter phase, can be characterized simply, by its collection volume, the first phase requires that an interaction volume be introduced. The interaction volume Vi is the region of the circuit in which the recoil nuclei are produced, with a nonzero probability of travelling through the collection volume. Its main characteristics, illustrated in Fig. 12.12, are: - the collection volume is included in the interaction volume, - the interaction volume depends on the energy spectrum of the incident protons, - the interaction volume depends on the direction of the proton flux (for tests using accelerators). This last point stems directly from experimental measurements showing that the device cross section depends closely on the angle of incidence (Fig. 12.13, [33]). 4.4.4
Characterization of the sensitivity to protons and comparison with the sensitivity to heavy ions.
The sensitivity to protons of an electronic component is measured by the variation of its cross section Op(Ep) (defined by (12.2)) as a function of the energy E^ of the incident protons. Just like for heavy ions, this step function defines a sensitivity threshold and an asymptotic cross section. Knowing the component's sensitivity to heavy ions, as given by the variation of its cross section ^^ as a function of the energy /S^En deposited by the ions in the collection volume Oij(AEij), the sensitivity of a component to protons is determined as follows (Fig. 12.14). • For each energy value E^ of the incident proton, we determine the spectrum of energy deposits by recoil nuclei in the collection volume (see Fig. 12.11). Let Nii(Ep, AE^j) be the number of recoil nuclei depositing an energy AEu in the collection volume, and Np(Ep) the AE^j) ^—~—r—- = Sp^ (AE.j)
N,J(E
fluence of protons of energy E^. Ratio
then represents the
differential probability of energy deposition by recoil nuclei for protons of energy Ep.
812
O, Musseau proton
proton
Overlayer Metal, passivations
Fig. 12.12 Illustration of the collection and interaction volumes.
1E-12
Fig. 12.13 The device cross section (for protons) is plotted as a function of the angle of incidence of the proton beam (after [33] to be published).
1E-14
I 0
10
'
I 20
'
I 30
'
I 40
'
I 50
'
I ' 60
I 70
' I 80
90
Angle of incidence f )
• Considering the recoil nuclei as a secondary source of radiation, these nuclei produce a number of failures in the component given by: jc^jfAE^j) X N,j(Ep,AE,j) x d(AE,J AE=0
(12.10)
The Effects of Cosmic Ions on Electronic Components
^pi
813
^p2 Energy (Ep)
Fig. 12.14 Illustration of how to determine the sensitivity of a component to protons when knowing its sensitivity to heavy ions and the differential spectra of the energy deposited by the recoil nuclei (as illustrated in Fig. 12.11).
• The cross section for protons Cp(Ep), for a given energy, is then simply the ratio of the above quantity to the proton fluence, or: J
^^p^^py
\o,j(AE,j)
OO
AE=0
X S^ (AE^j) X d(AEJ
(12.11)
AE=0
The cross section for protons is therefore found by convolution of the cross section for heavy ions, with the probability of production of recoil nuclei. Given the low energies of these recoil nuclei, it is the deposited energy which must be used in the calculations, since the LET of these recoil nuclei varies much too rapidly along their trajectory. 5 THE CHARGE COLLECTION MECHANISMS IN A DIODE. The purpose of this section is to describe the physical mechanisms governing the collection of charges (generated into an ideal diode by a heavy ion) under the effect: of the electric field (funneling) and of diffusion. As simplistic as it may be, this diode structure is
814
(9. Musseau
nonetheless present in all electronic components, and represents the typical sensitive region of these components. The various semi-empirical models proposed in the literature are reviewed and discussed. No one has found yet a complete solution to this apparently academic problem. As soon as they are created, the electron-hole pairs which the incident ion "injects" into the component, undergo a thermalization phase that tends to bring them back to the extremes of the valence (maximum) and conduction (minimum) bands. During this very important but poorly understood period, the carriers are very much out of equilibrium, and their motion cannot be described using classical equations. It is only when the energy has decreased greatly that the excess charges begin to behave according to the classical equations for semiconductors (i.e. current equations, continuity equations, Poisson equation). Because of the very high carriers density (much greater than the semiconductor doping), the overall behavior of a component as simple as a diode still cannot be described adequately by analytical equations. We nevertheless find again the two usual transport mechanisms: drift under the effect of the local electric field, and diffusion toward those regions where the carrier density is lower. Although they act jointly, the two collection mechanisms appear relatively separate in time, and may sometimes lead to phenomena within the microelectronic component. 5.1
CARRIER THERMALIZATION.
Literally, carrier thermalization extends from the time the first carriers linked to the semiconductor are generated (i.e. at the end of the electronic cascade^^)) to the time when all the carrier, electrons and holes, have returned to the thermal energy E^^^^. This thermalization phase lasts a few picoseconds after the passage of the ion and the creation of the carrier pairs. While a growing number of experimental and theoretical results are making it possible to deal with energies between 0.2 eV and 3 eV in the III-V compounds with direct band gap, very little data is available for silicon. Since the irradiated component is far from its state of equilibrium after the ion passage, specific numerical tools are needed to study this thermalization phase. Monte-Carlo codes provide a partial solution to this problem. The irradiation of a device is simulated by injecting excess carriers, whose motions are followed individually. The motion of each carrier results from a sequence of free flights during which the carrier drifts under the effect of the local electric field (field due to the instantaneous distribution of the charges) and interacts with the lattice, its impurities, or other carriers.
(^^ Carriers linked to the semiconductor possess an energy lower than 4.05 eV corresponding to the electron affinity in silicon. (^^ Eth = 25 meV at room temperature.
The Effects of Cosmic Ions on Electronic Components
815
> G O
o in
O
0.9
0.8 V
a
0.7
Fi^. 72.i5 Monte-Carlo simulation of how electrons generated by a heavy ion thermalize. The average energy of the produced electrons is plotted versus location along the trace [34].
Figure 12.15 shows the variation of the mean electron energy (all the electrons being created with the same initial energy of 1 eV) as a function of the distance along the ion trace (1.4 ps after the entry of the ion into the device) [34]. The energy increases from the origin of the trace to its end as the carriers are generated at successive instants in time (the carriers at the origin of the trace are 1.3 ps old and those at the tip are 0.1 ps old). This decrease can be modeled by introducing the electron relaxation time x^ (assumed to be independent of the initial energy EQ) and adopting a relaxation law of the form: E(t) - E,h = (Eo - E,^) exp (- t/xj
(12.12)
With this method, it has been possible to determine the electron relaxation time at XE=1.51ps (for p-type silicon doped at lO^^cm-^, and neglecting the carrier-carrier interactions). These simulations show that, for a low injection density (10^^ cm-^), carrier thermalization in the core of the trace during the first picoseconds is accompanied by a modification of geometry, due to the ambipolar diffusion of the carriers (see Fig. 12.16, showing electron and hole distribution 1.4 ps after the ion interaction). This type of phenomenon increases in importance as the speed and integration level of the components increase. Only the Monte-Carlo methods can describe the physical phenomena correctly in time intervals of less than ten picoseconds, which exceeds the possibilities of classical models, based on drift and diffusion equations.
816
O. Musseau ELECTRONS
HOLES
t=Ops
t=o.ips u
metal channel
t=0.2 PS
gate oxide
t=0.3 ps
ION TRACK
iTli
•••mmmmmmmmm
t=0.4 ps t=0.5 ps I p-substrate
buried oxide
Fig. 12.16 Distribution of ion-generated carriers up to 0.5 ps after the entry of an ion into a MOS/SOI transistor. The simulation has been carried out using a Monte-Carlo method and illustrates the distribution of electrons and holes in the substrate below the buried oxide [34]. 5.2
CHARGE FUNNELING.
By analysing the effects of radiations acting collectively (such as fluxes of electrons, photons, etc.) on electronic components, one can show that only the ionization charges created in the immediate vicinity of the depleted regions, where there exists an electric field, are collected and contribute to the transient currents. In the regions devoid of electrical field most carriers recombine or diffuse to a collecting junction. In the case of heavy ions, the charges are created along the particle trace, but generally only a short portion of this trace intersects the depleted regions. The first experiments [8] and numerical simulations [35] showed that a major part of the charges generated by an ion along its entire trace can be collected, and thereby contribute to the transient phenomena. The collected charge is therefore much greater than the charge generated in the sole depleted region. The sensitivity of electronic components is thus much greater than was initially expected. 5.2.1 The physical mechanism of funneiing. In the ideal case of an ion entering a reverse-biased n"^ junction normal to its surface (the junction dimensions being large with respect to the trace diameter), the equipotential
The Effects of Cosmic Ions on Electronic Components
817
surfaces initially perpendicular to the trace are distorted by the electric charges, i.e, by the electrons and holes, introduced in equal quantities. The ideally neutral column of plasma tends to establish an equipotential volume, while by contrast the electric field across the junction contributes to separating the carriers eventually (the ion trace occupies only a small section of the depleted region). The holes created in the cathode are thus repelled toward the anode (substrate), while symmetrically the electrons in the substrate drift toward the cathode. This dual motion of minority(^> carriers, by separating the charges locally, is the reason why a space charge appears in the trace volume, and therefore why a reverse electric field, opposing the original field, sets in. The original field in the depleted region is therefore locally screened, and the drop in potential is shifted partially toward the lower end of the trace, in the substrate volume. Figure 12.17 shows the first 2-D numerical simulations, revealing the deformations of the equipotential lines along the trace of the incident particle [35]. N+ region
Fig. 12.17 Distortion of the equipotential surfaces along the trace when a heavy ion hits an n^p junction. After [35].
The charges drift along the trace under the action of this axial electric field, in a "funneling" motion that contributes to emptying part of the plasma column in a few tens of picoseconds. Simultaneously with this axi^ motion, the charges diffuse radially by ambipolar
(^^ In what followsy we shall call minority carriers those carriers whose type is opposed to that of the doped area where they are generated (i.e. electrons in a p substrate). This term "minority" is independent of the local density of generated carriers.
818
O. Musseau
diffusion (because the injection density often exceeds the doping level). The funneling length may thus extend to as much as ten microns, which largely exceeds the thickness of the depleted regions found in ordinary electronic components. Because the extension of the equipotential surfaces is accompanied by a reduction in electric field strength and thus leads to a lesser carrier velocity, the funneling mechanism differs from the simple collection by drift in the depleted region. It seems, however, that the charge motion, until the current pulse reaches its maximum, takes place in a nearly constant field, whose magnitude is equal to the ratio of the initial potential drop to the funneling length [36]. 5.2.2
The main characteristics of funneling.
In the case of integrated circuits, the small dimensions of the elementary diodes require that the edge effects due to the passage of the ion close to a depleted region be considered. Here, the plasma column begins by expanding, by ambipolar diffusion, and carrier funneling only occurs when the space charge region is reached by the plasma. The charge collection process is then slower than when the ion goes directly through the diode, because of the funneling delay. This edge effect is still detectable at about two microns from the edge of the depleted region [37].
c
"5) c
0
2
^
6
Depletion layer width (pm) Fig. 12.18 The effective funneling (after [81).
length is plotted versus the thickness of the depleted layer for a p'^n diode,
Various experiments using a vast range of ions and energies have shown that the equivalent funneling length increases linearly with the thickness of the depleted region (Fig. 12.18) [8] [38], in agreement with some charge funneling models [39] [40]. In a first approximation, the funneling length is independent of the generated charge density [38]. It follows that semi-empirical relations can be proposed to link the funneling length and the
The Ejfects of Cosmic Ions on Electronic Components
819
thickness of the depleted region [38] (the "prompt" collection takes place over a total thickness ofXp^W): Xp ~ L48W + 338for p^ndiodes (Nj^ = lO^^cm-^) (12.13) Xj, z 0A3W + 14.,0forn^pdiodes(N^
= 3x
10^^cm-^)
The LET of the incidents ions can be taken into account by adding a term proportional to the stopping power dEldx [41].
Fig. 12.19 Recording of the transient photocurrent generated by ions travelling through a shottky diode [43].
600
Numerical simulations generally also show that the funneling length decreases with increasing substrate doping [37] [39], and that this decrease is faster when the diode area decreases [42]. A few rare direct measurements of the transient current have been made, using superconductive sampling oscilloscopes with very short rise time ( l i p s ) and very high sensitivity (3 mV), with an ion beam focused on a diode of large dimensions, in order to generate strictly reproducible events (Fig. 12.19) [43]. The signals recorded for different particles display rise times between 36 and 124 ps, increasing when the energy deposited by the ion increases. Under these experimental conditions (low-energy ions having relatively short traces), the pulse fall time of the order of 250 ps depends on the series resistance of the substrate (thickness and doping). The charge begins to funnel as soon as the trace goes beyond the thickness of the depleted region, regardless of the LET of the incident ion. The importance of the dielectric relaxation time, which depends on the doping of the substrate, is shown by performing integral measurements (a charge preamplifier integrates the current pulse) [44]. No funneling is observed for a p doping level of 3 X IQi^ cm-^ (dielectric relaxation time of about 2 ns), and only 30% of the charge deposited in the depleted area is collected for a p doping level of 10^^ cm"^ (dielectric relaxation time of about 0.25 ns). The above examples clearly show the importance of the equipotential surface
820
O, Musseau
deformations. These, varying as they do with the dielectric relaxation time, limit the funneling of the carriers. To summarize, charge funneling may be defined as the collecting of the injected excess carriers by the electric field, resulting from the deformation of the potential along the track of an ionizing particle. For funneling to occur the following conditions must be met: - generation of charges in a cylindrical volume along the trace of a particle, - intersection of this trace with a space charge area, either direcdy or after ambipolar diffusion, - generation density greater than the local density of majority carriers. The main characteristics of these mechanisms are: - a quick collection of carriers by drift in the disturbed electric field, - a quick collection of a charge greater than the charge generated in the sole depleted region, - a limitation of the collected charge by the charge initially stored in the depleted region, responsible for the electric field at equilibrium. 5.2.3
Funneling length.
The extension of the potential along the trace naturally poses the problem of the funneling length. This length is defined as the maximum distance over which the deformation of the equipotential surfaces allows the collection of the charges toward the upper electrode. The difference of potential between the terminals of the devices is split temporarily between the potential drop in the funneling region and the potential drop along the rest of the trace, considered as an ohmic conductor. This definition has the disadvantage, however, of prohibiting any experimental determination of this length, and requires that numerical simulations be used. In practice, there are two lengths that can be defined and measured: - the maximum funneling length, equal to the maximum distance counted from the edge of the depleted region, from which an elementary generated charge is collected by funneling, - the equivalent funneling length, equal to the trace length over which the integral of the energy loss corresponds to the charge collected experimentally, in agreement with Equ. (12.9). The main interest of the funneling length is that it lets us estimate the thickness of the sensitive volume, and thus makes it possible to calculate simply the failure rate associated with a circuit sensitivity in orbit. 5.3
CHARGE COLLECTION BY DIFFUSION.
Simultaneously with their drift motion in the electric field, but at a smaller velocity, the carriers diffuse outward from the ion trace toward regions of lower density.
The Effects of Cosmic Ions on Electronic Components
821
Considering the initial location of the charges in the cylindrical trace, the diffusion motion is at first mostly radial. As funneling by the electric field develops and the charge concentration in the trace diminishes, the carriers tend to diffuse toward the initial depleted region. Since the electric field in this region is little disturbed outside the ion trace, these diffusing charges can be collected easily. By integrating the total charge collected, we can then separate a prompt component, due to funneling, from a slower component due to diffusion (as illustrated in Fig. 12.20). Diffusion therefore contributes to bringing those carriers located far from the electrodes, and not collected by funneling, closer to the depleted area. In the case of highly integrated components, a sizeable charge can thus be collected on the structures adjacent to that hit by the particle (Fig. 12.21). The spreading of the charges uncollected by funneling leads to the occurrence of multiple errors in neighboring sensitive areas, due to a single ion, in highly integrated sensitive components [45] (Fig. 12.21).
P-TYPE 8 . W^m^
J
140 120 Fig. 12,20 The various constituents of the collected charge are plotted as a function of applied bias (after [47] slightly modified).
100 80 •4—»
o
60 -^J^-^'
TOTAL CHARGE - ^ PROMPT CHARGE
/^
40 20 " ^.^^"^uharge generated in the ^
original depletion layer
5
10 15 20 25 30 Applied bias (V)
In a diode of large dimensions (i.e. measuring several thousand square micrometers), funneling and diffusion therefore act in combination to collect a sizeable fraction of the charges generated by the incident ion. This collected amount is in fact much greater than the charge generated only in the depleted region of the diode (see Equ. (12.13)).
822
O, Musseau
In a highly integrated complex circuit, the importance of diffusion stems from the multiple errors it causes in the neighboring sensitive (but electrically independent) areas. 5.4
A REVIEW OF THE MAJOR CHARGE FUNNELING MODELS.
Various semi-empirical models have been proposed to illustrate the collection of charges in a diode on the basis of experimental measurements or numerical simulations, and to assess the effects of the various parameters (doping, voltage, and so forth). Tab. 12.1 indicates the physical parameters that can be modelled in each case. 1 Model Hu jMessenger |McLean-01dham |Gilbert et al. |Shur et al. |Grabin-Kreskovsky [Edmonds
Funneling length X
Transient current X X
Charge collected |
X X X
X X X
X
1
Tab. 12.1 Type of information and parameters supplied by the various charge collection models.
If we consider that, because of the very high injection density, carrier motion is ambipolar, then very schematically we can distinguish between two broad classes of models, depending on the main transport mode of the "minority" carriers in the trace: • models based on the axial drift of the minority carriers (Hu; Grubin and Kreskovsky; Edmonds). The trace deforms the potential beyond the initial depleted area. The field then separates the carriers from the trace, and the electrons generated in the substrate are carried away by the electric field back to the n+ region, while staying inside the trace (see Fig. 12.22B), • models based on the radial diffusion of the carriers (Messenger; Shur et al). Collection is at first controlled by ambipolar diffusion, which causes the "erosion" of the electron and hole plasma at the periphery of the trace (see Fig. 12.22C). The McLean-Oldham model includes the two types of effects simultaneously. 5.4.1 Discussion of the various models. ii) The Hu Model. In the Model of Hu [39], which is based on the first numerical simulation ever
The Effects of Cosmic Ions on Electronic Components
823
o UP ' o UP ^€3 HP Fig. 12.21 Multiple errors due to a single ion. These errors are due to the diffusion of the ionization charges toward the neighboring cells [173].
Depleted region
Ion track
A)
B)
C)
Fig. 1222 Schematic representation of the charge collection models byfunneling in an n'^p diode. a) Structure studied, b) Control by the axial drift of the "minority" carriers in the trace, c) Control by the radial diffusion of the majority carriers in the trace.
824
O. Musseau
performed [35], the minority carriers in the substrate are collected due to the electric field, which is proportional to the high current density passing through the dense trace of the ion. A dual minority carrier motion therefore appears at either side of the depleted area. After the passage of the ion, the initial electric field in the depleted area sets up a current of holes Ip{t) in the substrate. An ohmic electric field proportional to this current then develops in the resistive column of charges and attracts the electrons from the substrate to the edge of the depleted region. This drift mechanism stops as soon as there is no more hole in the depleted region, i,e, when the integral of the hole current is equal to the total charge generated by the ion in the depleted region. The charge funneling length Xp is deduced immediately by:
^F =
w
7+ pJ
(12.14)
cosQ
The total current is computed as the sum: of the electron current (in the trace and substrate) and of the hole current. The electron current is limited by the resistance of the trace in series with the resistance of the substrate between the tip of the column and the rear electrode. The hole current contributes to reducing the thickness of the depleted region. The final expression for the current is obtained by assuming that the total conductance G (trace and substrate) through which the electron current flows remains constant (VQ is the voltage applied to the diode terminals):
1(0 =
VoG cosh^
(12.15)
' VoGt ^
qgx F J
or, for the charge collected by funneling: Q(t) = qgXp tanh
VnGt
(12.16)
qgX^ FJ
ii) The Messenger Model. Messenger proposes using an a priori expression for the collected current as the difference between two exponentials whose arguments are: the collection time constant of the junctions 1/a, the establishment time constant of the trace 1/P [46].
The Effects of Cosmic Ions on Electronic Components
825
The latter argument is taken to be arbitrarily small (of the order of 10 ps). The collection time is computed by solving the continuity equation for the minority carriers, i.e. a one-dimensional equation (along the trace line). Immediately after injection, the carriers move essentially by ambipolar diffusion until their density becomes comparable to the local equilibrium densities and the electric field is re-established in the junction. It is only after this time that the charges are collected by drift along the trace. The establishment of the funneling column is due to the ohmic drop of the current flowing through the substrate. As in the previous model, the applied voltage VQ is the sum of the potential drop across the depleted region, and the potential drop across the distributed resistance of the substrate. Hi) The McLean-Oldham Model This model assumes that there exists an equivalent funneling length Xp over which the electric field extends, and where the charges are separated and collected by drift [47]. The total charge collected is simply equal to the product of the initial linear density of the column A^^ by this funneling length, which is itself the product of the carrier velocity by the collection duration. The electron drift velocity is assumed to be proportional to the mean electric field in the trace. A screen constant (the (- ^A^^) exponent term in the following expressions), which contributes to reducing the potential drop over the funneling length, had to be introduced later to get a better agreement with experimental data [48]. The collection duration is determined by equating the hole charge (at velocity v^) at the periphery of the trace (trace which expands by ambipolar diffusion, with a diffusion constant D) with the charge of the electrons drifting along the trace axis (the trace should preserve its overall electrical neutrality). When the funneling length is greater than the thickness of the depleted area, the expressions provided by this model are (v^ being the hole velocity):
Xp=4\i„Vo^^(-KNo) Q = qNoXp
3No SnN^Vp^
(12.17)
(12.18)
iv) The Gilbert et al. Model. To account for the disagreement observed between charge measurements and the charge predictions of the McLean-Oldham model (100% of the generated charge should be collected
826
O. Musseau
in the case of heavy ions creating a high density of carriers), Gilbert et aL added to the previous model a global screen factor, whose expression is extrapolated by analogy with the skin depth concept [49]. This screen factor results from the field inside the trace created by the axial motion of the carriers, which opposes the deformation of the equipotential surfaces along the trace. It contributes to reducing the funneling length in expression (12.17). v) The Shur et al. Model, According to this model, the charge collection is controlled by the radial expansion of the plasma column through ambipolar diffusion [50]. The radius of the trace increases at first, because of the ambipolar diffusion, and then decreases as the density of the remaining charges decreases. When the radius vanishes, the initial electric field is restored and the remaining charges are collected simply by diffusion. One needs to introduce adjustable parameters in the final expressions for the current and the collected charge. If the collection is mainly controlled by ambipolar diffusion (long, lowdensity trace in a highly doped substrate), we get for the charge: Qa'f^o^^o
(12.19)
where p is the ambipolar mobility, A^^ the substrate doping, and Xj the trace length. vi) The Grubin and Kreskovsky Model, Grubin and Kreskovsky assume that, after the plasma is created, the electric field of the junction collapses and that most of the original voltage drop is shifted to the terminals of that part of the substrate that is undisturbed by the ion [51]. The current is then found simply by taking the ratio of the initial bias to the series resistance of the substrate. vii) The Edmonds Model, Due to the high level of injection (equal concentrations of electrons and holes), the ratio of the electron and hole currents (both for the drift and diffusion contributions) is identical to the ratio of carrier mobilities [40]. The total current is then expressed as a function of the hole current collected in the substrate, and of the electron diffusion current. The total charge collected is calculated by integrating the current until all the holes deposited in the initial depleted region are collected (charge QQ): Qn^^Qm
Q = V
(12.20)
^PJ
The total charge appears thus as the sum of a funneling term, whose expression is similar to that of (12.14), and of diffusion term Q^iff.
The Effects of Cosmic Ions on Electronic Components 5.4.2
827
Comparison of models.
The models of Messenger and Shur have the advantage of emphasizing the ambipolar carrier motion mechanism. No numerical or experimental data is available to confirm the delay preceding funneling forecast by the Messenger model (delay however observed and described in the operation of semiconductor particle detectors [52-54]). The main defect of the Shur model is that it completely neglects the axial motion of the carriers in the trace which causes the funneling. This leads to largely overestimated collection times. The Grubin model agrees with the results given by numerical simulations of very short traces that do not entirely go through the substrate. No experimental verification is available. The largest differences between measured charges and those predicted by the McLean model are obtained for the heaviest ions. By introducing the screen term, this model agrees rather well with the collected charge measurements (better than the Gilbert model). The Hu (funneling length) and Edmonds (collected charge) expressions agree well with the experimental collected charge data [38], though without predicting the effect of the LET. It seems that, as of today (1995), the Edmonds model, which is the most recent and the one using the least restrictive physical assumptions, is the one that best predicts the charge collected in a diode. One shortcoming of this model is that it lacks an explicit form for the transient current. This makes it difficult to adapt it to structures which are less simple. 6 THE CHARGE COLLECTION MECHANISMS IN A REAL STRUCTURE. Whatever the technology used, the structures complex circuits all differ from that of the simple n"^ assumed to be much larger than the trace diameter. collection mechanisms encountered in the various components.
of components used in constructing diode presented above, and which was Let us then examine now the charge structures of today*s microelectronic
From the point of view of heavy ions effects, these structures differ from an ideal n+p junction because of: -
the presence of insulating films, the stacking of several junctions, the juxtaposition of several junctions, the small size of each junction or depleted zone.
Because of their closeness, several junctions may be disturbed by the same single ion, though not necessarily at the same time. They may either share the generated charges or may
828
O, Musseau
exhibit a coupled reaction. This leads to more complex collection mechanisms. 6.1 THE ROLE OF PARASITIC STRUCTURES. When a large number of transistors are integrated on the same silicon wafer, they are expected to be interconnected so that they can transmit their processed data to each other, and above all to be insulated from each other, so that they can be controlled separately. In today^s technologies, with minimum dimensions of the order of a micron, most of the area of a given component is devoted to these insulation (insulating films, reverse-biased junction) and interconnection functions. The active regions where the data is processed occupy thus only a small area. The remaining (parasitic) structures, when irradiated, are also capable of disturbing the operating mode of the device. The insulating structures are therefore sensitive to heavy ions and, under irradiation, can create a coupling between the active regions and thus considerably modify the charge collection mechanisms. The smaller the transistor dimensions, the greater role the parasitic structures will play in the final sensitivity of the transistors, and therefore of the complex circuits themselves. 6.2 CASE OF A MOS TRANSISTOR. 6.2.1
The sensitive elements of a MOS transistor structure.
In addition to the main transistor controlled by the gate voltage, MOSFET devices possess source-substrate and drain-substrate junctions, which are reverse-biased in order to insulate the transistors from each other. The devices also possess a parasitic horizontal bipolar transistor (source-substrate-drain = emitter-base-collector) as illustrated in Fig. 12.23a. The sensitivity of a single MOS transistor is generally determined by the drain-substrate junction, which exhibits the largest depleted zone. The source-substrate junction, biased as it is at 0 V, is much less sensitive and, for gate lengths greater than 0.5 pm, does not seem to disturb collection in the drain-substrate junction significantiy. When the ion goes through the transistor channel, the collected charges divide up between source and drain [55]. Because of this charge partition, the sensitivity of the channel region is below that of the drain-substrate junction, and can be neglected when estimating the sensitive surface. In the case of technologies diffused on epitaxial substrates, in addition to the previous scheme, there exists a buried homojunction at the epitaxial interface with a low potential barrier (Fig. 12.23b). The sensitivity of transistors of PMOS or NMOS technology on bulk or epitaxial substrate is therefore simply dependent on the sensitivity of the p+n", n+p-, p+n-n+, and n-^-p+ junctions (we have already studied the first two types).
JTie Effects of Cosmic Ions on Electronic Components
P^
S ^
r^
829
D
N.
ra^T
P- epilayer P+ substrate
B
Fig. 12.23 Illustration of the parasitic elements existing in a real MOSFET structure. a) Case of an NMOS transistor on bulk silicon, b) Case of a PMOS transitor on an epitaxial substrate.
6,2.2
The n+pp+ structure: Effect of the interface field.
In contrast to what is observed in diodes of the same geometry manufactured on bulk substrates, the ionization charge collected in diodes diffused on epitaxial layers is independent of the thickness of the depleted layer as illustrated in Fig. 12.24 [8]. Numerical simulations show that the epitaxial interface limits the deformation of the equipotential surfaces and the extent of funneling. After about forty picoseconds, the funneling column inside the substrate is interrupted at the interface. This interruption of funneling is partially due to the greater diffusion of the carriers in the weakly-doped epitaxial layer, which causes a rapid radial expansion of the column of charges, and therefore a decrease in carrier concentration along the trace axis [56]. 0.14 r
o
'*«—^ Q 0.
y^ 03
JZ
o 0) ^ O
0.13 0 1?
r ^ ^
^
4
Fig. 12.24 The ion-induced collected charge is plotted as a function of the depletion layer width for an n-^pp^ diode (diode on an epitaxial substrate). We note that the charge is constant for various depletion layer width [8].
Oil 0.10
J) 0.09 O
o
A
1 1
L
2
3
J
1
4 1
6
5 L
•
Depletion layer width (|jm)
1
830
O, Musseau
The charge collection length in a diode on an epitaxial substrate is therefore equal to the thickness of the epitaxial layer, in which all the charges generated are collected by funneling. 6J
CASE OF A CMOS STRUCTURE.
6.3.1 The Complementary MOS (CMOS) structure. CMOS technologies are today among the most widespread. They use NMOS and PMOS at the same time, implanted in wells insulated from each other by reverse-biased junctions (Fig. 12.25a,b). The intrinsic sensitivity of MOS transistors is therefore modified by the presence of:
25C 25D
ov_ [ZZ]
+5V Injectipn
i^—^—^ Collection
Ion track
+5V Fig. 12.25 Illustration of a CMOS inverter. a) Physical structure, b) Electrical structure, c) Charge collection mechanism (see text), d) Current flow in the vertical bipolar transistor (see text).
- the well-substrate insulation junctions, - several transistors of the same type in the same well, - complementary transistors located close to the insulation well. In the first two cases, a modification is observed in the charge collection mechanisms, essentially due to a geometric coupling between neighboring structures. In the third case, we recognize a parasitic thyristor structure, which is the cause of latchup by electric coupling of the parasitic bipolar transistors.
The Effects of Cosmic Ions on Electronic Components 6.3.2
831
The CMOS inverter.
Kreskovsky and Grubin have simulated a complete CMOS inverter consisting of two transistors with 2.5 pm gate length, manufactured in a p-well technology 5 pm thick, on an n substrate. The transistors are biased in such a way that the NMOS is on while the PMOS is off [57]. Immediately after the ion travels through the NMOS drain, a pulse of electrons is collected on this electrode (Fig. 12.25c-component 1). Since some of the carriers reach the channel, electrons are injected into the source by the external circuit. In about 50 ps, all the electrons deposited in the p well are collected by the NMOS drain-well junction, which returns to its initial state. The potential barrier of the well-substrate junction is sufficient to confine in the substrate the electrons created in the deep part of the trace. These are collected by the PMOS source-substrate diode (fig. 12.25c-component 2). The well-substrate junction therefore has a screening effect, and totally separates the charges created in the well (collected either by the NMOS or by the p-well contact) from those created in the substrate (collected at the rear electrode). 6.3.3
Charge collection in a diode in a well.
A diode in a well is basically a vertical stack of the n ^ ' n type, and can be considered as a vertical bipolar transistor with a thick base. Two charge collection mechanisms are then possible in this type of structure: • conduction of the parasitic bipolar transistor, • ""short-circuit"" along the ion trace between the regions of same doping [58,59]. The conditions under which the ""short-circuit"" appears are identical to those required by structures in wells on epitaxial substrate. This phenomenon has to do with the difference of potential between the two extreme electrodes of same doping (n and n+), which locally determines in which direction the ""short-circuit"" current flows. When this current is added to the usual ion-induced currents of each junction, it leads to transient signals that may change sign. In this mechanism, the injection of current by the electrodes gives rise to major differences between the collected charge and the charge generated by the ion. Charge collection, by either one of these two mechanisms (""short-circuit"" or bipolar transistor) depends on the excess charge of minority carriers in the region of low doping (base). If this charge is large enough to lower the potential barrier of the emitter-base n+p junction, then the conduction results from a bipolar effect with the electrons coming from the cathode. On the other hand, if the injected charge is insufficient, the current will tend to flow in the ion trace, between the two n type regions [60]. The mechanism therefore depends mainly on: - the charge injected by the ion,
832
O. Musseau
- the base series resistance, which determines the flow of the excess minority carriers, - the base thickness, which determines the gain of the bipolar structure, and thus the electron secondary current, - the potential difference between the n+ and n regions, which controls the avalanche current (introduction of holes into the base) allowing the bipolar conduction to be sustained. - the effect of ambipolar diffusion, responsible for track erosion in the lowly-doped regions. Like any sensitive region, diodes in a well are likely to collect charges coming from an ion trace passing nearby. When the ion travels midway between two n+ regions (7.8 pm apart in a p-well), the current pulse lasts twice longer than when the ion travels through the center of one of the n+ regions, but with a maximum intensity that is half as high [61]. For diodes of micronic dimensions, the peripheral sensitive area may therefore be greater than the area of the diode itself. 6.3.4 The n+pn-n+ structure, ""short-circuit"" along the ion track in epitaxial layers. The "short-circuit" mechanism along the ion trace has been observed experimentally for various weakly-doped epitaxial layer thicknesses [59,62]. This phenomenon (also called "ionshunt") is thought to be due to the relative values of two resistances: the ion-induced "shortcircuit" resistance between the two regions of high n+ doping, and the series resistance of the substrate between the tip of the ion trace and the rear electrode [58-59]. It has been modeled by a variable time-dependent resistor through which flows a current whose direction is determined by the difference of potential between the two highly doped regions. Unfortunately this model does not take into account ambipolar effects. This type of device has been simulated numerically, by blocking the n"^ diode and biasing the substrate either at 0 V or at 10 V [57,63]. The charge collection then proceeds in three successive phases described below and illustrated in Fig. 12.26: N+ N-
N+
Ion
Fig. 12.26 The evolution of current flow lines after a heavy ion has travelled through an n^pnn-^ structure are visualized (after [63]).
The Effects of Cosmic Ions on Electronic Components • An electron current is established directly between the substrate and the cathode, by "shortcircuit". These electrons are driven by the "overall" electric field, from the electrode at the lower potential to that at the higher potential, while the external circuit supplies the charges at the lower potential electrode. The current lines contract as they go through the weakly ndoped region, limiting the conduction area to the plasma column "plasma wire". The anode current is limited by the series resistance of the weakly doped well (see Fig. 12.26b), • When the carrier densities in the lowly-doped regions have decreased, mostly by ambipolar diffusion, the "short circuit" current stops. Both juncions then become discoupled, and the charges flow into the upper n"^ p diode, the electrons toward the n+ cathode, and the holes toward the p-well (see Fig. 12.26c), • The last phase of the charge collection corresponds to the flow of the current into the wellsubstrate diode. The electrons are collected in the substrate and the holes in the well. This last phase is completed when the entire charge deposited in the substrate is collected. Depending on the initial biasing conditions, two cases are possible: either the substrate is at the higher potential and most of the charge has been collected during the first phase (in which case the return to equilibrium is very fast) or the substrate potential is lower than that of the cathode and the charge collection may last several nanoseconds (see Fig. 12.26d). Regardless of the biasing configuration, the "short-circuit" greatly modifies the charge collected in the two regions of high doping. Indeed, the charge collected by funneling in each reverse-biased junction is either compensated or increased by the injection of carriers from the extemal supply. 6.3.5
The n+pii-n+ structure. Conduction of the vertical bipolar transistor.
Simulations of the passage of an ion through an n"^pn-n+ structure (NMOS transistor in a p-well on an epitaxial substrate) have demonstrated the existence of a current flowing through the parasitic bipolar transistor (see Fig. 12.25b) in the device. In these investigations, the substrate is biased at 5 V, the p-well is grounded, and the n+ drain is either grounded (transistor on) or biased at 5V (transistor off, see Fig. 12.25d). The results obtained (illustrated in Fig. 12.27) are the following [64]: • whatever the drain voltage may be, the charge collection is at first due to an injection of current from the drain to the substrate. The funneling current then appears, in the opposite direction, • the total charge collected by the drain is less than for a simple n+p structure because the two currents (bipolar and funneling) flow successively in opposite directions. At the same time, the charge collected by the well-substrate pn junction is larger because it is increased by the bipolar current.
833
O. Musseau
834
• if the transistor is on, the drain is grounded and the initial current is due to the switching-on of the parasitic bipolar transistor. Indeed, the passage of the ion temporarily forward-biases the n+p emitter-base junction locally along the trace, • the initial current that appears when the transistor is off is essentially a displacement current, which disappears for devices of smaller dimensions. 1E4 ^
1E2
*c
1E0
3
-1E2
o
Fig. 12.27 Illustration of a charge collection phenomenon in a n+pn-n* structure. The ion-generated carriers trigger the parasitic bipolar current which turns off after a few nanoseconds. The remaining carriers are then collected byfunneling and diffusion [64].
Bipolar current
-1E4k
Injection (iiA) :JMA)
1E-3
6.4
r^
Collection (uA)
1E-2
1E-1 1E0 Time (ns)
1E1
1E2
CASE OF A BIPOLAR TRANSISTOR.
A bipolar structure may possess a stack of up to four junctions or electric field regions. Depending on where the ion trace passes in the transistor, different collection mechanisms may then occur [30,65]. Depending on the voltages applied to the different electrodes, the charge collection may be due to an ion-induced "short-circuit" or to the injection of a bipolar current. In all cases, this current component seems to be faster than that due to collection by funneling [65]. Finally according to some simulations, the currents collected by charge funneling in deep junctions, (highly doped buried collector), would have longer rise times than those observed in ordinary diodes with the same doping [66]. This is probably due to the series resistance of the other circuit layers. 6.5 CASE OF DEVICES ON INSULATOR.
6.5.1 CMOS on sapphire (CMOS/SOS). The very low sensitivity to cosmic ion of the CMOS on sapphire technology is mainly due to the absence of charge collection in the insulating substrate, eitiier by funneling or by diffusion. The charge collected is therefore at the most equal to the charge generated in the thin film of silicon (= 0.5 pm thick) [67].
The Effects of Cosmic Ions on Electronic Components
835
However, the low sensitivity threshold of some CMOS/SOS circuits (CMOS memories in silicon on sapphire with 1.25 pm gate length) has been explained by a bipolar effect in the NMOS transistors. The gain of the parasitic bipolar transistor is nearly independent of the trace position in the channel. It decreases with greater channel doping, and increases sharply with the ratio of carrier mobilities Pn/Pp' leading to a collected charge multiplied by a factor of almost two. This last point explains the insensitivity of PMOS, for which no charge multiplication appears, because of the low hole mobility. The excellent agreement observed between the experimental asymptotic cross section per bit and the channel area of the NMOS confirms these simulations [68]. 6.5.2
CMOS on insulator (CMOS/SOI).
Transistors manufactured in a CMOS/SOI technology (where the insulator is a film of buried silicon dioxide) present the particular feature of possessing three parasitic structures (represented in Fig. 12.28) that are sensitive to cosmic ions: • a MOS capacitance of buried oxide (active silicon/buried oxide/substrate), • a parasitic bipolar transistor [69] with floating base, • a MOS transistor whose gate oxide is the buried oxide, controlled by the voltage applied to the substrate. Let us examine in some details the role these parasitic structures play in charge collection mechanisms.
Fig. 12.28 Cross section of an NMOS/SOI structure. The existing parasitic structures are also indicated.
836
O. Musseau i) The MOS Capacitance.
Charge collection in an MOS capacitor depends on bias and is possible only in the depletion or inversion modes [70]. Charge collection extends along the trace into the substrate according to a mechanism analogous to funneling [71]. In depletion or inversion, the electric field drives the minority carriers toward the substrate-oxide interface, while the majority carriers are pushed back toward the substrate. Since electrical neutrality must be maintained, the flow of majority carriers is limited by the lifetime of the minority carriers in the substrate, and in particular at the substrate/buried oxide interface. The charge that thus accumulates under the buried oxide induces an image charge in the active silicon layer [71]. Numerically, the charge funneling mechanism in the substrate can be interpreted as the discharge of the buried oxide capacitor into the resistor formed by the plasma of electrons and holes created along the trace [72]. ii) The Parasitic Bipolar Transistor, The effect of the parasitic bipolar transistor responsible for the increase in the collected charge [73-74] can be significantly attenuated by adding contacts linking the base to the emitter [75]. Without these "ties", the NMOS/SOI transistor with floating base is susceptible to latch when an ion goes through. This mechanism is analogous to electric snapback, with the following sequence of events [24]: • the minority carriers generated by the incident ion remain stored in the base, while part of the electrons, the majority carriers, is evacuated by the drain and the source, • the excess holes lower the potential barrier of the emitter (source)-base junction, • an electron current is injected to preserve the overall electrical neutrality in the base, • the production of holes by impact-ionization in the depleted base-collector region maintains the excess holes in the base, • the retum to equilibrium is determined by the lifetime of the holes in the base. The triggering mechanism is analogous to that of any bipolar structure. Only the mode of retum to equilibrium differs, since no contact allows the holes to flow. Hi) The Buried Oxide MOS Transistor, The charges generated in the substrate under the channel of the NMOS/SOI transistor may be driven by the electric field into the substrate and accumulated at the buried oxidesubstrate interface. If the buried oxide NMOS transistor is "on", this accumulation of charges modifies the control potential and modulates the source-drain current of the transistor [24].
The Effects of Cosmic Ions on Electronic Components This has no practical effect on the operation of the circuits as long as the threshold voltage of the buried oxide transistor is greater than the component's supply voltage. 7 PERMANENT DEGRADATION MECHANISMS. We have seen in the previous sections that the passage of an ion through an electronic component can trigger logic errors. These are however non-destructive and can, in most cases, be erased by a reset of the circuit or by a new writing cycle. Energetic ions can also produce permanent degradations due to the excitation of a parasitic structure. Several degradation modes have been discovered and described as explained next. 7.1
GENERALITIES.
The permanent degradations induced by the passage of a cosmic ion depend on the existence of a parasitic structure and on the local operating conditions. They thus depend on the technology used to manufacture the component and on parameters such as: temperature, bias, and mode of operation (static or dynamic). The experimental study of such phenomena is of course limited by the damaging or destructive nature of the effects being studied. Unlike logic errors which are easily measurable (e.g. using memories), the number of available experimental results regarding these permanent degradation phenomena is usually limited. Moreover a thorough study of these destructive ion-induced events is not quite as urgent as that of logic errors since the former can often be avoided by a careful choice of component and by a suitable system architecture (favoring protection or prevention). Therefore a good many works are nowadays based on modelings or numerical simulations. Permanent degradations include today (1995) three phenomena, which have been fairly well studied: • Single Event Latchup (SEL), • Single Event Burnout (SEB), • Single Event Gate Rupture (SEGR). They all result from the following two successive events: • Initiation. This includes interaction of an ion, charge collection, and switching of the component to a low-impedance state.
837
838
O, Musseau
• Hold. The component is maintained in this abnomial state of operation, energy is added by external power sources, and thermal degradation follows. The first phase of initiation is characterized by the threshold at which the phenomenon appears in the sensitive regions, using the quantities described above (cross section, critical charge, critical LET, and so forth). Studies of the hold phase are aimed at determining a range of operation through which the component can operate without risk of degradation. To this end one often monitors the time variation of the circuit supply current, and studies the effect of the power supply conditions (voltage, current) on the initiation phase. Even if the component is subjected only briefly to the hold phase, a stress is created and there may be therefore a long-term loss of reliability (though there may be no immediate effect on the operation). In the case of SEL and SEB, the ion-induced photocurrent serves as the base current for a parasitic bipolar transistor which switches to a conductive state. The conditions under which the device remains in this state depend on its biasing conditions. The power sources of the circuit are then "short-circuit"ed by a low-impedance path, which may lead to device destruction because of thermal dissipation. In power MOSFETs the SEB threshold decreases inversely with the operating voltage, which often leads to using these components at half their nominal voltage. The gate rupture which takes place in power MOSFETs, seems to be due to a transient increase in the electric field in the oxide when the incident ion goes through, with no energy added from external power sources. 7.2
SINGLE EVENT LATCHUP (SEL).
As of today, only CMOS circuits, whose basic cell is the inverter illustrated in Fig. 12.5, have shown to be sensitive to ion-induced latchup. 7.2.1 The physical mechanism of latchup. Shoga and Binder proposed a physical model of the SEL mechanism to explain the experimental findings, and particularly the effect of the temperature on the cross section [76]. For SEL to occur, two parasitic bipolar transistors must be set into conduction simultaneously: a lateral one and a vertical one (see Fig. 12.6). These two transistors have the reverse-biased well-substrate junction in common. In a normal operation the potential barriers prevent the diffusion of carriers across each of the following three junctions (Fig. 12.29a): • the p+n emitter-base junction of the vertical transistor, • the np base-collector junction for the two transistors, • the pn+ emitter-base junction of the lateral transistor.
839
The Effects of Cosmic Ions on Electronic Components
[ pns \
Ud 1 i N 1 1
BC
BV
electrons
holes 1^ i i 1 f lonitrack !
29A
Pholes Turn-on of vertical pnp Hole injection from the external circuit
Separation of injected carriers
BV
BC
29B Vss Vdd
BC
J
Turn-on of the lateral npn Electron injection from the external circuit
BV
Latchup of the NPNP structure
29C
Fig. 12.29 Schematic description of the SEL mechanism. a) Illustration of the band diagram of the vertical pnp structure at equilibrium (left), of the separation of the excess carriers generated along the track (right), and of the band diagram of the npnpn+ structure along an horizontal line (top). b) Illustration of the lowering of the emitter-base potential barrier. The vertical pnp transistor is turned on and holes are injected into the base of the horizontal npn transistor. c) The horizontal npn transistor is turned on and the structure latches up.
840
O, Musseau
When a heavy ion goes through the structure, the excess carriers generated and not collected are driven toward the potential barriers of the emitter-base junctions where they accumulate (Fig. 12.29b). The local storage of the charges is accompanied by a lowering of the potential barrier. It follows that the emitter-base junction becomes forward biased (Fig. 12.29b). Once one of the bipolar transistors is conducting, the carriers injected by the emitter connected to the extemal voltage source are injected into the base of the other transistor (Fig. 12.29c). The potential barrier of the second emitter-base junction is then lowered, and the second bipolar transistor may then conduct. In order for the two transistors to remain latched thereafter, the product of the gains, i.e. Pnpn Ppnp' ^^^^t be equal to one. 7.2.2
Relations between physical parameters and sensitivity to heavy ions.
The description of the physical mechanisms of latchup reveals the crucial role played by the well-substrate junction common to the two bipolar transistors, and responsible for the separation of the generated charges. In a first approximation, it is the vertical part of this junction located between the two MOS transistors that determines the latchup cross section. The latter cross section is thus less than the total area A^^^ of the junction. Indeed, it is mainly the gain of the lateral bipolar transistor that controls the latchup. This gain decreases rapidly with increasing thickness of the base (distance between the well and the p"^ implantation), which limits the effective area of the well-substrate junction where the latchup condition (Pnpn Ppnp ^ 1) ^xistS.
The sensitivity threshold, which can be expressed by means of the critical LET, is determined mainly by the funneling of the charge in the well-substrate junction, which is subject to a voltage drop AV^^. A simplified expression of this critical LET is of the form [76]:
where W is the width of the junction space charge, Xp is the funneling length and e^,- is the permittivity of silicon. 7.2.3
Temperature effects.
Experimental measurements have shown that temperature strongly affects the sensitivity to latchup.
841
The Effects of Cosmic Ions on Electronic Components
The asymptotic cross section increases sharply with temperature, by nearly one order of magnitude for some components (for temperatures going from 25°C to 52°C [76]). This increase is directly related to the increase in the gain of the lateral transistor, as a function of temperature. As the temperature rises, the latchup condition is therefore satisfied for a greater base thickness, which is equivalent to increasing the sensitive area of the well-substrate junction. Similarly, the sensitivity threshold LQ is temperature-dependent (in contrast to what the simplified model above suggests), as shown in Fig. 12.30. This dependency results mainly from an increase in resistivity of the well with rising temperature [77]. Tab. 12.2 recapitulates the temperature effect on the main parameters, and therefore on the sensitivity to latchup [77]. Effect on SEL 1 cross section [
Ratio of 125° to 25° value
Effect on threshold LET
jSubstrate resistivity
2
low
low
Well resistivity
2
inversely proportional
low
IForward potential drop
0.7
proportional
moderate
[
[Minority carrier lifetime
1.2-2
low
moderate
|
0.55 2
low
moderate
j
low
moderate
j
Parameter
[Diffusion coefficient [Gain of vertical bipolar transistor
[
Ted). 122 Effect of temperature on parameters controlling latchup.
% d
o
o
Fig. 12.30 The latchup cross section is plotted as a function of LET for a memory (IDT 7187) at three different temperatures 177].
p,
^
s 3 5
10
15
20
LET MeV/(mg/cm2)
zs
842
O, Musseau 1.2A Effect of irradiation geometry.
The sensitivity to SEL of a component is extremely dependent on its intemal structure and on the irradiation geometry. Some numerical analyses let us appreciate the effect of these parameters (spacing of the various elements making up the npnp structure [78] or oblique incidence of the ion [79]). Aoki's work simulates the passage of a heavy ion through the central portion of a CMOS structure on a p- substrate [78]. The ion trace goes directly through the vertical pnp bipolar transistor (generation in the well-substrate diode). The currents in the highly doped n+ and p"*" zones result from the collection of the excess particles created by the ion in the device and from the injection of new carriers by the electrodes [80]. The latchup in this structure results from the maintaining of these currents. Figure 12.31 shows the sequence of these various currents (collection of charges generated or injection by the electrodes) for two injection densities. The various cases studied show how effective a high substrate doping is in reducing latchup (by reducing the gain of the horizontal npn transistor). Increasing the distance between the n well and the n+ diffusion is also effective since it lowers the cross-coupling between the two bipolar transistors. h
'£"lE5 " o
Injection
/^Latchup
^ ^
(D
3
•
i; No latchup *
e I
«
S-1E1 "E 1
-1E5' 1E0
CD
fc
1E1
Injection density " • ^ 10 cm
E (D -1E3' + Q.
Collection 1
1E1
'
_-L
1E2
1E3
Time (ps)
1E4
i~.
1E5
-1E5
/
; » % \
0) -1El'
y^atchup
y*
•
3 O
+ -1E3 C
Injection y^-<»\
E 1E3
^1E3 •
t1E1
E 1E5' [
o
Injection density
\.^^ No latchup
k^L
W
' Collection '
1E0
^
1E1
'
1
1E2
1
1
1E3
1E4
1E5
Time (ps)
Fig. 12.31 Illustration of SEL triggering. The transient currents in the /i+ emitter (a) and in the p+ emitter (b) of the structure of Fig. 12.29 have been simulated for two densities of charge injection (after [80]).
The Rollins simulations compare the response of analog structures, in two or three dimensions, when irradiated at oblique incidence [70]. The ratio between the latchup thresholds of the two structures (3D/2D) due to the differences in charge collection, illustrates
The Effects of Cosmic Ions on Electronic Components
843
the limitations of a simply numerical approach, and the need for direct comparison with experiment, using for example ion microbeams (see § 9.1.4). 7.3
SINGLE EVENT BURNOUT (SEB) IN A POWER MOSFET.
The burnout of power MOS transistor (DMOS N type) results from the conduction of the parasitic bipolar transistor (the vertical npn structure in Fig. 12.7). The model of Hohl and Galloway [81], complemented by later works, offers a rather complete description of the phenomenon. 7.3.1
The physical mechanisms of burnout.
A DMOS transistor consists of an assembly of elementary cells placed in parallel (Fig. 12.32 shows a sectional view) allowing a high-intensity drain current to flow. The component is sensitive in the "off* mode, when the difference of potential between drain and som-ce is large. During irradiation, the ion trace goes successively through the emitter, the base, and the collector of the parasitic bipolar transistor (a single cell is affected). Bumout then occurs following a sequence of five steps: 1) the bipolar transistor begins to conduct. According to the funneling mechanism, applied to the base-collector diode, a hole current flows from the collector to the base, in the ion trace. The access resistance of the base, determined by the doping and the dimensions of the base, prevents any quick evacuation of these holes. By accumulating locally, these holes lower the emitter-base potential barrier and thereby switch the bipolar transistor on, 2) a drain-source current begins to flow. The ion trace creates a direct path from the drain to the source of the transistor. The resistivity of the trace is inversely proportional to the carrier concentration, depends on the LET of the incident ion, and increases as the trace expands (by ambipolar diffusion of the carriers). Because of the large difference of potential, an electron current injected by the source flows toward the drain, 3) a hole current is generated hf avalanche. The flow of the electron current generates, by avalanche in the base-collector depleted region, a hole current that flows toward the base. The avalanche conditions depend on the voltage applied and on the local temperature, 4) the bipolar transistor is maintained "on" (feedback effect). The base potential at the intersection of the ion trace results from the difference between the (in-going) avalanche current and the out-going current, limited by the access resistance at the base contact, 5) destruction of the bipolar transistor by second burnout takes place when the source-drain voltage is greater than the collector-emitter breakdown voltage, BVQER (which depends on the resistance R of the external circuit, between emitter and base). 7.3.2
Parameters affecting component sensitivity.
Three classes of parameters affect the sensitivity to SEB of power transistors. The first ones are determined by the physical structure of the component itself These are mainly: • base doping and base thickness, and the spacing of the base contacts, which determine the base access resistance.
O, Musseau
844
• the lifetime of the holes in the base, which controls the storage of the charges there (increase of potential). The second class of parameters which characterize the efficiency of the ion's interaction with the component includes the collector doping profile. The duration of the resistance of the ion trace between the drain and the source does depend directly on the ratio between the doping and the density of charges generated. The last parameter is the drain-source operating voltage VQ^ that determines the avalanche multiplication factor and the possibility of second breakdown. For each value of VQ^, we can therefore determine experimentally a threshold LET as well as the variation of the SEB cross section as a function of the LET. The determination of the cross section, defined as the ratio of the number of observed SEB to the particle fluence, assumes that either a large number of components are to be tested or that a nondestructive test is to be performed on a small sample (the test is kept non-destructive by limiting and tuming off the supply current). It seems, however, that ageing effects (with increase in sensitivity) are observed in the latter case. Experimental results show that the sensitivity to SEB decreases as the angle of incidence increases [82], in contrast to what is generally observed for logic errors. To compute the SEB rates in flight, the idea of a sensitive volume (parallelepiped) is therefore only a rather untrustworthy approximation. 7.4
SINGLE EVENT G A T E RUPTURE
(SEGR)
IN A POWER M O S TRANSISTOR.
The most recent model of gate rupture in MOS power transistors [83] includes the following sequence of events: • the holes, collected along the trace made by an ion that goes through the oxide and the drain (Fig. 12.32), accumulate under the interface (most likely according to a mechanism analogous to that described for a MOS capacitor) before flowing laterally toward the p regions, • the excess positive charges at the interface temporarily increase the electric field in the oxide. Ion Track |
p Gate Source
Fig. 12.32 Illustration of the Single Event Gate Rupture occuring in a power MOSFET. After [83].
Drain
The Effects of Cosmic Ions on Electronic Components
845
• this redistribution of the field modifies the distribution of the holes trapped in the oxide, as well as the leakage current through the oxide. Gate rupture results therefore from the increase of the electric field in the oxide, simultaneously with the lowering of the breakdown field due to the flow of the hole current, (More details on oxide breakdown can be found in Chap. 3 of this volume). 7.4.1
Effects of ion LET and bias voltages.
The above mechanism depends on the drain-source bias voltage (y^s)^ on the gatesource voltage (^^5), and on the density of the generated carriers. The first measurements, for ^GS = 0 V (same conditions as SEB) showed a LET threshold of about 14 MeV/(mg/cm2), below which no rupture was observed [84]. On the basis of a systematic study on DMOS devices with a gate oxide of thickness ^ox == 50 nm, the following relation was found between the threshold LET, in MeV/(mg/cirf), and the applied voltages - VQ^ and V^^ [^5]:
V^,=OMVj,s
f-LEr ,
^^ LET 52
(12.22)
The sensitivity threshold thus decreases when one or the other of the two voltages, - VQS or V^s increases. 7.4.2
Effect of the angle of incidence and of temperature.
The increase in the LET threshold for increasing angles of incidence (i.e. further away from the normal incidence) [84] seems to be mainly due to the collection of charges in the silicon. At oblique incidence, the holes cluster under a broader area at the silicon-oxide interface. The local excess hole density is therefore less than at normal incidence, which reduces the transient increase in the electric field in the oxide [86]. The increase in sensitivity with rising temperature also seems to be determined by the excess hole density at the silicon-oxide interface. Numerical simulations show that the equilibrium between the axial current (arrival of the holes at the interface) and the radial current (holes evacuated toward the channel, as illustrated in Fig. 12.32) is displaced to the detriment of the latter. The maximum transient electric field varies then approximately as the square root of the temperature [86]. 8 COMPARATIVE SENSITIVITY TO SEU OF VARIOUS TECHNOLOGIES. Once we know the structure and the dimensions of the elementary transistors, it is possible to determine approximately the sensitivity of the various technologies. This theoretical analysis provides a basis to compare the various technologies (for a given integration level) and to detect those factors that govem, to the first order, the sensitivity as a
846
O, Musseau
function of increasing integration. Experimental results validate the method and help in guiding the user's choices. 8-1 GEOMETRIC FACTORS DETERMINING SENSITIVITY TO LOGIC ERRORS. For a simplified analysis, circuit sensitivity may be characterized by only two parameters (see Fig, 12.10A): • the asymptotic cross section^Goo, or the sensitive area of the circuit, • the sensitivity threshold {LET threshold), closely related to the collection length. 8.1.1 Sensitive area. The sensitive area of the circuit is equal to the sum of the areas of the largest np junctions of each elementary transistor. The asymptotic cross section is the device cross section measured when the ion LET reaches its maximum value. 8.1.2
Collection length.
To determine the effect of the physical structure on the sensitivity threshold, we assume that the critical charge is the same for equivalent circuits, even if manufactured in different technologies {e.g, static memories). If we assume that collection by funneling predominates over diffusion (which is equivalent to neglecting the couplings among neighboring transistors), Equ. (12.9) indicates that the threshold LET is inversely proportional to the collection length. The collection length, for the junction defining the sensitive area, is schematically equal to the funneling length in this junction, plus the distance from this junction to the circuit surface (to account for the coupling of the various stacked junctions). 8.1.3
Theoretical comparison of three technologies: bipolar, CMOS, and CMOS on insulator.
In Fig. 12.33, three technologies are compared: the bipolar technology (Fig. 12.33a), the CMOS technology (Fig. 12.33b) and the CMOS on insulator technology (Fig. 12.33c). For each technology, the generic structure is given in the left column whereas the depleted regions are identified in the center column. The collection lengths (taking into account both funneling and coupling phenomena) in the largest depleted regions, are shown in the right column.
The Effects of Cosmic Ions on Electronic Components
Sensitive area Sj [^ln2]
847
Collection length X,. [fim]
Minimum
Maximum
Minimum
Maximum
80 50 0.5
300 150 5
10 5 0.1
20 10
|Bipolar TTL CMOS |cMOS/SOI
1
|
1
Tab. 12,3 Sensitive area and collection length in three typical technologies.
B
n+
n+
buried layer n+
substrate p-
A NMOS S ® D
n+'
^i:^
PMOS D ^ S n well
^ ^ p+
p-epilayer
^/////////////////////////////A
depleted regions
p+substrate
B NMOS
PMOS
S § D
D 2 S
depleted regions
buried oxide
silicon substrate
Fig. 12.33 Illustration of the sensitivity to heavy ions of three basic technologies: bipolar (row a), CMOS (row b) and CMOS on insulation (row c) (see text). In figures of column 3 (rows a and b) the black trace represents the collection zone whereas the gray part represents the coupling zone.
848
O. Musseau
For the same integration level, and therefore for approximately the same critical charge, the sensitivity domains of these three technologies are plotted in Fig. 12.34. Along the Y-axis have been plotted usual values of asymptotic cross sections while values of the "relative sensitivity threshold" (o^ l/X^) have been plotted along the X-axis.
1E+3 - q
1
1—I
I I I I 11
1
1—I
I I I I i|
1
1—I
I I I I H
Bipolar ^^
1E+2 -A
CMOS
7) (O
e
CMOS/insulator
o
1E-1
1—I—I 1E-2
I I 1111 1E-1
n—I—I
I I I 111
~ i — I — I
1E-»-0
I I I 11
1E+1
Relative sensitivity threshold
Fig. 12.34 Illustration of the relative sensitivity to SEU of three different technologies: bipolar, CMOS/bulk, CMOS/SOI (see text). 8,2
EXPERIMENTAL COMPARISON OF DIFFERENT TECHNOLOGIES.
We have chosen to compare the sensitivity of static memories, for which consistent if not identical test methods have been universally adopted. We have retained from annual compilations only those results for which both the threshold LET and the asymptotic cross section are indicated [29, 87-92]. Some results obtained independently on CMOS/SOI components have been added [27, 93-95]. This gives us more than a hundred components ranging in capacity from 64 bits to 1 Mbit. These memories belong to five different technological families representative of ordinary commercially available products from 1980 to 1992 (bipolar, NMOS, CMOS, CMOS/SOS, and CMOS/SOI). In Fig. 12.35, where the results are presented, the asymptotic cross section (per bit), G«,, expressed in [cm^] (see §4.2.2), is plotted as a function of the threshold LET, L^^ [MeV/(mg/cm2)]. Despite the dispersion of the data, which is due to the different
The Effects of Cosmic Ions on Electronic Components
849
technological variants and their evolution over the years, each technology can be characterized by overall trends. NMOS and bipolar circuits have a relatively low threshold and a high cross section, in agreement with the preliminary analysis. The technologies on insulator (CMOS/SOS and CMOS/SOI), on the other hand, have a high threshold and a very low cross section, which gives them a very good intrinsic immunity to cosmic ions. + Bipolar « CMOS
o CMOS/SOS NMOS 5e-3 err./bit.day
1E+05 ^
5e-6
vo6~y
I
1E+0W
CO
I
1E+00 -i
m
1E-01 -i
L5e-1
1E-02 -i 1E-03 0.1
1.0
10.0
100.0
1000.0
LET threshold [ MeV/(mg/cm2) ] Fig. 12.35 Illustration of the relative sensitivity to SEU of SRAM manufactured in four different technologies: NMOS, CMOS, CMOS/SOS and CMOS/SOI. Each dot represents a set of two experimental values: the LET threshold and the SEU cross section per bit. Slanted lines correspond to constant SEU rate (see text).
To compare the sensitivity of these various technologies more easily, we can calculate a "factor of merit", computed only on the basis of the SEU sensitivity threshold and of the asymptotic cross section (L^^ and o^). To do so, we use the simplified expression for the error rate per bit and per day, T^, calculated for a geosynchronous orbit, and under radiation environment conditions representing 90% of the cases. T^ is given by [96] (see § 10.1):
850
O. Musseau T.=£2ii^
(12.23)
The slanted lines in Fig. 12.35 corresponding to this formula represent constant error rates o^ocLj^. This new reading of the diagram clearly shows the difference of sensitivity of the various technologies. Moreover, these experimental results confirm the analysis made in § 8.1 on the basis of the physical structure of elementary transistors. 9 EXPERIMENTAL SIMULATION TECHNIQUES AND MEANS. The physical explanations given in the above sections on the effects of cosmic ions on electronic components are not sufficient to develop a macroscopic model of the phenomena encountered, nor do they provide a means of accurately predicting the failure rates in a given operational environment {i,e. knowing: orbit, shielding, etc.). Experimental results are therefore needed. 9.1
ADVANTAGES AND SHORTCOMINGS OF THE EXPERIMENTAL APPROACH.
The experimental approach is indispensable for: - studying the charge collection mechanisms in elementary structures, and validating the models and computation codes, - determining the failure modes of real components (logic errors, latchup, destruction), - quantifying component sensitivity, e,g, by determining the asymptotic cross section and the threshold LEr. Compared to the cosmic environment, there are at least three conditions that cannot be met when testing on the ground: - low flux of heavy ions (unlike what happens in space, and to shorten the tests, the fluxes must be large), - ion flux isotropy (unlike what happens in space, the ion flux is unidirectional), - very low dose rate (the effects of the other radiation constituents in space, which after a few years irreversibly degrade the electric characteristics of the components, cannot be simulated). The experimenter must therefore simulate the effect of cosmic ions while, for technical reasons, restricting the range of variation of some parameters (energy, energy deposit, depth of penetration, and so forth), in order to get results that will allow predictions of flight properties, at lower cost. Since the circuits are mainly sensitive to the energy deposited by the ion, ground tests make use of the fact that the ion stopping power varies as a function of the mass and energy
The Effects of Cosmic Ions on Electronic Components
851
of the ion (see the Bragg curves in Fig. 12.4). For each particle, any LET less than the Bragg curve maximum can be obtained for two or even three different energies. Since the critical energy of a circuit is assumed to be independent of the mass of the ions, it is possible to obtain it by using lighter ions at lower energy (see Bragg curve Fig. 12.4). Therefore cosmic ions of a few hundred MeV/a.m.u., which are not commonly available in today*s accelerators, can be replaced by other lighter, less energetic ions. This method of simulating cosmic ions by terrestrial means generally does not take into account the effect of the ion trace structure. For a given LET, the mass of the ion and its incident energy determine the radial density of the energy deposit. When the dimensions of the sensitive regions are close to the diameter of the trace, the equivalency of the LET% ceases to be strictly verified. The various testing facilities available today are: • accelerators, in a broad range of energies, • radioactive sources, • lasers. 9.2
ACCELERATORS.
Tab. 12.4 indicates the basic characteristics of various simulation domains, along with a few available accelerators. Energy 1 [MeV/a.iii.u.] <1 1-10 10-100 100-1000 |>1000
LET [MeV/mg/cm^] 0-100 1-100 0.1-50 0.01 - 10 0.01 - 1
Accelerator
Range 10 pm 100 pm 1 -10 mm cm m
Van de Graaff tandem BNL, Chalk River Ganil Satume, Bevalac, GSI RHIC, CERN
1 1 | 1
Tab. 12.4 Characteristics of the main accelerators that may be used for ground testing.
9.2.1 Accelerators in the very high energy domain. The most direct way of simulating cosmic ions is to use particles whose mass and energy are present in the cosmic radiation spectrum. The main difficulty with this is getting an accelerator that can generate beams of high-energy - even relativistic - iieavy ions. Indeed, given the energy levels of cosmic ions (over 100 MeV per nucleon), in order to get adequate energy deposits in the components to be tested, massive particles (heavier than
852
O, Musseau
carbon) are needed, and there are few machines capable of providing these beams. Up until 1992, Bevalac (Berkeley, USA), GSI (Darmstadt, Ger.) and Satume (Saclay, Fr.) were the best three accelerators in this energy range. With the announced closing of two facilities (Bevalac and Saturne after 1997), they may be replaced by the RHIC accelerator in Brookhaven when it begins service, and by the acceleration of heavy nuclei by the CERN's machines. The major interest in using relativistic ions identical to those found in cosmic radiation stems from their very large penetration depth in the material, which simplifies the experimental devices (irradiation can be performed in air) and makes it possible to study the various angular configurations encountered in space (grazing incidence, or irradiations from the rear face). However, at these high energies, the dispersion in the local energy deposit must be taken into account. This dispersion is due to the energy the energetic primary electrons take with them outside the sensitive volumes [25]. 9.2.2
Accelerators in the moderate energy domain.
Testing circuits in the moderate energy range from, i.e. from 5 to 100 MeV/a.m.u., is becoming commonplace today. A number of accelerators are available for this, and specific irradiation lines have been developed. The main advantage of these machines is that they can accelerate most ions, from carbon to uranium, and therefore cover a broad range of LETs, so that all of the tests can be performed on the same machine. Depending on the specific characteristics of each machine, the irradiation can be performed either in a vacuum (BNL, Chalk River, and elsewhere) or in air (Ganil). 9.2.3 Accelerators in the low energy domain. One method still commonly used to simulate cosmic ions is to use low-energy heavy ions produced by Van de Graaff tandem type accelerators. The particles most widely used have masses ranging from 12 to 197 a.m.u., and total energies generally less than a few hundred MeV (around the Bragg peak). These low-energy particles have penetration depths limited to a few tens of micrometers, and LET^ that can vary over the thickness of the sensitive layers, which complicates the energy deposit estimations. The advantage of this energy domain is that it is easy to use. Masses or energies can be quickly changed on this type of machine, and particles can be used as probes, to gain an experimental knowledge of the various sensitive layers and of the couplings between them (case of bipolar components [97]).
The Effects of Cosmic Ions on Electronic Components 9.2.4
853
Ion microbeams.
Nuclear microanalyzers were put to rather early use in studying the effects of ions in electronic components [98]. The advantage is that they can either localize the interaction in the useful region of the component (to avoid edge effects) or, by scanning the beam over the circuit, to identify the sensitive regions directly. These micrometric beams are now frequently used in measuring charge collection, either in elementary transistors (for determining the different collection mechanisms) [65, 99] or in complex circuits. For analyzing the sensitivity of complex circuits, the most promising technique consists in scanning the component surface with an ion micronic beam and measuring, consecutively or simultaneously on the same sample [100]: • the number of events, logic errors or latchups, • the charge collected by each event, as measured on the circuit power supplies [101], • the secondary electrons emitted by the circuit, to obtain an image of the irradiated region. For each beam position, the collection length is determined by the position of the peak in the amplitude spectrum of the collected charge. The sensitive areas are obtained by comparing the image of secondary electrons (by which the metallic interconnection layers can be localized) with the mapping of the collected charges. Today's resolution limit of 0.2 pm [100] lets us analyze component technologies using gate lengths above 0.5 pm. With the exception of the GSI accelerator (Darmstadt, Ger.), whose microanalyzer operates with beam energies between 1.4 and 20 MeV/a.m.u., which leads to LET values between 0.7 and 70 [MeV.mg ^cm^], the other available facilities are limited to lower energies. Their fields of application are therefore generally restricted to sufficiently sensitive components.
9.2.5
Irradiation apparatus.
The irradiation apparatus must fulfill three functions: • it must expose the component under test to the particle flux, • it must determine the particle fluence and flux, • if possible, it should determine the ion energy and the homogeneity of the beam. For incident energies of less than 10 MeV/a.m.u., the shallow penetration of the ions into the material (see Tab. 12.4) makes it imperative to operate in vacuum. Technological problems then arise (e.g. positioning and replacement of components under vacuum; vacuumtight electrical feed-throughs, and so forth).
854
O. Musseau
Beyond 50 MeV/a.m.u., most irradiations are performed in air, in order to reduce these technological difficulties. In most cases, radioactivity protection constraints prohibit operator access to the irradiation room when the beam is operating. The components must therefore be tested remotely. Moreover, some components requiring peripheral circuitry for operation (microprocessor, analog components, and so on) must also be separated from the test boards, which limits the maximum usable signal frequency. There exist five methods for counting the fluence of particles impacting the circuit. i) A detector can be placed in front of the circuit and count all the ions that then reach the component. The main advantage here is the exact count. The disadvantages are: the disturbance of the beam (divergence, production of secondary particles, loss of ion energy in the detector), the large sectional area of the detector (which limits the flux by saturation of the counting circuits), and the rapid degradation of the detector if it consists of a semiconductor diode. ii) The detector can be placed in front of the circuit and count a fraction of the particles reaching the component, which avoids the main disadvantages of the previous method. iii) The detector and the circuit can be placed side by side and be irradiated simultaneously by an assumedly uniform beam. If the uniformity is poor, this can be compensated by determining the beam profile beforehand; but the beam position must necessarily be stable. iv) The detector can measure part of the beam diffused by a target placed in the beam before the circuit. This method lets us increase the flux of particles impinging on the circuit, without being limited by the counting rate of the detector electronics. It applies especially well to protons. When the beam area is large, the diffusion source is no longer a finite point, and it is preferable to use two consecutive detectors counting in coincidence at the same diffusion angle (telescope). v) The detector and circuit can switch position, to alternate the irradiation and counting sequences. The accuracy of the result depends then on the time stability of the beam. The choice of a counting method depends essentially on the energy of the incident ion and on the irradiation area (a few hundred mm^ by defocusing the beam, or a few cm^ by scanning). The detectors usually employed are: • partially or totally depleted semiconductor diodes, which directly yield the spectrum of the charge generated in bulk silicon. Like any electronic component, these diodes are sensitive
The Effects of Cosmic Ions on Electronic Components to the total fluence of particles, and undergo major degradations beyond 10^^ ions/cm^. The usual dimensions of such diodes are 100 to 1000 mm^, with thicknesses ranging from 20 to 1000 pm, • scintillators combined with a photomultiplier tube, for constructing detectors of very small dimensions (a few mm^), • wire chambers, for determining the spatial distribution of the beam, • parallel-plate detectors in which each ion triggers an avalanche of electrons between two plates placed at different potentials, • detectors of the secondary electrons emitted when the ions interact on a target or directly on the circuit. 9.3
RADIOACTIVE SOURCES.
Radioactive sources (alpha emitters and spontaneous fission sources) are commonly used for producing energetic heavy ions. The low cost of such sources and their ease of use make them very popular in laboratories, particularly for developing tests and quickly sorting the components before beginning accelerator tests (see picture 12.39). Their major limitation stems from the low energy of the particles emitted, which limits the penetration depth, and the energy deposited in the deeper layers of the circuits. 9.3.1 Spontaneous fission sources (Californium 252). Sources of spontaneous fission use transuranian elements obtained by reprocessing irradiated nuclear fuels. These heavy, unstable nuclei split spontaneously into two parts, ejected in opposite directions. The masses of the fission products are rather high, and the energies are below those of the Bragg peak (see Fig. 12.4), which leads to high LETs. The most common sources use califomium (^^^Cf) [103-105]. i) Physical characteristics of the emitted radiation. The two disintegration modes of californium 252 are fission and the emission of a particles as indicated in Tab. 12.5 [106]:
855
O. Musseau
856 |Lifetimeof252cf Percentage of a disintegration
[Percentage of spontaneous fission [Spontaneousfissionperiod
2,639 years 96.91% 0.2% 15.2% 81.6% 3.09%
| 5.98 MeV 6.08 MeV 6.12 MeV
85 years
Tab. 12.5 Californium 252 disintegration modes.
The lifetime of californium is in fact limited by the a disintegration. Only 3% of the nuclei fission. So a source whose nominal radioactivity is 37 kBq (or 1 pCi) emits 3.6 X 10^ o/s and 1.1 x 10^ ions/s. Each fission is accompanied by the emission of about three fast neutrons (2.3 x 10^ neutrons/s jig), with an energy spectrum whose maximum is about 2 MeV but which extends up to 10 MeV. The y emission displays two main rays at 43.4 and 100.2 keV [107]. The fission products are generally p- emitters, and give off y radiation as they disintegrate. For a source of 1 |iCi, the p and y dose rate outside the irradiation chamber is less than 0.1 jiSv/h, or 10 prem/h (whereas it is of the order of 50 jiSv/h in contact with the source) [108]. Lastly, irradiation of an electronic circuit by a californium source induces also a major energy deposit in the form of a cumulative dose, due: to the radioactivity of the implanted fission fragments, to the a emission of the californium and of its decay products; and to the y radiation of all the radioactive matter formed. In the usually asymmetrical fission of the nucleus, the two ions are emitted in opposite but slightly different directions, because of the momentum imparted to the emitted neutrons. The mass distribution of the resulting fission fragments displays therefore two very pronounced humps (heavy and light particles in Fig. 12.36) [109-110]. According to the law of conservation of energy, and because of the asymmetry of the masses, thefissionleads to an emitted ion energy spectrum (Fig. 12.37) [111] in which the heaviest ion has the least energy. The heaviest characteristics of each of the spectrum humps are [112]: • 106.2 a.m.u. and 102.5 MeV C^^Pd) for light ions, • 142.2 a.m.u. and 78.7 MeV (I'^^Ce) for heavy ions.
The Effects of Cosmic Ions on Electronic Components
857
Fig. 12.36 Mass spectrum of the ission products emitted by a californium 252 source.
80 120 Mass number
160
Fig. 12.37 Energy spectrum of the fission products emitted by a californium 252 source.
40 60 80 100 120 Fragment kinetic energy (MeV) The LET spectram of the fission fragments, computed from the previous distributions [113-115], is centered around a rather high value (Fig. 12.38). The fact that 95% of the fission fragments have a LET between 41 and 45 MeV/Cmg/cm^), which is greater than the maximum LET of cosmic ions [22], is one of the major arguments in favor of using californium sources for simulating cosmic ions. ii) Modification of the LET spectrum. The LET of californium can be decreased by slowing down the fission products, by inserting a material between the source and the circuit. The two proposed methods use either fine metal sheets or air, introduced at low pressure into the test chamber. Mapper et al. proposed using an aluminum foil as a moderator [114]. The main disadvantage of this technique are:
858
O. Musseau
• the complexity of the mechanical setup, which should allow the removal or addition of foil sheets without breaking the vacuum in the test chamber, lest the irradiation conditions be thus modified, • the need for prior calibration of all the sheets used, • the discontinuous variation of the LET. But the method does have undeniable advantages: • it allows for a separate adjustment: of the particle fluence (by varying the distance between circuit and source) and of the LET of particles (by varying the total thickness of all the sheets), • the energy losses are measured or computed for aluminum, whose atomic number is very close to that of silicon, which therefore requires only minor corrections. The calibrations show that it is possible to vary the LET of the fission products from 43 to 20 MeV/(mg/cm2), and that in a first approximation this variation is a linear function of the absorber thickness. The use of air as a moderator was originally proposed by Blandford and Pickel [115]. The advantage of this is that it does not complicate the mechanical design, while its main disadvantages stems from the fact that the LET and fluence vary simultaneously, and above all that the energy losses have to be computed for air. The range of LET variation seems to be equal to that found by the other method [116, 117]. Given the shallow penetration depth of the fission products, the use of any moderator at all for irradiation at oblique incidence must be absolutely prohibited. A picture of a 252 Cf irradiation facility (ESA) is shown in Fig. 12.39. 9.3.2
Alpha sources.
The energy spectra of alpha sources generally display only a small number of peaks, rather close to each other. So with a minimal error it is possible to consider that the radiation is mono-energetic, which considerably simplifies the energy loss calculations in the circuit layers. Unfortunately the energy of the a particles emitted by those sources whose lifetime is sufficient long to be useful experimentally, does not exceed 6 MeV. Thus a emitters are used to simulate low-LfiT cosmic ions. The penetration depth of between 10 and 20 pm allows them to go through all the active layers of circuits that include no deep buried layer.
The Effects of Cosmic Ions on Electronic Components
859
Fig. 12.38 LET spectrum of the fission products of a californium 252 source.
30
35
40 45 LET MeV/(mg/cm2)
50
The most commonly used sources are Americium isotopes 241 and 243, and Curium 244. Their a emission energies are presented in Tab. 12.6 [107]. 24iAm
243Am
244Cm
5.48 MeV 5.44 MeV 5.27 MeV
86%
5.22 MeV 5.80 MeV
13% 85% 12% 77%
5.76 MeV
23%
1
1
Tab. 12.6 Characteristics of the most widely used a emitters. 9.4
PICOSECOND LASERS.
Some laboratories have used picosecond laser pulses to simulate heavy ions [118-119]. The purpose of these first works was to demonstrate the feasibility of the simulations and to check that it is in fact possible to obtain the same specific effects as those induced by heavy ions. In order for laser beams to be usable for simulating heavy ions, they must give rise to energy deposits comparable to those of the ions, in terms of: density, radial distribution, penetration depth, and pulse duration. These various points have been studied in detail, to achieve an effective experimental method. The penetration of a laser beam into the component is limited by two factors:
860
O. Musseau
• the surface structure of the circuit, in particular with the presence of: totally opaque metallized areas, reflections in the passivation layers (oxides, nitrides) that can reach 20 to 50%, and absorption by free carriers in the highly doped regions (of the order of 5% for thin films) [119], • the wavelength of the light which, in order for absorption to occur, and carriers to be created, must be shorter than that corresponding to the energy of the semiconductor band gapBecause of the absorption, the beam energy decreases exponentially with depth (attenuation of the initial intensity by a factor of 1/e through the absorption thickness). The most commonly used lasers are Nd-doped YAGs, whose wavelength of 1.064 pm (1.16 eV, infrared) leads to an absorption thickness of 300 pm in silicon. When a frequency doubler is used to produce a green beam, the absorption thickness in silicon falls to 1.3 pm [120], making it inadequate for simulating cosmic ions. While modulating the laser frequency (e.g. by using dyes) does provide a way of varying the depth of energy deposit, it is nonetheless impossible to obtain the same deposit distributions as those of medium-energy ions, with a maximum at a given depth. The radial distribution of the energy is limited by: • the maximum density of the carriers that the laser beam can create, due to the absorption of the photons by the newly created free carriers, • the minimum beam diameter obtainable by focusing, • the diffusion in silicon and on the various interfaces (diopters) present in the microelectronic structures (Si02/Si and metal/Si02 interfaces, among others). The experimental setups (see Fig. 12.40), which use a microscope objective to focus the beam, can hardly achieve spots of less than one or two microns in diameter, which is the diffraction limit [118], with a theoretically gaussian radial energy distribution. By increasing the initial beam energy, the energy dieposit can be increased, due to the broadening of the region where the carrier density is maximum. The equivalent LET is computed as the LET of an ion depositing the same total charge density. This calculation uses the ratio of the pair creation energies of heavy ions (3.6 eV/pair in silicon) and of optical photon (1.17 eV/pair in silicon). It is thus possible to obtain much higher LET values than those obtained for heavy ions (up to 4 GeV/(mg/cm2) [121]). The distribution of the created carriers, with smaller maximum density and greater radial extent, is therefore slightly different from that produced by heavy ions. Measurements on test structures have shown that specific heavy ion effects (charge funneling, "short-circuit" along the trace) were reproducible using lasers [121] despite the
The Effects of Cosmic Ions on Electronic Components problems of energy deposition equivalency. Today, simulating cosmic ions by commercially available picosecond lasers is possible, and is affordable by any laboratory. The main advantages of this means of study are its low cost (compared with that of an ion accelerator), and the possibility of testing components on edge, and the possibiUty of precisely synchronizing the measurement with the irradiation. Furthermore focused lasers enable a precise determination of the sensitive regions in the components being tested (with a beam diameter of the order of a micron). This is indispensable to better understand the collection mechanisms in the complex structures of real components. Recent works have revealed the interest of focused picosecond lasers for determining the variation of the component sensitivity threshold [122]. It becomes possible to observe variations of the order of 30% of the energy required to induce an SEU, within a memory cell. This sensitivity variation as a function of the point of impact (on the scale of a micron) is the source of a gradual (as opposed to an abrupt) increase in the cross section as a function of theL^r. A few limitations must be emphasized, however. Let us first mention the problems of LET equivalence, which depend on the devices and effects studied. In the case of complex circuits, the laser beam can only be used to measure the sensitivity threshold, and not the cross section. This means, although inadequate for computing the error rate in orbit, does help in selecting the ion mass and energy for later accelerator irradiations. Lastly, laser simulation seems to be of littie interest for technologies with high integration level (micronic or submicronic), in which the characteristic dimensions become less than the beam cross section. 9.5
THE LIMITATIONS OF THE VARIOUS MEANS OF IRRADIATION.
The ground simulation of cosmic ions is based on the simplifying assumption that the effects of ions having the same LET (i.e. the same total energy is deposited in sensitive regions) are the same. However the structure of the ion trace and the penetration depth are two additional parameters which must be taken into account. 9.5.1 The structure of the ion track. The major theoretical advantage of simulating cosmic ions with heavy ions of intermediate energy is based on the hypothesis that the carrier collection process is independent of the radial charge distribution. The precision in the collected charge then depends only on the LET of the incident ions, given by the usual tables [123-126]. Some findings seem to shed doubt on this basic assumption, by showing a probable effect of the radial charge distribution. Measurements made under well-controlled experimental conditions show a systematic difference in the charge collected in a CMOS/SOS device (with a sensitive thickness known to within 10%) irradiated by an ion generating the
861
862
O. Musseau
.^s^^^^^f^^"'
Fig. 12.39 Picture of a 252 Cf irradiation facility (ESA) (after[105]).
Nd:YAG l a f r
[
Frequency B««m doublar splitter
Neutral d«n»lty ^^l^^r
Mirror
> a V—B-
\
-
A>
Energy "••^•^
T.V. monitor
Test circuit
^n
U ^
Fig. 12.40 Experimental set-up for picosecond laser simulation of cosmic ion effects (after [118])
The Effects of Cosmic Ions on Electronic Components same charge at two different energies [127]. This difference in the collected charge is attributed: to the initial diameter of the trace, which is larger for the more energetic ions, and to the initial recombination of the carriers. It should nonetheless be pointed out that the two publications that report an effect on the radial carrier distribution, for relativistic ions [128] and for medium-energy particles [127], lead to apparently contradictory results. 9.5.2
The penetration depth.
Irradiation by ions with too shallow a penetration runs the risk of limiting the extent of the charge funneling, particularly in components containing deep layers that are likely to play a role in collecting carriers far from the surface (such as CMOS technologies on epitaxial substrate, or bipolar technologies with highly doped buried layer). Considering the funneling lengths (from 5 to 20 pm) and the usual thicknesses of the semiconductor devices (less than 15 pm including the metallization and passivation layers), it is advisable to avoid the shorttrace effects, to choose ions with penetration depths greater than 30 pm. These short-trace effects are not representative of phenomena encountered in flight with cosmic ions, but are nonetheless of essential interest in determining the different sensitive regions of a component, and the critical charges associated with them [30, 97, 127]. These analyses, where the heavy ions are used to modulate the depth of the energy deposits (simply by varying the mass and energy of the incident beam), are of interest only for components which include several stacked junctions. 9.5.3 Variation of the angle of incidence. Although the cosmic ion flux is isotropic in space at least in high earth orbit, the component sensitivity is generally measured on the ground by irradiating it at normal incidence. It is nonetheless useful to test at oblique incidence, in some cases, either to modulate the energy deposit in the various layers of the circuit, or to study some edge effects, which depend on the topological structure of the components. Modulating the energy deposit by varying the angle of incidence is an effective means of studying the charge collection mechanisms in elementary devices, and of testing the validity of the models proposed [59,130]. Whenever this method is used for measuring the cross section, it is important to make sure that the penetration depth remains significantly greater than the thickness of the circuit sensitive layers [129]. The oblique incidence 9 is often taken into account by defining an effective LET, symbolized by LET^^ (see Equ. (12.3)). This has no physical meaning except if the LET remains constant throughout the thickness (elcosQ) of the sensitive layers, and for components whose successive layers have lateral dimensions that are much greater than their thickness (the edge effects can then be neglected).
863
864
O. Musseau 9.6 TEST METHODOLOGY.
The sensitivity to heavy ions of an electronic component is determined from an electric test performed under various irradiation conditions. For a given type of failure sought (SEU, SEL, SEB, SEGR, ...), the purpose of this test is to plot the component's cross section (representing its failure probability) as a function of the energy deposited by the incident ioni in the sensitive region of the component. Three classes of parameters may have an impact on the final experimental results: • electrical variables (power supply voltages, initial component configuration, static or dynamic operation, test frequency, etc.), • radiation variables (incident ion LET, ion energy, angle of incidence), • "environmental" parameters (temperature, cumulative dose received by the component). Since the sensitive regions are not known beforehand, and cannot be determined precisely by varying the above parameters, most of the test data is simply expressed by the variation of the cross section as a function of the LET (or equivalent LET) of the incident ions. 9.6.1 SEU: modification of the information. The testing of component sensitivity to logical errors is based on the comparison between reference data and data generated by the circuit being irradiated. Depending on the type of component, the error will either be stored in a register, which allows a static test, or for a dynamic system it will be present in the output data sequence. The reference data can either be stored beforehand in a memory, or can be produced simultaneously by an identical but non-irradiated component ("golden chip"). i) Electric test. Testing for SEU is rather delicate because it requires that the component be operated remotely, because the test system cannot generally be operated from the immediate vicinity (problems of vacuum, parasitic radiation, and so forth). This distance constraint makes more difficult the dynamic tests at high frequencies, as well as tests based on the synchronized comparison of two circuits. ii) Test frequency. Only those components prone to dynamic errors (analog components, microprocessors, synchronous logic circuits) have a sensitivity that may depend on the test frequency. When the signal switches from one logic state to the other, the decrease in the noise margins considerably lowers the sensitivity threshold to any transient disturbance. For set irradiation conditions, the number of observable errors is then directly proportional to the time during which the noise margins are below the voltage excursion due to the photocurrent.
The Effects of Cosmic Ions on Electronic Components
865
This increase in sensitivity with the frequency is, however, subject to some experimentally observed exceptions [131]. In static memories, localized irradiations by picosecond laser have revealed that sensitivity varies with the instant at which the irradiation occurs in the write/read cycle in a memory point [132]. When addressing the cell, the capacitance of the bit lines increases the switching threshold considerably, thereby turning the memory point insensitive. While this finding is important from the viewpoint of the physical mechanisms, it has no practical consequence on the use of memories. Memory sensitivity is therefore independent of the test frequency [133] (not to be confused with the refresh frequency of dynamic memories). Hi) Test program or pattern. Many publications have shown the decisive influence of the test pattern or of the test program, i.e. of the reference data stored or produced by the component, on the test results. • In the case of memories, the sensitivity differences observed between the 0 and 1 levels may result from asymmetries in the memory celK^) or from its immediate environment^^) [39, 134]. • For microprocessors, the influence of the test program stems from the different sensitivities of the various registers of the component [134], which may produce major differences in the sensitivity threshold and in the cross section (by factors of up to 10) [135] (Fig. 12.39). • For complex circuits, the ideal would be to be able to run ground tests with programs as close a possible to those required by the space application. iv) Different classes of multiple errors. The other important phenomenon revealed by various teams is the existence of multiple errors induced by a single particle passing through the circuit. These errors may be due to couplings among elementary cells (multiple data errors), or may result from losses of direction of the data flow in dynamic circuits (single address errors). At normal incidence, the coupling of the charge collection mechanisms (central funneling plus lateral diffusion) is responsible for error clusters in adjacent memory cells [45, 61,136] as illustrated in Fig. 12.40. At grazing incidence, it is because of the interaction geometry that a coupling occurs between aligned cells (as the ion trace will pass through a series of cells). Alinement of cells with errors are then observed (Fig. 12.41) [102, 137].
^^^ e.g. a static RAM cell (2 cross-coupled inverters) may be designed inside the chip using two different inverters due to layout constraints (to maximize integration). (^^ e.g. a cell may be close or far from the peripheral circuits (serial resistance of the data and bias lines).
866
O. Musseau
10
LET (Mcv mg-1 cni2) 20 30 40 50
60
l,0OE-02
53: l,0OE-O3
Ii=&:
m.
cross section (cm2) ^ l,00E-04
^ .
-r
wUh cache • CALC A FFT • TRI20 without cache
Fig, 12.41 The SEU cross section of a 68020 microprocessor is plotted as a function of LET. The various a (LET) curves show clearly the influence of the test program used during irradiation [135].
nCALC l,00E-O5
AFFT O TRI20
1,OOE-06
These multiple errors, which are expected to increase with the rising integration of circuits, make it more complicated to estimate component sensitivity. Indeed, although the entire physical area may not be sensitive to heavy ions, the sensitive area, calculated simply as the ratio of the number of errors to the particle fluence, may exceed the physical area because of the multiple errors. Two problems then arise. One is to define component sensitivity along with a method for measuring it, the second, already mentioned, is to know the precise location of the energy deposits and the various collection mechanisms, i.e. by funneling or diffusion. The other class of multiple errors exists in complex logic circuits and microprocessors. The propagation of transient disturbances within the circuits may induce an error in an address (e.g. in a register) instead of a simple data error. Because of the mistaken direction, an addressing error changes all the bits in a processed word, which can switch the system toward totally unexpected logic states. This propagation of erroneous data from an initial disturbance may be detectable at the component output only after a number of consecutive clock cycles, and thereby affect many bits [16]. Moreover, these errors of "direction" may trigger unexpected component operating modes other than the known elementary operations of the component [134]. 9.6.2
Effect of the ionizing dose accumulated during testing.
The sensitivity of complex, slightly hardened, components to logic errors varies with the ionizing dose. Either the sensitivity increases with increasing dose, as in the case of static MOS memories [98, 138-140] or else it decreases, as has been observed in the case of dynamic memories [141].
The
Effects of Cosmic Ions on Electronic Components
867
Moreover, the ionizing dose in a static memory may affect the sensitivity of the two logic states asymmetrically, sometimes even increasing the threshold of one of the two transitions [142]. This "imprint" phenomenon is because of the modification of the electric characteristics of the memory point because of mobility degradations and threshold voltage shifts. The sign change in the threshold voltage shift of NMOS devices with the dose leads to a (theoretically) unexpected behavior of the sensitivity of one of the two states. For some circuits (16 Kbit RAM Sandia SA3240), the 1-0 transition sensitivity threshold diminishes regardless of the dose. The 0-1 transition, on the other hand, has a threshold that increases at first, with the memory points becoming less sensitive, then returns below its initial value when the dose increases up to 500 krad (Si).
NE = 9
NR = 5
1
VDD = 7 volt
5
6 volt
JL Jl
i
5 volt
o
••••••
ui
7
UJ
NORMAL INCIDENCE KRYPTON IONS 295 MeV
10
JLLI 112
15
4 volt
>
llllllli 9
21
3 volt
•llllllllll
iMi
^^
0
1
-M
I
31
2.5 volt
5 10 15 0 NUMBER OF ROWS PER EVENT (NR)
L
hliillllllll
.MMJL
5
10 15 20 25 30 NUMBER OF ERRORS PER EVENT (NE)
35
40
Fig. 12.42 Illustration of multiple errors in a 256K DRAM irradiated under normal incidence for different supply voltages [159]. Histograms on the left illustrate the number of adjacent rows of cells perturbed by a single ion. Histograms on the right illustrate the total number of cells perturbed by a single ion.
9.6.3
SEL: functionality modification.
i) Electric test. Depending on the dimension of the elementary transistors concemed, it is possible to know when a parasitic bipolar structure begins to conduct in a circuit by detecting:
868
O, Musseau
• the increase in the circuit supply current (global test), • the impossibility of rewriting an erroneous bit without switching off the circuit supply (local test). 1000 MeV/n Pb 1. \jl
84.1»L»
'L 0.6 ^
r
E 0.2 h L
•~^1.1*|n
-.5 0.8
e 0.6 0.2
89.1'|
®®'^*ll
t
n r1
L.F.IJ .
•o 1. t
O 0.4
®^*^*F I T
1
% 0.41 c
f
MHS 65162 2k x 8 SRAM
1
^
^^•'*|'J1
1
4
12
20
4
1
p1
12 20 4 12 20 x-track length (bill
•
96.1*
^^•^*h
L
W.v^A
fl
.
L 4
12
20
Fig. 12.43 Illustration of multiple errors in a2Kx8 SRAM (manufactured by MHS) irradiated by ions at eight different grazing angles. The (normalized) number of error traces is plotted as a function of the aligned cells with error [16].
In the first case, the current increase must be intense enough to be detected (e.g. SEL in a circuit output buffer) and must not be masked by the dynamic operation of the component (e.g. by read-write cycles in a memory). The detection system must also limit and then cut off the supply current without stressing the component. In the second case, the latchup is localized in a small part of the circuit and the internal access resistances naturally limit the consumption, avoiding any thermal destruction. The detection is based on identifying "stuck" bits whose content cannot be modified by writing the complement, but which remain functional after the supplies are cut off. The main difficulty is that the bit can be stuck at its reference value and therefore may not be detectable by simply reading it. ii) Identification of sensitive regions. The sensitivity to latchup can be detected early by performing localized irradiation, either by a picosecond laser or with a scanning electron microscope. The sensitive regions can thus be determined (circuit heart, registers, periphery, and so forth), and the appropriate test method (global or local) can be chosen.
The Effects of Cosmic Ions on Electronic Components Hi) Do heavy ion "latchup windows" exist? The parasitic thyristor is triggered as a result of a disequilibrium between the current injected by the ion and amplified by the various bipolar transistors, and the currently being evacuated toward the power supplies by the distributed resistors of the semiconductor volume. In the case of dose rate irradiation {e,g, to simulate a nuclear flash), the uniform generation throughout the entire volume of the component affects simultaneously the parasitic bipolar transistors and the internal resistors. Since the resistances decrease as the number of injected carriers increases, the latchup condition may cease to be satisfied when the dose rate increases. "Latchup windows" thus appear as the dose rate increases [21]. No analogous phenomenon has been mentioned in the case of heavy ions, since the latchup sensitivity increases regularly with the LET. The absence of a window is then due to the fact that the irradiation is localized along the ion trace, and cannot excite different and separate parasitic structures simultaneously. 9.6.4
SEB and SEGR: destruction.
For these two failure modes, the sensitivity is generally determined experimentally as a function of the supply voltages (gate, drain) for different values of the incident ion LET. Measurements are performed at rising voltages, to minimize the electric stress of the components. i) Burnout, Several test methods have been used to determine the burnout sensitivity of power MOS transistors: - nondestructive tests, in which the current passing through the transistor is limited [143], - static destructive tests, - dynamic destructive tests. In the latter case the MOSFETs are part of a complete system (converter, chopper supply, or other), tested in the dynamic mode [82]. The dynamic mode test reveals nondestructive events when the bumout current is below a threshold value (of a few amperes, depending on the component [44]). Under these conditions, the bumout rate (ratio of the number of events to the particle fluence) decreases as the junction temperature rises. By taking into account the transistor's junction temperature and its duty cycle, we get the same sensitivity for a static test and for a dynamic test [144].
869
870
O. Musseau ii) Gate Rupture,
Measurements of gate rupture phenomena in power MOSFET consist in detecting a leak age current between the gate and the drain. These destructive measurements are not synchronized with the irradiation, but are made immediately afterwards [85]. The test sequence includes the static biasing of the transistors, irradiation by a given fluence of particles, the measurement of drain and gate currents for a set gate voltage. If there is no breakdown, the static voltages are increased for another test sequence. 10 THE PREDICTION OF EVENTS IN ORBIT. To predict the rate of SEE likely to occur in a component placed in a real space environment is the ultimate purpose of the characterization work. The different prediction methods developed are based either on semi-empirical models or on computer codes. lO-I THE BASIC ASSUMPTIONS.
The SEE rate (also called error rate) in orbit, T^, can be calculated as the convolution product of the component's cross section, a (a function of the energy deposit in the sensitive region) by the differential spectrum of energy deposits. T^ can be expressed in the following integral form: 92
G(Z,E,e,i^ '^(Z,E,Q,(^)Sine
de d(^
(12.24)
In its more general formulation, this convolution is computed for all ions, of atomic number Z from 1 to 92, integrating over the particle energies, for all angles of incidence. A certain number of assumptions can be used to simplify the above expression and lead to a formula that can be used directly by the usual models [145]: 1) the energy deposited in a sensitive volume is equal to the energy lost by an energetic ion going through this volume, and computed from its LET, 2) ions having the same LET produce the same effects, 3 LET variations are negligible as the ion goes through the sensitive volume, 4) the charge introduced by an incident ion is the product of its LET by the collection length (equal to the chord of the sensitive volume, plus the funneling length, plus possibly a diffusion length), 5) the collection length is independent of the LET,
The Effects of Cosmic Ions on Electronic Components
871
6) the sensitive volume is convex (in fact we take a rectangular parallelipiped), 7) the particle flux is isotropic. The LET spectrum is independent of the direction of incidence. The main restrictions stemming from these assumptions concem the highly integrated components, for which the LET, which represents the mean energy loss, differs from the local energy deposit in the sensitive volumes. 10.2 T H E SEMI-EMPIRICAL MODELS.
10.2.1 The Petersen modeL Formula (12.23), proposed by Petersen is recalled below. It is a highly simplified expression used for determining quickly the order of magnitude of a component sensitivity to heavy ions (SEU and SEL) in geosynchronous orbit. £^^a^
Oo^
2.23)
This model is based on a description of the space environment by Heinrich's LET spectra, O {LET) [28]. Under conditions of minimum solar activity, which represent conditions superior to normal in 90% of the cases, the cosmic ion LET spectrum varies approximately as LET"^. The above simplifying assumptions lead to the replacement of the exact expression for the error rate by the following approximate formula, reduced to an integral over the LET: LET max
T^^ =
^^(LET).
a(LET) dLET
(12.25)
LET=0
which, when the thickness of the sensitive volume {i,e. the collection length) is small compared with its area, leads to expression (12.23) mentioned above. The creation energy of electron-hole pair enters into expression (12.23). It follows that the numeral coefficient which is 5 lO-^^ for Si, is equal to 3.5 10-^^ for GaAs. 10.2.2 The case of protons: the Bendel models. A semi-empirical model has been developed on the basis of experimental characterizations of components (SEU and SEL) [146]. In Bendel's initial version, the cross section curve as a function of the energy of the incident protons, Op(Ep) (in 10"^^ cm^/bit-proton), can be approximated by the expression (12.26) by taking a single parameter (A, expressed in MeV) as energy threshold:
872
O. Musseau
J4
0/^,^ = 1^
1 — exp\-0.18\
0.18\4
K-4
(12.26)
This early mcxiel has been improved to better approximate more recent experimental data, by introducing a second parameter 5 (having the dimensions of an energy, in MeV):
^p(Ep)
=
r
3"
I - exp\
.O.j2ff(E^-A)l
(12.27) y
V
In the absence of a specific measurement in protons, parameter A can be estimated from the heavy ion cross section curve by: A[MeV] = LEToj + 75
(12.28)
in which LETQI is the value of the LET when the cross section (for heavy ion effects) is one tenth of its asymptotic value. The error rate in orbit is then calculated by the convolution of the cross section by the proton flux, for a given altitude, according to an expression analogous in form to (12.25) (with the proton energy playing the part of the heavy ion LET). Figure 12.44 is used to determine the error rate per bit and per day, as a function of altitude, for different values of parameter A. SINGLE EVENT UPSETS BY PROTONS lo-r
Fig. 12.44 Variations of the proton-induced SEU rate (expressed per bit and per day) as a function oj altitude, for several components, on a 60 degree orbit [146].
10-* 200
1000
2000
ALTITUDE (NAUTICAL MILES)
5000
The Effects of Cosmic Ions on Electronic Components
873
10.3 THE COMPUTER CODES. Different approaches have been proposed, generally for Single Event Effects leading to quite similar computer codes, that deal distinctly with the cases of heavy ions and of protons. 10.3.1 The case of heavy ions: the basis of computations. The calculation of convolution (12.25) is based on one of the two following two methods: • use of an integral distribution of the chordlengths of the sensitive volume and of the differential flux of the particles, • use of a differential distribution of the chordlengths of the sensitive volume and of the integral flux of the particles. Four codes are in use today for heavy ions: • CRIER (Cosmic Ray Induced Error Rate Analysis) [148], marketed by the S-cubed company, • CREME (Cosmic Ray Effects on MicroElectronics) [149], and • CRUP (Cosmic Ray Upset Program) [150], both developed by the Naval Research Laboratory, • SPACERAD, marketed by the Severn Communication Corporation. These four codes calculate the LET spectrum of the heavy ions impinging on the component by taking into consideration its environment (shielding) and its orbit (which determines the magnetic rigidity needed for the cosmic particles to reach the component). The effects of solar activity, which considerably modifies the cosmic spectrum, can also be estimated, as can the possible effects of solar flares. None of these codes is based on an exact description of the physical charge collection phenomena. The results of these error rate calculations should therefore be taken with care, never assuming that they will agree with experimental results by better than a factor of 2, 10.3.2 Limitations of the sensitive volume concept. In all the SEE rate calculations, the component is characterized by a critical charge (or the corresponding critical energy) and by the number and volume of the sensitive regions (all assumed to have the same critical charge). The advantage of the sensitive volume concept is that it allows a simple calculation of the energy deposited in the circuit by a cosmic ion. That is, when the LET of the ions varies little with the energy {i,e, at high energies), the energy deposit dE in a given volume is simply the product of the LET by the length of the ion trace in this volume (chord of length /^):
874
O, Musseau dE^LETl^
(12.29)
The parallelepipedic sensitive volume is then considered as the product of the cross section (in the circuit plane) and of the charge collection length (perpendicularly to the circuit surface). It is used to compute a trace length distribution (chords of the sensitive volume) which, when multiplied by the LET spectrum, yields the spectrum of energy deposits needed to compute the SEE rate in orbit. In order for the sensitive volume to be of interest, the LET of the incident ions must be nearly constant over the longest chord L^ (up to a few tens of microns), which is only true for ions whose energy exceeds the Bragg peak. Lastly, this concept of sensitive volume rests essentially on the experimental determination of the cross section, by means of irradiations at normal incidence. This is thus a macroscopic result deduced from a characterization of the component as a whole, and reduced to a microscopic scale by taking into account the number of sensitive elements. There exists therefore no direct experimental correlation between the sensitive volume and the critical charge, except in the very rare cases of microanalysis [151]. Thus the effect of the irradiation^s geometric parameters (point of impact, angle of incidence) are not taken into account, nor are the resulting variations in charge collection mechanisms (preponderance of funneling or diffusion depending on the distance from the trace to the space charge zone, and so forth). What's more, the concept of sensitive volume ceases to be valid altogether when dealing with multiple errors. 10.3J The case of protons. Specific methods have been developed for dealing with protons. Protons act indirectly, by way of the secondary nuclei produced by the nuclear reactions. It is the energy deposit of these secondary nuclei in the sensitive volume that must be taken into account. The CUPID code (Cosmic Upset by Proton-Induced Displacements) uses a method similar to the one used for heavy ions [152]. The sensitive volume is surrounded by another volume (extending at least 4 pm beyond) where the nuclear reactions occur. The spectra of deposited energy in the sensitive volume and the probability of a given energy deposit are thus computed for each energy of incident protons. These results then must be correlated with the energy spectrum of cosmic protons to deduce the error rate in flight. One of the features of the CUPID code is that it includes the effects of oblique incidence. This leads to energy deposit spectra of the recoil nuclei which are quite different when the sensitive volume has one of its dimensions much smaller than the others [153]. 10.4 LIMITATIONS, DOMAINS OF VALIDITY.
The main limitations of the SEE rate prediction techniques stem from our incomplete knowledge of the charge collection mechanisms, and particularly of funneling. The bulk of the experimental work and semi-empirical models are limited to irradiation at normal
The Effects of Cosmic Ions on Electronic Components
875
incidence. It follows that the effects of the angle of incidence are rather poorly known and described. 10.4.1 The effective LET. The various models are based on the description of the component's sensitive volume. This volume is generally determined from the o(LET) curve or from the o{LET^^ curve for oblique incidence. This idea of effective LET is founded on implicit assumptions concerning the total collection length, including the funneling length. Recent experimental data [154] and a detailed analysis of geometric factors [155] show that the usual definition of the equivalent LET, given by expression (12.3) should be replaced by: LETeff = - ^
(12.30)
^ cos e All these difficulties originate in fact from the need to express the sensitivity of components as a function of the LET - a variable which describes the cosmic environment. When the exact dimensions of the sensitive volume are known, it is preferable to compute the deposited energy directly, and then possibly convert it into LET units, to calculate an SEE rate in space. 10.4.2 Comparison with in-orbit measurements. Comparing sensitivity estimations with real measurements in space is a difficult exercise yielding only a limited amount of data. Theses data are usually inadequate to provide an experimental basis for refining the models used. The best results come from specific experiments (e.g. the American CRRES satellite or ES A's UOS AT program). To generate the SEU rates with any accuracy, this data must also correctly take the functional state of the component into account (in operation, on standby, or off) [156], as this affects its sensitivity. Tables 12.7 and 12.8 summarize a few recent results for protons and heavy ions. This comparison clearly shows that the calculations of the in-flight SEU rate provide only an order of magnitude, and that it is not possible to estimate the margin of error, which varies from i% to a decade, depending on the component. The prediction tools available today must therefore be used with care when choosing components for a space project.
O. Musseau
876
Component Intel 2164 AMD 93L422 AMD 93422 Hitachi HM6116 Hitachi HM6264 Hitachi HM62256 Hitachi
Real rate (error/bitxday) 8.1x10-5
Predicted rate (error/bitxday)
3.1x10-3
2x10-3
16KSRAM
4.2x10-3 4x10-7
1.7x10-3 4.7x10-7
[158]
64KSRAM
2.9x10-7
2.7x10-7
[158]
256K SRAM
1.3x10-6
5.4x10-7
[158]
IMSRAM
4.7x10-7
2.7x10-7
[158]
Function 64KDRAM IK SRAM IK SRAM
Reference
3.5x10-5
[101] [157] [157]
|HM628128
Tab. 12.7 Comparison of sensitivity predictions and measurements performed in orbit. Effects ofprotons.
lintel 2164
64KDRAM
1.4x10-6
Predicted rate (error/bitxday) 1.5x10-6
AMD 93L422 Hitachi HM6116
IK SRAM 16KSRAM
2.7x10-'* 1.7x10-7
7x10-'* 1.4x10-6
Hitachi HM6264
64KSRAM
1.1x10-7
7.6x10-7
Hitachi HM62256
256K SRAM
1.1x10-6
2x10-7
[158]
Hitachi
IMSRAM
4.1x10-8
3.3x10-8
[158]
Component
Function
Real rate (error/bitxday)
Reference [157] [157] [158] !
[158]
|HM628128
Tab. 12.8 Comparison of sensitivity predictions and measurements performed in orbit. Effects of heavy ions.
11A BRIEF REVIEW OF PREVENTION AND HARDENING TECHNIQUES. The disturbances induced in electronic components by cosmic ions generally lead to space system malfunction or failure. Various things can be done to limit these effects, by modifying the: • circuit technology, i.e, the physical structure of the component or steps in the manufacturing process, • circuit design, i.e, its electrical diagram, the design of the elementary cells or transistors, • system, i.e. the way the various electronic circuits are assembled and interconnected.
The Ejfects of Cosmic Ions on Electronic Components
sn
Hardening actions directly affect either the component design or its technology. At the system level, though, it is not so much a matter of hardening as it is of correcting or preventing failures. In both cases only the electrical characteristics of these disturbances in the individual circuits are taken into account and not the underlying physical mechanisms. These three levels of action are rarely clearly identified as such, but rather appear naturally as projects progress. Changes can only be made in the elementary circuits in the medium or long term, and are rarely prompted by the requirements of a given single project. On the other hand the reverse is true of modifications made at the system level. System structures will change greatly with each project, and only the (analytical) methodology will remain the same.
Fig. 12.45 Schematic illustration of the many steps leading to a finished microelectronic device. 11.1 ANALYSIS OF THE COMPONENT MANUFACTURING SEQUENCE.
A complex circuit may be defined as an assembly of basic cells, which are themselves composed of elementary structures (transistor, logical switch, and so forth) intended to perform a particular function in a specific technology. Computer design and circuit layout tools have made it possible to consider the design of an electronic component separatelyfiromits manufacturing process. In fact, the entire cycle of creating a circuit can thus be considered, as a series of separate steps (Fig. 12.45). On the scale of a single component, this manufacturing cycle contains the three levels of action mentioned above (technology, basic cell, and assembly). Figure 12.46 illustrates schematically these three levels of action for a CMOS type technology. Modifying the technology is equivalent to changing the component's vertical structure. Optimizing the design of the basic cells is equivalent to modifying the component's horizontal structure. And
O. Musseau
878
lastly, various assemblies of increasing complexity can satisfy the same functional specification. The sensitivity of a complex component is then found simply by taking into account the intrinsic sensitivities of each of these three levels. 11.2 PHYSICAL HARDENING.
Physically hardening a component is a matter of reducing the total charge collected in an elementary structure.
Vertical structure
1
1 1
1
Horizontal structure
Electrical structure
• •
1
1 1
1
Guard ring
LAAAA.
L?
H
H
Fig. 12.46 Illustration of three levels of hardening in an electronic component. a) Technology: modification of the vertical structure of the component. The thickness and the doping of the sensitive junctions are optimized. b) Design: modification of the horizontal structure of the component. Spacing out of the sensitive regions. Adjunction of a guard ring. c) Cell assembly: modification of the electrical circuit. Example: an RC filter is introduced in a SRAM of CMOS technology by increasing the coupling resistances.
The sensitivity of elementary structures is governed by charge collection mechanisms, which depend very much on the parasitic structures created by the technology. These are all the electrical structures through which binary data do not transit, but which are nonetheless indispensable to the electrical performance of the component. These structures include: the epitaxial interfaces, well insulation junctions, vertical or lateral parasitic bipolar transistors, parasitic MOS capacitances, and so forth, which fundamentally modify the charge collection
The Effects of Cosmic Ions on Electronic Components
879
mechanisms in the dicxies or transistors. They all have been mentioned in the previous sections. It is the technological changes entailed that make physical hardening expensive and difficult to implement. To harden a given technology against SEE, it is first of dXl necessary to characterize the physical mechanisms precisely and then to isolate the decisive parameters (doping, film thicknesses, lifetime and carrier mobility) in order to be able to change them. The main tendency is presently to: - reduce film thicknesses, - increase layer dopings, - reduce lifetimes. 11.3 ELECTRICAL HARDENING: DESIGN AND ASSEMBLY.
11.3.1 Hardening of the basic electrical cells. Designing the basic cells is a key step that may either add to or compensate for the SEE effects in the transistors. When elementary structures are placed next to each other, they will naturally affect each other mutually in ways that may either magnify or reduce the transient disturbances. Hardening methods are based essentially on three concepts: • reducing the collected charge (or photocurrent) by dividing it among several neighboring elementary structures (not all of them being sensitive regions) and preventing the sensitive regions from reaching the critical charge (which occurs in the case of multiple errors [159]), • filtering the transient disturbance by means of capacitive decoupling (in the dynamic mode, the parasitic signal is directed to ground by a low-pass filter), • directing the transient signal toward an insensitive electric node (potential reference) by using a path of lower impedance than that carrying the data. It is the last of these methods that has been investigated the most, mainly by using electrical simulators to determine the critical charge for different variants of the electrical circuit. In static RAMS, the hardening method most commonly used consists in adding resistors in the cross coupling lines [160] to slow down the feedback circuit and give the disturbance time to damp out [161]. Indeed, the switching results from the competition between the flow of the current through the "on" transistor of the disturbed inverter, and the transmission of the voltage variations to the other inverter [162].
880
O, Musseau
In the simplest case of two resistors being added together, it seems that a few tens of thousands of ohms are sufficient to multiply the critical charge by ten [163]. When the critical charges computed for different resistances are compared with those determined experimentally, sometimes rather large differences are seen, which are probably due to the parasitic elements neglected in the simulation [164]. One variant of the capacitive filtering method consists, in static memory points, in connecting the two negative feedback lines with a capacitor. This distributes the disturbance between the two electric nodes, which raises the sensitivity threshold [165]. To work properly, the filtering methods, based on adding capacitors or resistors, assume that there is a frequency difference between the photocurrents and the useful signals. These methods are therefore naturally limited, and will most likely no longer be applicable to logic circuits operating at frequencies beyond a few gigahertz. 11.3.2 The effects of lay-out and routing. Even in the case of heavy ions, where the disturbances are occasional, isolated, and uncorrelated, the way the elementary cells are assembled may increase the global sensitivity [166]. This seems to be due essentially to the low reaction of a reference signal (supply, data) propagating through the conductors of the whole component, which is no longer capable of compensating the local disturbance induced by the ion. The environment of each elementary cell thus tends to degrade the characteristics of the functional signals it uses, and therefore tends to increase the relative importance of the disturbances. 11.4 ERROR DETECTION AND CORRECTION.
At the level of a complete electronic system, error propagation from one component to another poses a problem of "balanced vulnerability". Considering that it is not possible to make the entire system out of hardened components, the errors that occur in the unhardened ones may even cause malfunctions anyway in components which, being theoretically more critical, are constructed in hardened technologies [17, 167]. System hardening is therefore based on a prior functional analysis, and then on error detection and correction. 11.4.1 System analysis. The purpose of system analysis is to identify the network of interconnections among the components in order to determine: the normal data flows and the disturbance propagation paths. Three types of disturbances are possible: • data logic disturbances, which do not change the data being processed, • program logic disturbances, which change the way the data is processed, for example subsequent to an error in an address.
The Effects of Cosmic Ions on Electronic Components
881
• destructive disturbances, which may propagate from one component to another (overcurrent in the supply lines, in cases of latchup). In light of these disturbances of increasing seriousness, system analysis brings out the weak points that are statistically responsible for most of the malfunctions. 11.4.2 Prevention methods. Different methods may be used to reduce the sensitivity of a system's weak points. Error detection and correction techniques require a certain amount of redundancy in the data being processed (and sometimes even in the components). The technique is either to have some reference information {e,g, majority vote on more than two channels) or for the information to contain some error detection device {e,g, parity bit for each word stored). The overall electrical performance of the system is therefore always degraded, as the system complexity is increased and its operation slowed down (since the data has to be compared and some parts of the programs re-run). On the other hand, the prevention method which consists of using less sensitive, hardened, or "rad-tolerant" components, is quite often limited by the availability of this type of product. Moreover this method offers no guarantee against the propagation of "faults" from the outside world toward these components ("balanced vulnerability"). 12 CONCLUSION. The effects of cosmic ions on microelectronic components are a relatively recent problem that only became perceptible when the charge used to code logical data became less than the charge generated along the trace of a strongly ionizing particle. The origin of the observed effects are the charge collection mechanisms, due to the electric fields existing in the reverse-biased junctions in the components. None of the models proposed today includes all the physical phenomena at play as these highly disturbed structures return to equilibrium. Despite this difficulty in dealing precisely with the first few picoseconds, the final effects in a real circuit can be described with good precision on the scale of a nanosecond. One of the main difficulties, however, stems from the treatment of real structures, whose sensitivity (in the sense of data propagation and processing) is mainly governed by the response of the parasitic elements, which constitute the greater part of the bulk silicon. As the component dimensions decrease, this preponderance of parasitic elements is complicated by the appearance of couplings that can lead to multiple simultaneous failures. These are due to the fact that several neighboring structures may share the excess charges. As technologies develop to produce circuits of ever-increasing size and complexity, the integration of an increasing number of smaller and smaller transistors, operating at lower
O, Musseau
882
voltages, will increase the sensitivity to cosmic rays inexorably. In defining space equipment, the tradeoff between processing.power and sensitivity will bring about a reduction in safety margins. We are now close to the feature size (^ 0.3 micron) where direct ionization by proton will cause SEU. This will result in a very large increase in the SEU rate. It is certain that within a few years' time even commercially available circuits in wide use will become sensitive to the secondary particle cascades reaching the ground (Fig. 12.47). \k ionization threshold
1000
ionization threshold
100 .a>.
CCD156k CCDj4k
10
0.1 1E3
1E4
1E5
1E6
1E7
Critical charge [electrons] Fig. 12.47 Predicted variation of the sensitivity of circuits at ground level (i.e. the error rate per chip) as a function of the critical charge. This "old" figure of 1979 [11] shows the sensitivity of components using a lOV supply voltage and sensitive areas of 100 to 200 pim^. The arrows indicate the maximum charge generated by various particles of the "natural" environment.
What is needed to face this situation are: - methods for simulating single-event effects, - reliable tools for predicting error rates, first for the space environment and later for the earth environment, - methods for preventing malfunctions and correcting errors. Barring any sudden revolution in microelectronic technologies, it is probable that the global solution will not be to make systematic use of hardened components, which are rare, costly, and a restraint to innovation (in terms of complexity). It is thus at the full system level that new methods are needed to remedy the failures that are now inevitable in most applications. ACKNOWLEDGEMENTS. The author wishes to thank the AEROSPATIALE Cie and G. Barbottin for their help in translating this text into English.
The Effects of Cosmic Ions on Electronic Components
883
REFERENCES
[I] V.F.Hess, Phys. Zs. 12,998,1911. [2] J.T.Wallmark, S.M.Marcus, "Minimum size and maximum packing density of non-redundant semiconductor devices", Proc. ERE, 286, (1962). [3] D.Binder, E.C.Smith, A.B.Holman, "Satellite anomalies from galactic cosmic rays", IEEE Trans. Nucl. Sci.,NS-22,6,2675,(1975). [4] S.Hershberger, D.Hanson, Electron. News, 24,1, August 28, (1978). [5] S.Hershberger, D.Hanson, Electron. News, 24,1, December 18, (1978). [6] T.C.May, M.H.Woods, "A new physical mechanism for soft errors in dynamic memories", Conf. on Reliability Physics, San Diego, (1978). [7] T.C.May, M.H.Woods, "Alpha-particle-induced soft errors in dynamic memories", IEEE Trans. Electron Devices, ED-26,1,2, (1979). [8] D.S.Yaney, J.T.Nelson, L.L.Vanskike, "Alpha-particle tracks in silicon and their effect on dynamic MOS RAM reliability", IEEE Trans. Electron Dev., ED-26,1,10 (1979). [9] E.S.Meieran, P.R.Engel, T.C.May, "Measurement of alpha particle radioactivity in IC device packages", proceedings of the 17th annual conference on reliability physics, San Francisco, 24-26/04/1979. [10] C.C.Huang, A.J.Lewandowski, M.J.Nelson, S.R.Orr, "Component/system correlation of alpha-induced dynamic RAM soft failure rates", proceedings of the 17th annual conference on reliability physics, San Francisco, 24-26/04/1979. [II] J.F.Ziegler, W.A.Lanford, "Effect of cosmic rays on computer memories", Science, 206,776, (1979). [12] M.Brodsky, "Hardening RAMs against soft errors". Electronics, 117, April 24, (1980). [13] D.J.Redman, R.M.Sega, R.Joseph, "Alpha particle induced soft errors in microelectronic devices", Military Electronics/Countermeasures, Part 1: p. 42, March, Part 2 : p. 40, April, (1980). [14] E.L.Petersen, P.W.Marshall, "Single event phenomena in the space and SDI arenas", J. Rad. Effects : Res. Eng., Vol. 6, n^ 2,1,(1988). [15] J.CPickel, "Effect of CMOS miniaturization on cosmic ray-induced error rate", IEEE Trans. Nuc. Sci., NS-29, Vol. 6,2049, (1982). [16] T.C.May, CL.Scott, E.S.Meieran, lEEE/IRPS 95, International Reliability Symposium, Las Vegas, April 2-5,(1984). [17] D.M.Newberry, "Investigation of single event effects at the system level". Proceedings of Radecs-93, IEEE n^ 93TH0616-3,113, (1993). [18] B.L.Gregory, B.D.Shafer, "Latchup in CMOS integrated circuits", IEEE Trans. Nuc. Sci., NS-20, Vol. 6, 293, (1973). [19] A.Dantec, "Le phenomene de latch-up dans les circuits integres CMOS", Toute L'Electronique, TLE, 4 ^ , 45,(1981). [20] R.R.Troutman, "Latchup in CMOS technology", Kluwer academic publishers, Boston, (1986). [21] G.C.Messenger, M.S.Ash, "The effects of radiation on electronic systems". Van Nostrand Reinhold Company, New York, (1992). [22] T.A. Fischer, "Heavy-Ion-Induced, Gate-Rupture in Power MOSFETs," IEEE Trans. Nucl. Sci.., NS-34, Vol. 6,1786, (1987). [23] T.F. Wrobel, "On Heavy-Ion-Induced Gate-Rupture in power MOSFET's," IEEE Trans. Nucl. Sci., NS34, Vol. 6,1262, (1987). [24] O.Musseau, J.L.Leray, V.Ferlet, A.Umbert, Y.M.Coic, P.Hesto, "Charge collection mechanisms in MOS/SOI transistors irradiated by energetic heavy ions", IEEE Trans. Nuc. Sci., NS-38, Vol. 6, 1226, (1991). [25] M.A.Xapsos, "Applicability of LET to single events in microelectronic structures", IEEE Trans. Nuc. Sci., NS-39, Vol. 6,1613, (1992).
884
O, Musseau
[26] G.Deamaley, "Basic limitations on detector performance" in "Semiconductor nuclear-particle detectors and circuits". Nuclear Science Series Report n°44, Ed. W.L.Brown, W.A.Higinbotham, G.L.Miller, R.L.Chase, National Academy of Science, Washington, (1969). [27] O.Musseau, J.L.Leray, V.Ferlet, Y.M.Coic, B.Giffard, "SEU in SOI SRAMs. A simple static model", Proceedings of Radecs-93, IEEE n^ 93TH0616-3, 554, (1993). [28] W.Heinrich, "Calculation of LET spectra of heavy cosmic ray nuclei at various absorber depths". Radiation Effects, M, 143, (1977). [29] D.K.Nichols, L.S.Smith, W.E.Price, R.Koga, W.A.Kolasinslci, "Recent trends in parts SEU susceptibility from heavy ions", IEEE Trans. Nucl. Sci., NS-34,6,1332, (1987). [30] J.A.Zoutendyk, "Modeling of single event upset in bipolar integrated circuits", IEEE Trans. Nucl. Sci., NS-30,44540,(1983). [31] E.L.Petersen, "Review and reexamination of some basic SEE topics", 8th Single Event Effects symposium, Los Angeles, April 1992. [32] B.Doucin, Y.Patin, J.P.Lochard, J.Beaucour, T.Carriere, D.Isabelle, J.Buisson, T.Corbi&re, T.Bion, "Characterization of proton interactions in electronic components". Proceedings of Radecs-93, IEEE n^ 93TH0616-3,532, (1993). [33] F.Gardic, O.Musseau, O.Flament, V.Ferlet-Cavrois, M.Martinez, T.Corbi&re, "Analysis of local and global transient effects in a CMOS SRAM", presented at Radecs 95, Arcachon (Fr.), September 1995. [34] M.Mouis, P.Hesto, O.Musseau, "Mod61isation Monte-Carlo de Teffet des radiations sur un transistor MOS/SOI", Annales de Physique, ANPHAJ 14, 313, RADECS-89, La Grande Motte, (1989). [35] C.M.Hsieh, P.C.Murley, R.R.O'Brien, "A field funneling effect on the collection of alpha particle generated carriers in silicon devices", IEEE Electron Dev. Letters, EDL-2,4,103, (1981). [36] LP.Kreslcovslcy, H.L.Grubin, "Application of LBI techniques to the solution of the transient, multidimensional semiconductor equations", J. Comput. Phys, 68,420 (1987). [37] C.M.Hsieh, P.C.Murley, R.R.O'Brien, "Dynamics of charge collection from alpha particle tracks in integrated circuits", Proc. 19th Annual Int. Reliability Phys. Symp., Orlando, FL, Apr. 7,38, (1981). [38] Y.Patin, C.Humeau, G.Vidiella, "Etude exp6rimentale de la collection de charges dans des diodes pn irradi6es par des ions lourds", Annales de Physique, ANPHAJ 14, 225, RADECS-89, La Grande Motte, (1989). [39] C.Hu, "Alpha particle induced field and enhanced collection of carriers", IEEE Electron Dev. Letters, EDL-3,2,31,(1982). [40] L.D.Edmonds, "A simple estimate of funneling-assisted charge collection", IEEE Trans. Nuc. Sci., NS-38, Vol. 2, 828, (1991). [41] Y.Patin, J.P.Lochard, G.Gosselin, "R6ponse des composants electroniques aux ions lourds 6nerg6tiques", Proceedings of Radecs-93, IEEE n^ 93TH0616-3, 517, (1993). [42] E.Takeda, K.Takeuchi, E.Yamasalci, T.Toyabe, K.Ohshima, K.Itoh, "The scaling law of alpha-particleinduced soft errors for VLSI's", IEDM-86, Los Angeles, 542, (1986). [43] R.S.Wagner, N.Bordes, J.M.Bradley, C.J.Maggiore, A.R.Knudson, A.B.Campbell, "Alpha, boron, silicon and iron ion-induced current transients in low capacitance silicon and GaAs diodes", IEEE Trans. Nucl. Sci., NS-35,6,1578,(1988). [44] Z.Shanfield, M.M.Moriwalci, W.M.Digby, J.R.Srour, D.E.Campbell, "Characteristics of SEU current transients and collected charge in GaAs and Si devices", IEEE Trans. Nucl. Sci., NS-32,6,4104, (1985). [45] J.A.Zoutendylc, H.R.Schwartz, R.K.Watson, Z.Hasnain, L.R.Nevill, "Single event upset (SEU) in a DRAM with on-chip error correction", IEEE Trans. Nucl. Sci., NS-34,6,1310, (1987). [46] G.C.Messenger, "Collection of charge on junction nodes from ion trades", IEEE Trans. Nucl. Sc, NS29, fi, 2024, (1982) [47] F.B. McLean, T.R. Oldham, "Charge funneling in N- and P- type Si substrate", IEEE Trans. Nucl. Sc, NS29,6,2018,(1982). [48] T.R. Oldham, F.B. McLean, J.M. Hartman, "Revised funnel calculations for heavy particles with high dE/dx", IEEE Trans. Nucl. S c , NS33,6,1646, (1986).
The Effects of Cosmic Ions on Electronic Components
885
[49] R.M.Gilbert, G.K.Ovrebo, J.Schifano, "Plasma screening of funnel fields", IEEE Trans. Nucl. Sci., NS32,6,4098,(1985). [50] M.Shur, K.Lee, R.Choe, E.Berger, "Charge collection by drift during single particle upset", IEEE Trans. Nucl. Sc, NS-33,5,1140, (1986). [51] H.L.Grubin, J.P.Kreskovsky, B.C.Weinberg, "Numerical studies of charge collection and funneling in silicon device", IEEE Trans, on Nucl. Sc, NS-31,6,1161 (1984). [52] P.A.Tove, W.Seibt, Nucl. Instr. and Meth. M, 261, (1967). [53] E.CFinch, "Charge plasma erosion for short range partially and totally stripped ions stopped in silicon radiation detectors", Nucl. Instr. and Methods, 121,431, (1974). [54] LKanno, "Models of formation and erosion of a plasma column in a silicon surface barrier detector". Rev. Sci. lustrum., 58, Ifl, 1926, (1987). [55] J.G.Rollins, T.K.Tsubota, W.A.Kolasinski, N.F.Haddad, L.Rockett, M.Cerrila, W.B.Hennley, "Costeffective numerical simulation of SEU", IEEE Trans. Nucl. Sci., NS-35,6,1608, (1988). [56] J.AZoutendyk, L.S.Smith, G.A.Soli, R.Y.Lo, "Experimental evidence for a new single event upset (SEU) mode in a CMOS SRAM obtained from model verification", IEEE Trans. Nucl. Sci., NS-34, 6, 1292, (1987). [57] J.P.Kreskovsky, H.L.Grubin, "Simulation of single radiation particle tracks in silicon and gallium arsenide semiconductor devices", SRA final report R86-940004-F, (1986). [58] J.R.Hauser, S.E.Diehl-Nagle, A.R.Knudson, A.B.Campbell, W.J.Stapor, P.Shapiro, "Ion track shunt effects in multi-junction structures", IEEE Trans. Nucl. Sci., NS-32,6,4115, (1985). [59] A.R.Knudson, A.B.Campbell, J.R.Hauser, M.Jessee, W.J.Stapor, P.Shapiro, "Charge transport by the ion shunt effect", IEEE Trans. Nucl. Sci., NS-33,6,1560, (1986). [60] CMusseau, Cours Radecs (short course), Radecs 93,13-16/09/93 St Malo, France. [61] Y.Song, K.N.VU, J.S.Cable, A.A.Witteles, W.A.Kolasinski, R.Koga, J.H.Elder, J.V.Osbom, R.C.Martin, N.M.Ghoniem, "Experimental and analytical investigation of single event multiple bit upsets in polysilicon load 64k NMOS SRAMs", IEEE Trans. Nucl. Sci., NS-35,6,1673, (1988). [62] A.R.Knudson, A.B.Campbell, P.Shapiro, W.J.stapor, E.A.Wolicki, E.L.Petersen, S.E.Diehl-Nagle, J.Hauser, P.V.Dressendorfer, "Charge collection in multilayer structures", IEEE Trans. Nucl. Sci., NS-31, 6,1149,(1984). [63] J.P.Kreskovsky, H.L.Grubin, "Simulation of charge collection in a multilayer device", IEEE Trans, on Nucl. Sc, NS-32,6,4140 (1985). [64] J.S.Fu, CL.Axness, H.T.Weaver, "Two-dimensional simulation of single event-induced bipolar current in CMOS structures", IEEE Trans. Nucl. Sci., NS-31,6,1155, (1984). [65] A.R.Knudson, A.B.Campbell, "Charge collection in bipolar transistors", IEEE Trans. Nucl. Sci., NS-34, 6,1246, (1987). [66] J.A.Zoutendyk, E.C.Secrest, D.F.Bemdt, "Investigation of single event upset (SEU) in an advanced bipolar process", IEEE Trans. Nucl. Sci., NS-35,6,1573, (1988). [67] A.B.Campbell, A.R.Knudson, W.J.Stapor, P.Shapiro, S.E.Diehl-Nagle, J.Hauser, "Charge collection in CMOS/SOS structures", IEEE Trans. Nucl. Sci., NS-32,6,4128, (1985). [68] J.G.Rollins, J.Choma, W.A.Kolasinski, "Single event upset in SOS integrated circuits", IEEE Trans. Nucl. Sci., NS-34,6,1713, (1987). [69] S.E.Kems, "Transient ionization and Single event Phenomena", in "Ionizing radiation effects in MOS devices and circuits" T.P.Ma and P.V.Dressendorfer editors, John Wiley & sons. New York (1989). [70] M.A.Xapsos, A.B.Campbell, A.R.Knudson, W.J.Stapor, P.Shapiro, T.Palmer, T.T.McDonald, S.L.Swickert, "Charge collection efficiency related to damage in MOS capacitors", IEEE Trans. Nucl. Sci., NS-34,4 1214, (1987). [71] O.Musseau, J.L.L^ray, Y.M.Coic, Y.Patin, C.Humeau, "Effet des ions lourds dans les structures MOS et CMOS sur isolant (CMOS/SOI)", Annales de Physique, ANPHAJ 14, 6, 297, RADECS-89, La Grande Motte, (1989).
886
O, Musseau
[72] K.Belhaddad, R.Gaillard, CPoirault, A.Poncet, "Single event charge enhancement in SOI devices", IEEE SOS/SOI Conference, 2-4/10/1990. [73] L.W.Massengill, D.V.Kems, S.E.Kems, M.L.AUes "Single event charge enhancement in SOI devices", IEEE Electron Dev. Lett., 11,98, (1990). [74] M.L.Alles, S.E.Kems, L.W.Massengill, LE.Clark, K.L.Jones, R.E.Lowther, "Body tie placement in CMOS/SOI digital circuits for transient radiation environments", IEEE Trans. Nuc. Sci., NS-38, Vol. 6, 1259, (1991). [75] J.L.Leray, E.Dupont-Nivet, O.Musseau, Y.M.Coic, A.Umbert, P.Lalande, J.F.P6r6, A.J.Auberton-Herv6, M.Bruel, CJaussaud, J.Margail, B.Giffard, R.Truche, F.Martin, "From substrate to VLSI: Investigation of hardened SIMOX without epitaxy, for dose, dose rate and SEU phenomena", IEEE Trans. Nucl. Sci., NS35,6,1355,(1988). [76] M.Shoga, D.Binder, "Theory of single event latchup in complementary metal oxyde semiconductor integrated circuits", IEEE Trans. Nuc. Sci., NS-33, Vol. 6,1714, (1986). [77] A H. Johnston, B. W Hughlock, M. P. Baze, and R. E. Plaag, "The Effect of Temperature on SingleParticle Latchup", IEEE Trans. Nuc. Sci., NS-38, Vol. 6, 1435, (1991). [78] T.Aoki, R.Kasai, M.Tomizawa, "Numerical analysis of heavy ion particle induced CMOS latch-up", IEEE Electron Dev. Lett., EDL-7,5,273, (1986). [79] J.G.Rollins, "Heavy ion induced latchup simulation", TMA's 8th annual TCAD seminar, Santa Clara USA, 9 August 1990. [80] T.Aoki, "Dynamics of heavy ion-induced latchup in CMOS structures", IEEE Trans. Electron Devices, ED-35,11,1885,(1988). [81] J.H.Hohl, K.F.Galloway, "Analytical model for single event burnout of power MOSFETs", IEEE Trans. Nucl. Sci., NS-34,6,1275, (1987). [82] P.Tastet, J.Gamier, "Heavy ions sensitivity of power Mosfets", RADECS-91, European conference on radiations and their effects on devices and systems, IEEE catalog number 91TH0400-2,138, (1991). [83] M. AUenspach, J.R. Brews, I. Mouret, R.D. Schrimpf, K.F. Galloway, "Evaluation of SEGR Threshold in Power MOSFETs", lEE Trans. Nucl. Sci., NS-416,2160 (1994). [84] D.K. Nichols, J.R. Coss, K P. McCarty, "Single-Event Gate Rupture in Commercial Power MOSFETs", proceedings of RADECS-93, IEEE N^ 93TH0616-3,462, (1993). [85] CF.Wheadey, J.L.Titus, D.I.Burton, "Single Event Gate Rupture In Vertical Power MOSFETs; An Original Empirical Expression, lEE Trans. Nucl. Sci., NS-41, 6,2152,1994. [86] I. Mouret, M. AUenspach, R.D. Schrimpf, J.R. Brews, .F. Galloway, "Temperature and Angular Dependence of Substrate Response in SEGR, IEEE Trans. Nucl. Sci., NS-41,6,2216 (1994).. [87] D.K.Nichols, W.E.Price, C.J.Malone, "Single event upset (SEU) of semiconductor devices - a summary of JPL test data", IEEE Trans. Nucl. Sci., NS-30,6,4520, (1983). [88] D.K.Nichols, W.E.Price, C.J.Malone, L.S.Smith, "A summary of JPL single event upset test data from May 1982,tiiroughJanuary 1984", IEEE Trans. Nucl. Sci., NS-31,6,1186,(1984). [89] D.K.Nichols, W.E.Price, W.A.Kolasinski, R.Koga, J.C.Pickel, J.T.Blandford, A.E.Waskiewicz, "Trends in parts susceptibility to single event upset from heavy ions", IEEE Trans. Nucl. Sci., NS-32, 6, 4189, (1985). [90] D.K.Nichols, L.S.Smitii, G.A.Soli, R.Koga, W.A.Kolasinski, "Latest trends in parts SEP susceptibility from heavy ions", IEEE Trans. Nucl. Sci., NS-36,6,2388, (1989). [91] D.K.Nichols, L.S.Smith, H.R.Schwartz, G.Soli, K.Watson, R.Koga, W.R.Crain, K.B.Crawford, S.J.Hansel, D.D.Lau, "Update on parts SEE susceptibility from heavy ions", IEEE Trans. Nucl. Sci. NS-38, ^, 1529-1539, (1991). [92] R.Koga, W.A.Kolasinski, "Heavy ion induced single event upsets of microcircuits; a summary of the aerospace corporation test data", IEEE Trans. Nucl. Sci., NS-31,6,1190, (1984). [93] G.E.Davis, L.R.Hite, T.G.W.Blake, CE.Chen, H.W.Lam, R.DeMoyer, "Transient radiation effects in SOI memories", IEEE Trans. Nucl. Sci., NS-32,6,4432, (1985).
The Effects of Cosmic Ions on Electronic Components
887
[94] J.L.Leray, O.Musseau, E.Dupont-Nivet, J.F.P6r6, Y.M.Coic, "Etude de la sensibility aux ions lourds cosmiques et k la dose integr6e de microprocesseurs en tranches en technologies CMOS et CMOS sur isolant (CMOS/SOI)", 65me CoUoque international de fiabilite et de maintenabilit6, Strasbourg, 625, (1988). [95] N.Van Vonno, "256k SRAM", SOI Conference, Jacksonville, Florida, October 1992. [96] E.L.Petersen, J.B.Langworthy, S.E.Diehl, "Suggested single event upset figure of merit", IEEE Trans. Nucl. Sci., NS-30,6,4533, (1983). [97] J.A.Zoutendyk, C.J.Malone, L.S.Smith, "Experimental determination of single event upset (SEU) as a function of collected charge in bipolar integrated circuits", IEEE Trans. Nucl. Sci., NS-31, fi, 1167, (1984). [98] A.R.Knudson, A.B.Campbell, "Use of an ion microbeam to study single event upsets in microcircuits", IEEE Trans. Nucl. Sci., NS-28,6,4017, (1981). [99] A.B.Campbell, A.R.Knudson, D.McMorrow, W.Anderson, LRoussos, S.Espy, S.Buchner, K.Kang, D.Kems, S.Kems, "Ion-induced charge collection in GaAs MESFETs", IEEE Trans. Nucl. Sci., NS-36,6, 2292, (1989). [100] K.M.Horn, B.L.Doyle, F.W.Sexton, "Nuclear microprobe imaging of single event upsets", IEEE Trans. Nuc. Sci., NS-.39, Vol. 1, 7, (1992). [101] P.J.McNulty, W.J.Beauvais, D.R.Roth, "DeterminaUon of SEU parameters of NMOS and CMOS SRAMs", IEEE Trans. Nuc. Sci., NS-38, Vol. 6,1463, (1991). [102] S.Metzger, J.Dreute, W.Heinrich, H.Rocher, B.E.Fischer, R.Harboe-Sorensen, L.Adams, "Heavy ion microscopy of single event upsets in CMOS SRAMs", Proceedings of Radecs-93, IEEE n"" 93TH0616-3, 479, (1993). [103] T.K.Sanderson, D.Mapper, J.H.Stephen, J.Farren, "The use of a 252cf source in cosmic ray simulation studies on CMOS memories". Electronics Letters, 19,373, (1983). [104] R.A.P.Wiltshire, "The preparation and calibration of Californium 252 sources", Nucl. Instr. and Methods, A236.514, (1985). [105] T.K.Sanderson, "Further single event (SEU) testing of CMOS memories using the ALICE accelerator, IPN, Orsay", Harwell report AERE R-12566, (1987). [106] J.H.Stephen, T.K.Sanderson, D.Mapper, LFarren, R.Harbo-Sorensen, L.Adams, "Cosmic ray simulation experiments for the study of single event upsets and latch-up in CMOS memories", IEEE Trans. Nucl. Sci., NS-30,6,4464, (1983). [107] R.Pannetier, "Table de caracteristiques physiques et chimiques des elements, nucleaires et radioactives de leurs isotopes", in "Vade-mecum du technicien nucleaire", ed. SCF du Bastet, Massy, (1980). [108] T.K.Sanderson, "The use of Cf-252 for cosmic ray simulation", IFAC, Workshop on Electrostatic charges and discharges and cosmic ray interaction in satellites", Paris, 15-17/12/1986. [109] W.E.Nervik, "Spontaneous fission yields of ^^^Cr\ Phys. Rev., 119,1685, (1960). [110] B.F.Rider, "Compilation of fission product yields", Vallecitos Nuclear Center Report NEDO-121543(C), ENDF-322, Class I, (1981). [Ill] S.L.Whetstone, "Coincident time-of-flight measurements of the velocities of ^^^Cf fission fragments", Phys. Rev. 121,1232, (1963). [112] H.Henschel, A.Kohnle, H.Hipp, G.Gonnenwein, "Absolute measurement of velocities, masses, and energies of fission fragments from Californium 252", Nucl. Instr. and Methods, 190,125, (1981). [113] J.H.Stephen, T.K.Sanderson, D.Mapper, J.Farren, R.Harbo-Sorensen, L.Adams, "A comparison of heavy ion sources used in cosmic ray simulation studies of VLSI circuits", IEEE Trans. Nucl. Sci., NS-31, 6, 1069, (1984). [114] D.Mapper, T.K.Sanderson, J.H.Stephen, J.Farren, L.Adams, R.Harboe-Sorensen, "An experimental study of the effect of absorbers on the LET of the fission particles emitted by ^^^Cf', IEEE Trans. Nucl. Sci., NS-32,6,4276,(1985). [115] J.T.Blandford, J.CPickel, "Use of 252cf ^^ determine parameters for SEU rate calculation", IEEE Trans. Nucl. Sci., NS-32,6,4282, (1985).
888
O. Musseau
[116] J.CPickel, J.T.Blandford, A.E.Waskiewicz, V.H.Strahan, "Heavy ion induced permanent damage in MNOS gate insulators", IEEE Trans. NucL Sci., NS-32,6,4176, (1985). [117] M.Reier, "The use of 252cf to measure latchup cross sections as a function of LET", ffiEE Trans. Nucl. Sci., NS-33,6,1642,(1986). [118] S.P.Buchner, D.Wilson, K.Kang, D.Gill, J.A.Mazer, W.D.Rabum, A.B.Campbell, A.R.Knudson, "Laser simulation of single event upsets", IEEE Trans. Nucl. Sci., NS-34,6,1228, (1987). [119] A.K.Richter, I.Arimura, "Simulation of heavy charged particle tracks using focused laser beams", IEEE Trans. Nucl. Sci., NS-34,6,1234, (1987). [120] H.R.Philipp, E.A.Taft, "Optical constants of silicon in the region 1 to 10 eV", Phys. Rev. Lett., S, 13, (1962). [121] S.Buchner, A.Knudson, K.Kang, A.B.Campbell, "Charge collection from focused picosecond laser pulses", IEEE Trans. Nucl. Sci., NS-35,6,1517, (1988). [122] S.Buchner, J.B.Langworthy, W.J.Stapor, A.B.Campbell, S.Rivet, "Implications of the Spatial Dependence of the Upset Threshold in SRAMS Measured with a Pulsed Laser", IEEE Trans. Nuc. Sci., NS-41,Vol.6,2195,(1994). [123] L.CNorthcliffe, R.F.Schilling, "Range and stopping-power tables for heavy ions". Nuclear Data tables, section A, Vol.7,3-4, (1970). [124] LFZiegler, "Handbook of stopping cross-sections for energetic ions in all elements", in "The stopping and ranges of ions in matter", Vol.5, ed. J.F.Ziegler, Pergamon Press, (1980). [125] J.FZiegler, J.P.Biersack, U.Littmark, "The stopping and range of ions in solids", in "The stopping and ranges of ions in matter", Vol.1, ed. J.F.Ziegler, Pergamon Press, (1985). [126] F.Hubert, A.Fleury, R.Bimbot, D.Gardfes, "Range and stopping power tables for 2.5-100 MeV/nucleon heavy ions in solids", Annales de Physique (suppl6ment), 5, (1980). [127] W.J.Stapor, P.T.McDonald, A.R.Knudson, A.B.Campbell, B.G.Glagola, "Charge collection in silicon for ions of different energy but same linear energy transfer (LET)", IEEE Trans. Nucl. Sci., NS-35, 6, 1585, (1988). [128] T.L.Criswell, D.L.Oberg, LL.Wert, P.R.Measel, W.E.Wilson, "Measurement of SEU thresholds and cross sections at fixed incidence angles", IEEE Trans. Nucl. Sci., NS-34,6,1316, (1987). [129] J.A.Zoutendyk, L.S.Smith, G.A.Soli, P.Thieberger, H.E.Wegner, "Single event upset (SEU) model verification and threshold determination using heavy ions in a bipolar static RAM", IEEE Trans. Nucl. Sci., NS-32,6,4164, (1985). [130] Z.Shanfield, K.S.Kitazaki, M.M.Moriwaki, D.E.Campbell, "Angular dependence of charge funneling in Si and GaAs devices", IEEE Trans. Nucl. Sci., NS-34,6,1341, (1987). [131] M.Shoga, K.Jobe, M.Glasgow, M.Bustamante, E.Smith, R.Koga, "Single Event Upset at Gigahertz Frequencies", IEEE Trans. Nuc. Sci., NS-41, Vol. 6,, (1994). [132] S.Buchner, K.Kang, W.J.Stapor, S.Rivet, "Spatial and temporal dependence of SEU in a 64K SRAM", IEEE Trans. Nuc. Sci., NS-39, Vol. 6,1630, (1992). [133] R.V61azco, A.Provost-Grellier, "R6sultats experimentaux du test aux ions lourds de circuits int6gr6s programmables", 6^me coUoque international de fiabilit^ et de maintenabilit6, Strasbourg, 619, (1988). [134] O.Musseau, "Effets des ions lourds 6nerg6tiques sur les circuits int6gr6s. Application au cas de circuits MOS, MOS sur isolants et GaAs", Thfese de doctorat en science, 18A)1/1991, Universit6 Paris-Sud Orsay. [135] R.Velazco, S.Karoui, T.Chapuis, D.Benezech, L.H.Rosier, "Heavy ion test results for the 68020 microprocessor and tiie 68882 coprocessor", RADECS-91, European conference on radiations and their effects on devices and systems, IEEE catalog number 91TH0400-2,445, (1991). [136] J.A.Zoutendyk, H.R.Schwartz, L.R.Nevill, "Lateral charge transport from heavy ion tracks in integrated circuit chips", IEEE Trans. Nucl. Sci., NS-35,6,1644, (1988). [137] R.Koga, K.B.Crawford, P.B.Grant, W.A.Kolasinski, D.L.Leung, T.J.Lie, D.C.Mayer, S.D.Pinkerton, T.K.Tsubota, "Single Ion induced Multiple.bit Upset in IDT266K SRAM", Proceedings Radecs-93, IEEE n^ 93TH0616-3,485, (1993).
The Effects of Cosmic Ions on Electronic Components
889
[138] A.B.Campbell, W.J.Stapor, "The total dose dependence of the single event upset sensitivity of IDT static RAMs", IEEE Trans. Nucl. Sci., NS-31,6,1175, (1984). [139] T.Chapuis, LL.Venturin, "Evaluation de VLSI pour une utilisation spatiale", in "Qualit6, composants et expertise", 545, CNES, Cepadues-Editions, Toulouse, (1988). [140] E.P.Evans, S.B.Roeske, J.W.Griffee, J.S.Browning, D.B.Holtkamp, W.C.Priedhorsky, G.B.Stoddard, J.Ricci, "An assessment of the SEU and total dose hardness of large satellite memories in low Earth orbit", presented at Nuclear and Space Radiation Effects Conference (1989). [141] A.R.Knudson, A.B.Campbell, E.C.Hammond, "Dose dependence of single event upset rate in MOS DRAMs", IEEE Trans. Nucl. Sci., NS-30,6,4508, (1983). [142] C.L.Axness, J.R.Schwank, P.S.Winokur, J.S.Browning, R.Koga, D.M.Fleetwood, "Single event upset in irradiated 16k CMOS SRAMs", IEEE Trans. Nucl. Sci., NS-35,4 1602, (1988). [143] D.L.Oberg, J.L.Wert, "First non destructive measurements of Power Mosfet Single Event Bumout Cross Sections", IEEE Trans. Nucl. Sci. NS-34, ig, 1736, (1987). [144] P.Tastet, J.Gamier, H.Constans, A.H.Tizons, "Burnout sensitivity of power MOSFETs operating in a switching converter". Proceedings Radecs-93, IEEE n^ 93TH0616-3,452, (1993). [145] E.L.Petersen, J.C.Pickel, J.H.Adams, E.C.Smitii, "Rate prediction for single event effects. A critique", IEEE Trans. Nuc. Sci., NS-39, Vol. 6,1577, (1992). [146] W.L.Bendel, E.L.Petersen, "Proton upsets in orbit", IEEE Trans. Nucl. Sci., NS-30,4 4481, (1983). [147] W.J.Stapor, LP.Meyers, J.B.Langworthy, E.L.Petersen,"Two Parameter Bendel Model Calculations for Predicting Proton Induced Upset", IEEE Trans. Nucl. Sci. NS-37,6,1966, (1990). [148] J.CPickel, J.T.Blandford, "Cosmic ray induced errors in MOS devices", IEEE Trans. Nucl. Sci. NS-27, 6,1006,(1980). [149] J.H. Adams, "Cosmic Ray Effects on Microelectronics", NRL Memorandum 5901, Naval Research Laboratory, (1986). [150] P.Shapiro, "Calculation of cosmic ray-induced single event upsets : Program CRUP cosmic ray upset program", NRL Memorandum 5171, Naval Research Laboratory, (1983). [151] F.W.Sexton, K.M.Horn, B.L.Doyle, J.S.Laird, M.Cholewa, A.Saint, G.J.F.Legge, "Relationship Between IBICC Imaging And SEU in CMOS ICs", IEEE Trans. Nucl. Sci. NS-40,6,1787, (1993). [152] P.J.McNulty, G.E.Farrell, WP.Tucker, "Proton-Induced Nuclear Reactions in Silicon", IEEE Trans. Nucl. Sci. NS-28,fi,4007, (1981). [153] W.J.Beauvais, P.J.McNulty, W.G.Abdel-Kader, R.A.Reed, "SEU Parameters and Proton-Induced Upsets", Proceedings of Radecs-93, IEEE n^ 93TH0616-3,540, (1993). [154] R.A.Reed, P.J.McNulty, W.J.Beauvais, D.R.Rotii, "Charge collection spectroscopy", IEEE Trans. Nuc. Sci., NS-40, Vol. 6,1880, (1993). [155] E.L.Petersen, J.CPickel, E.C.Smith, P.J.Rudeck, J.R.Letaw, "Geometrical factors in SEE rates calculations", IEEE Trans. Nuc. Sci., NS-40, Vol. 6,1888, (1993). [156] A.Campbell, P.McDonald, R.Gonyea, M.Reeves, "Results from tiie CRRES MEP experiment", Proceedings of Radecs-93, IEEE n^ 93TH0616-3,70, (1993). [157] A.B.Campbell, "SEU flight data from die CRRES MEP", IEEE Trans. Nuc. Sci., NS-38, Vol. 6, 1647, (1991). [158] R.Harboe-Sorensen, E.J.Daly, L.Adams, C.L Underwood, R.Muller, "Observation and prediction of seu in Hitachi SRAMS in low altitude polar orbits", IEEE Trans. Nuc. Sci., NS-40, Vol. 6,1498, (1993). [159] J.A.Zoutendyk, L.D.Edmonds, L.S.Smitii, "Characterization of multiple bit errors from single ion tracks in integrated circuits", IEEE Trans. Nucl. Sci., NS-36,6,2267, (1989). [160] J.L.Andrews, J.E.Schroeder, B.L.Gingerich, W.A.Kolasinski, R.Koga, S.E.Diehl, "Single event error immune CMOS RAM", IEEE Trans. Nucl. Sci., NS-29,6,2040, (1982). [161] S.E.Diehl, A.Ochoa, P.V.Dressendorfer, R.Koga, W.A.Kolasinski, "Error analysis and prevention of cosmic ion induced soft errors in static CMOS RAMs", IEEE Trans. Nucl. Sci., NS-29,6,2032, (1982).
890
O. Musseau
[162] P.Dall'Agnese, M.Bafleur, E.Sicard, J.Buxo, P.Granadel, "Modelisation physique des defaillances fonctionnelles des circuits integres CMOS-VLSI en ambiance spatiale et de rayonnement", Bordeaux, 274, (1986). [163] R.LJohnson, S.E.Diehl-Nagle, J.R.Hauser, "Simulation approach for modeling single event upsets on advanced CMOS SRAMs", IEEE Trans. Nucl. Sci., NS-32,6,4122, (1985). [164] T.M.Mnich, S.E.Diehl, B.D.Shafer, R.Koga, W.A.Kolasinski, A.Ochoa, "Comparison of analytical models and experimental results for single event upset in CMOS SRAMs", IEEE Trans. Nucl. Sci., NS-30, 6,4620,(1983). [165] F.Smith, R.Yu, "Static RAM with single event immunity", European Patent EP 0 342 466, (1989). [166] O.Musseau, J.L.Leray, Y.M.Coic, Y.Patin, "Single event upset sensitivity of an SRAM : an overview from testing procedures to device hardening", ESA Electronic Component Conference, Noordwijk, Proceedings ESA SP-313, 351,10-14/11/1990. [167] D.M.Newberry, "Single event upset error propagation between interconnected VLSI logic devices", RADECS-91, European conference on radiations and their effects on devices and systems, IEEE catalog number 91TH0400-2,471, (1991). [168] E.GStassinopoulos, J.M.Barth, T.M.Jordan, "Cosmic ray heavy ion LET mapping for Aluminum, Silicon and tissue target", NASA Reference Publication 1180, April 1987. [169] N.LRudie, "Principles and techniques of radiation hardening", Vol. XIA : "Single event upset". Western periodicals Company, North Hollywood CA. USA, 1986. [170] A.Holmes-Siedle, L.Adams, "Handbook of radiation effects", Oxford University Press, 1993. [171] "Space radiation environment and effects", L.Adams (editor). Radiation Physics and Chemistry, Vol. 43, 1,1994. [172] J.A.Zoutendyk, L.S.Smith, G.A.Soli, S.L.Smith, G.E.Atwood, P.Thieberger, "Empirical modeling of single event upset (SEU) in NMOS depletion-mode-load static RAM chips", IEEE Trans. Nucl. Sci., NS33,6,1581,(1986). [173] J.A.Zoutendyk, L.S.Smith, L.D. Edmonds, "Response of a DRAM to single-ion tracks of different heavy-ion species and stopping powers", IEEE Trans. Nucl. Sci., NS-37,6,1844, (1990). [174] J.Dreute, H.Rocher, W.Heinrich, R.Harboe-Sorensen, L.Adams, "Study of SEUs generated by high energy ions". Proceedings of Radecs-93, IEEE n^ 93TH0616-3,479, (1993).
891
AUTHORS INDEX The first number (in bold) fiyllowing the author's name indicates the chapter, the second one indicates the reference number in that chapter.
AbeH. AbeM. AbeT. Abemathey J.R. Aboaf J.A. Abdel-Kader W.G Abrahams M.S. Abramo A. AceroM.C. Acker C.P. Acovic A. Adachi Y. Adams A.C. Adams D.A. Adams G.E. Adams J.R Adams Jr. J.H. Adams L.
Agarwal A.K. Agius B. Ahn I H . Aitken J.M. Aizenberg I. Ajioka T. Ajuria S.A Akasaka Y. Akiya M. Alexandre A. Alexandrov L.N. Allen J.H. Allenspach M. Alles M.L. Amano J. AmedR Amerasekera E. A Anc M.J. Ance C. Ancona M.G. Anderson P.W.
1-47 2-133 2-130 1-205,2-131 1-165 12-153 2-60b 2-18 5-80 5-73 4-58 5-74 1-44, 1-137, 7-2 2-24 9-9 12-145, 12-149 8-2, 8-3,8-6, 8-7, 8-31, 8-32, 8-33, 8-34, 8-41 10-10,10-146, 12-102, 12-106,12-113,12-114, 12-158, 12-170, 12-171, 12-174 2-36, 2-37, 5-82, 5-83 1-126,7-3 l-270a, l-270b, 7-3 4-15, 10-52 1-260 5-112 1-237, l-285b, 1-286, 7-5 2-77 2-82, 2-84b 4-19 1-172 8-2 12-83, 12-86 12-73,12-74 1-183 2-64 3-7 2-98 1-295,1-315 6-34, 6-35, 6-46, 6-47, 6-48, 6-62 5-71
Anderson W. Andersson M.O. Andrews J.L. Angilello J. Annunzianta R. Antoniadis D.A. AnzaiK. Aoki H. Aoki T. Appels J.A. AraiE. Arakawa H. Arakawa T. Arendt M. Arima H. Arimoto Y. Arimura I. Ariyoshi H. AritaY. AmdtJ. Amett P.C. Amett O.C, Arnold D. Arras L.J. Arrowsmith R.P. Asada K. Asai S. Asano K. Asenov A. Ash M.S. Aspar B. Asveld P.M. Attala M.M. Atwood G.E. Aubert J.J. Auberton-Herve A.J.
Aucoin R. J. Audisio S. Augier P. Aukerman L. W Ausmann Jr. G. A. Austin M.M.
12-99 3-24, 4-156 12-160 1-48 4-56 4-151,4-153 2-116 5-6 12,78,12-80 1-68,1-69,5-11 1-11 l-20a, l-20b, l-20c 1-243,1-263,1-276 7-3 1-82, l-85a, l-85b, 1-122 2-70 12-119 2-80 1-124 11-3 5-39, 5-44 1-171 4-112,4-128 1-286 2-84a 4-104 4-21 2-150 4-189 8-40, 8-43, 9-35, 10-145, 12-21 2-83a, 2-89, 2-137a, 2-169, 2-184, 11-24,11-47 1-274 1-1 12-172 2-120 2-6, 2-10, 2-29, 2-71a, 2-83a, 2-86a, 2-89, 2-96, 2-137a, 2-147, 2-169, 2-179, 2-184, 11-28, 11-47, 12-75 1-179,1-186, 1-193, 1-236 1-67 6-107 11-36 10-28, 10-47 2-24
892 Autran J.L.
Avouris Ph. Av-ron M. Axness C.L. Aymerich X.
Author Index of Volume 3
1-129,1-130,2-165a, 2-169, 2-184, 6-30, 6-40, 6-50, 6-66, 6-91,11-47 1-308, 1-309 3-12, 3-14 12-64,12-142 5-70, 5-79,5-80
B Baba Y.
Baba S. Babot D. Baccarani G. Baccus B. Backensto W. V. Bafleur M. Baglee D. A. Bailey R.S. Bailey W.E. Baird R. J. Bakshi M.H. Balasinski A. Baldinger E. Balestra F. Balk P. Balland B.
Bandyopadhyay S. Baranova E.K. Baibottin G, Barbour J.C. Barbuscia G. Bardos L. Barengolt J. Barge T. BarlaK. Barrier J. Barry A.L. BarthJ.M. Barth P.W. Baros Y. Bassous E
6-99, 6-100,10-109,, 10-128, 10-117,11-26,11-39,4-61, 12-122, 12-132 4-22 6-91 1-13 4-9 6-12 12-162 1-49, 3-20 5-56, 5-57 11-26 1-132 1-97 6-75, 6-81, 6-101 6-7 6-58 1-26, 5-2, 6-87, 10-97, 11-40 1-lOb, 1-lOe, 1-lOf, 1-67, 1-89,1-129, 1-130, :1-153, 1-215, 1-216, 1-217,, 1-218, 1-219, 1-220, 1-221, 1-222, 1-223, 1-224, 1-225, 1-226, 6-29, 6-32, 6-40, 6-50, 6-66, 6-91, 11-20 4-38 2-79 1-10, 1-lOf, 4-200, 4-201, 4-202, 6-1 2-117 1-174 1-110 10-12 2-137a 1-231,1-232,2-114, 2-115, 2-118,2-119 4-154 10-4 12-168 2-156 1-301 l-12a
Batra S. BSuerlein R. Baumvol I.J.R. Baunach R. BauzaD. Baze M.P. Beall Fowler W. Beaucour J. Beauvais W.J. Beckers L.J. Beguwala M. Beiser A. Belhaddad K. Bellens R. Beltran N. Benamar A. Bende G.E. Bendel W.L. Benechi R. Benedetto J.M. Benevit C. A. Benevot J. Benezech D. Bengtsson S. Bensahel D. Benson T.M. Berenguer M. Berezine A. Berger E. Berger G. Berglund C.N. Bergonzoni C. Bemdt D.F. Bemt H. Beuville E. Bewley D.K. BhatM. Biasse B. Bibyk S.B. Biegelsen D. Biersack J.P. Bik W.M.A. Bimbot R. Binder D. Bion T. BisschoffJ.L. Bisschop J. Blake T.G.W. Blanc J.P. Blandford J.T. Blauw C.
6-102 10-21 7-1, 7-2, 7-3, 7-4, 7-5 5-109,5-110 6-92 12-77 2-185,11-5,11-6,11-46 12-32 12-101,12-153,12-154 1-100 5-34, 5-52 9-22 4-19,12-72 4-43, 4-76, 4-85, 4-89, 6-67, 6-68, 6-69, 6-70, 6-78 4-52, 6-23,10-127 1-218, 1-221,1-222 10-18 12-146 4-45 10-54 1-31 2-102 12-135 2-186 2-7 lb 2-154 1-233 2-126 12-50 2-124 10-119 4-45 12-66 1-98 2-8 9-9 1-292, 7-3 2-109 4-24, 5-76 4-185 9-20, 10-1, 12-125 l-252a, 1-274 12-126 12-3, 12-76 12-32 1-150,1-151,1-152 3-7 12-93 2-8, 2-60b 12-89,12-115,12-116,12-148 1-120
Author Index of Volume 3
Blice R. BoagJ.W. Boden M. J. Boesch Jr. H.E.
Bohlin K.F. Bolmont D. Bolton J.R. Bomchil G. Bonnel P. Booker G.R. Bordelon T. J. Bordes N Borei B. Borel 0 . Bore! J. Borucki L. Bosseboeuf A. Bouchakour R. Boudenot J.C. Boudou A. Bourcerie M. Bouchier D. Bourgoin J.C. Botton R. Bouchier D. Bowling R. A. Boyd I.W. Bozso F, Bradley J.M. Brady F.T. Bragg W.H. Brantervik K. Brasen D. BrSunig D. Bravaix A. Brd>eck G. Breelle E. Brennan K. Brews J.R. Bricout P.H. Briere M.A. Brini J.
10-114 9-9 2-24 2-157a, 2-158, 2-187, 2-188, 10-40,10-41,10-42,10-47, 10-48,10-50,10-54,10,75, 10-82, 10-112,10-117, 11-26, 11-39 2-35 1-150, 1-151, 1-152 4-181 2-35,2-114,2-115,2-118, 2-119,2-1655 11-28 2-106 4-145 12-43 6-104 2-14, 11-27 5-26 7-2 1-126, 1-169, 1-307, 2-69 4-118 8-42 4-45, 4-49, 4-50, 4-54, 4-55, 6-79 4-45,4-50,4-55,4-61 2-69 4-102,4-111, 4-116a, 4-116b, 10-3 1-78 1-126,1-169,1-307 1-256 1-37, 1-52 1-308,1-309 12-43 2-177 10-30 1-314 7-5 1-lOg, 1-54, 9-3, 10-4, 10-8, 10-13, 10-23 4-45, 4-49, 4-75, 4-78, 4-133, 4-203 2-102 7-3 4-158 1-5, 6-43, 6-108,10-124, 12-83, 12-86 4-41 1-54 4-171
Brisset C. Brodersen R.W. Brodsky M. Brooker G.R. Brorson S.D. Brow R.K. Brower K.L. Brower L.L. Brown D.B.
Brown D.M. Brown G.A. Brown R.R. Browning J.S. Brox M. Bruce R. Brucker G.J. Bruel M.
BruglerJ.S. Buchanan B. Buchanan D.A. Buchner S. Buchwald W.R. Buhrman R. A. Buhrow L. Buiocchi C.J. Buisson J. Bureau J.C.
Burgess J.F. Burke E. A. Burton D.I. Bustamante M. Bursky D. Buxo J. Byrne F.N.
893 2-17 1-23 12-12 2-95 4-127 1-238 11-12,11-19 10-100 10-35, 10-36,10-37,10-69, 10-70, 10-72, 10-108, 10-109. 10-128 1-65, 10-121 2-99a, 2-99b, 2-103, 2-176, 11-30, 11-33, 11-39 10-129 12-140, 12-142 4^48, 4-74, 4-80, 4-92, 4-94, 4-97 6-99 10-84 2-6, 2-10, 2-29, 2-85, 2-86a, 2-137a, 2-137b, 2-138, 2-14L 2-142,12-75 6-2,10-126 2-88 4-123 12-99,12-118,12-121, 12-122, 12-132 4-125,4-186,4-187,4-188 7-5 1-256 2-60b 12-32 1-67,1-153,1-218, 1-219, 1-220, 1-221, 1-222, 1-223, 1-224, 1-225,1-226 5-40,5-87 10-14, 10-16,10-18 12-85 12-131 2-148 12-162 8-4
C Cable J.S. Cabrera N. Camargo Da Costa J. Camera Roda G. Campabadal F.
12-61 1-51 1-230 1-41 5-80
894 Campbell A.B.
Campbell D.E, Campisi G.J. Caplan P.J.
Caratini Y. CardRC. Carlos W.E. CarrE.C. CarriereB. Cani^re T. Carroll J.M. Cartier A.M. Cartier E. Cassard J.M. Caughey D.M. Caviglia A. CellerG.K. Cerrila M. Cervenak J. ChaG. ChafikK. Chaiyasena LA. Chan C. Y. Chan H.W. Chan K. W. Chan T.Y. Chandorkar A.N. Chaneliere C. Chang C.C. Chang C. Chang C.Y. Chang R.P.H. Chang S.C. Chang S.T. Chang T.T.L. Chao C.C. Chao S.S Chao T.S. Chape S.E. Chapuis T. CharefM. Charlet B. Chater R. J. Chatterjee K. Chatterji S.K
Author Index of Volume 3 12-43, 12-58,12-59, 12-62, 12-65,12-67,12-70,12-98, 12-99,12-118,12-121, 12-122, 12-127,12-138, 12-141,12-156,12-157 12-44, 12-130 2-176, 11-30 l-44a, l-44b, l-44c, 4-99, 10-98,10-99, 4-100, 4-125, 11-17 1-232 5-27 2-180 7-5 1-241 12-32 2-42 2-109 3-15,4-112,4-123,4-128 1-31 4-2 2-134 2-108 12-55 1-172 2-135 1-153 1-214, 1-259 11-35 4-63 8-24, 8-25 4-6, 4-7, 4-42, 4-148 1-212 1-129, 1-130 1-44, 1-93, 1-137, 1-247, 7-2, 10-110 1-23 1-9, 1-195 1-79, 1-93 2-67 4-114 1-180 5-82, 5-97 1-167 1-36 10-141 12-135, 12-139 4-34 2-137a 2-95 1-16 1-142
Chaudhari P.K. Chaumont J. Chausse S. Cheek T.F. Chen C.E. Chen C.F. Chen C.H. Chen C.T. Chen I. Chen J. Y. Chen K. Chen M. Chen P.C.Y. Chen T. Chen W. Cheng Y.C. Cheong N.K. Cheme R. Cheung R. Chezzo M. Chin B.H. Chin M.R. Chin T.Y. Ching W.Y. Chiu T.Y. ChiuK. ChoeR Choi J.Y. Cholewa M. Choma J. Chou C.J. Chouajaa A. Chovet S. ChowR Chrisey D.B. Christmann P. Chu A.X. Chu T.L. Chu T.Y. Chung J. Chung J.E. Chung S.S. Ciantar E. Cilingiroglu U. Classen W.A.P. Claes C. Claeys C. Claeys C.L. Clark J.E. Clement J. J. Cobden D.H. Cohen M.H.
5-73 7-2 2-61 2-7 12-93 1-203 5-41 1.70a, 1-144,1-195 3-25, 3-26, 4-144 4-87, 4-137, 4-146 4-82 4-79 5-93 1-204 6-47, 6-76, 6-81 1-213,1-283,7-3,4-170 2-24 2-16 4-63 1-103 1-305 10-63 1-98 1-159 1-101, 1-166 1-28,1-61 12-50 4-83, 4-90 12-151 12-68 1-104 2-62 2-56 1-109 10-14 4-189 11-46 5-9, 5-92 1-269, l-270a, l-270b 4-148, 4-155 2-104b, 6-42 6-83 6-61 6-25 1-114 2-30 4-172, 10-10 6-103 12-74 10-57 4-174 2-83b
Author Index of Volume 3
Cohen P.I. CohnL. Coic Y.M.
Cole H.S. Colinge J.P. CoUard D. Collet M.G. Collins S. Collins S.D. Commandre M. Conley J.F. Cong H.I. Constans H. Constant E. CoibettJ.W. Corbett W.T. Corbiere T. Cortesi E. iCoss J.R. CoOiern C.R. Crain W.R Crawford K.B. Cricxhi J.R. Cristoloveanu S.
Criswell T.L. Cros Y. Crowell C.R.
1-72 2-16 2-6, 2-10, 2-171, 11-25, 12-24, 12-27,12-71,12-75,12-94, 12-166 9-12 2-13, 2-20a, 2-3CI, 2-122, 2-123, 2-124, 2-139b, 2-189 4-9,4-41 1-297 4-176 2-113 1-55 2-175,11-15 2-34 12-144 4-34 9-8, 10-3 10-133 12-32,12-33 2-88 12-84 1-138 12-91 12-91,12-137 5-22, 5-49, 5-81, 5-86 2-21, 2-14, 2-53, 2-56, 2-57, 2-120, 4-57,4-88 , 4-161, 6-72, 6-104,11-27 12-128 1-315 4-5
Day D.J. De Chelle F. De Keersmaecker R.F. De la Houssaye P; De Nijs IM.M. De Pontcharra J. DeWolfl. Deal B.E, Dearnaley G. Debenest P. Declerck G.J. Declercq M. Deen.J. Deferm L. Delagnes E. Delevoye E. Dellin T.A. Delmas J. Delord J.F. DeMassa T.A. DeMoyer R. Dennard R.H. Dentan M. Derbenwick G.F. Deresmes D. Derrien J. Dessertenne B. Devine R. A.B.
D Da Silva E.F. Dale C.J. Dalla Libera G. DalPAgnese P. Dalton J. V. Daly E.J. Dantec A. Darack S. Darwish M.N. DasN.C. Datta S. Davari B. Davies D.E. Davis G.E. Davis J. Davis R.F. Dawes Jr. W.R.
6-63 10-16, 10-18 4-56 12-162 1-66 12-158 12-19 1-93 2-9 6-73, 6-74 4-38 2-12 2-154 12-93 2-95 1-90 10-131
Devoret M. Dham V. Dhamer V.K. d'Hespel W. d'Heurle F.M. Di Ciocio L. Diehl S.E. Diehl-Nagle S.E. Dierickx B. Dieumegard D. Digby W.M. DiMaria D.J
Dimauro J. A. Dimitrev A.P.
895 4-169 1-295 1-24,4-16,4-52,4-119,6-23, 6-24, 7-3,10-127 2-40 6-87 2-8 6-109,6-110 1-39,1-40, l-43a, l-43b. l-44a, 1-46 12-26 1-231. 4-16, 4-172, 6-56 6-4 6-38, 6-39 6-71 2-8 2-8 5-78 6-40 1-139, l-141a, l-141b, 1-147 4-140 12-93 l-12a, l-12b, 1-12C, 1-13 2-8 10-81,10-130 4-110,4-198,4-199 1-55 2-121 1-129, 2-105, 2-167, 2-170, 2-171,2-172,2-173,2-174, 2-178 2-181, 2-183, 6-93, 11-2,11-3,11-9,11-22,11-23, 11-24,11-29,11-31,11-44, 11-50 4-166 1-180 1-15C 2-29 11-43 2-141, 2-142 12-96, 12-160,12-161, 12-164 12-58, 12-62,12-67,12-163 4-172 2-120, 2-121 12-44 1-205, 1-258, 3-16, 3-17, 4-105,4-109,4-112,4-119, 4-121, 4-127, 4-128,10-58 6-33 4-26
896 Dimitrijev S. Dimmler K.L. Djahli F. Dobrek J. Dobson P. J. DoiK. Doken M. Dolan G.J. Dolly M.C. Dolny G.M. Doo V.Y. Dooms E. Dopant W. A. Dorda G.
DoriL. Doshikova N. Doucin B. Doyle B. Doyle B.L. Doyle B.S. Dozier CM. Dressendorfer P. V.
Dreute J. Driver M.C. DruijfK.G. Dubois E. Dubray J.J. Dufour G. Dumbri A.C. Dunn G.J. Dupont-Nivet E.
Dupuy J.C. Dutartre D. Dutoit M.
Dutton R.W. Dzimianski J.W.
Author Index of Volume 3
1-284 1-31 6-66 1-66 1-71 2-49 2-80 4-164 2-9 2-44 1-64, 5-10 7-3 1-287 4-14,4-81,5-19,5-20,6-13 4-101 1-172 12-32 1-281 5-58,12-100,12-151 4-45, 4-55, 4-59, 4-60, 4-68, 4-70,4-86,4-91,4-96 10-35, 10-36,10-37, 10-128 8-39, 9-15,10-74,10-87, 10-88,10-89,10-90,10-100, 10,101,10-113,10-144,11-21, 11-45, 11-51,12-62,12-161 12-102,12-174 2-36, 2-37 6-87 4-9,4-41 1-221,1-222 1-140, 1-149 1-31 1-211,1-214,1-259,4-91, 4-108, 4-123 1-169, 2-6, 2-8, 2-10, 2-69, 2-121, 2-166, 11-25, 12-75, 12-94 1-217,1-225, 1-226 2-7 lb 1-229,1-260,1-261,4-58, 4-154, 4-161, 4-162, 4-177, 4-178 1-173,1-174,4-10,4-12,4-37, 4-40 5-88
£ EbertT. Edelstein M. Edermann F.M.
1-299 2-97 1-187
Edhlom B Edmonds L.D. Edwards A.H. Edwards J.O. Efimov V. Efimov W.M. Eksted T. EkstedtJ.W. El-Hdiy A. El-Mansy Y. A. El-Sayed M. Elder J.H. Elewa T. Elliot A.B.M. Elliot S.R. Ellis K. A. Elmasry M.I. Emerson B.L. Emily D. EndoN. EndrGs A. Engel P.R. Engstrom O. EngstrdmO. Enomoto T. Eriksson T.S. Eriich G. Ermolaeva A.I. Esaev D.G. EsakiH. Espy S. Esteve D. Evans E.P. Evans J.W. Evans R.D. Evans-Lutterodt K.W. Evers E.J. Ewan S.H.
2-146 12-40,12-159 4-130, 11-7,11-18 1-158 5-53 1-202 1-183,1-235 1-181 4-118,4-157 4-2 1-230 12-61 6-104 6-6 5-64 7-5 5-27 1-251 10-114 5-43 4-189 12-9 2-186 3-24, 4-156 2-149, 2-151 1-314 1-305 2-128 1-202 1-248, l-254a, 3-11 12-99 4-166 12-140 1-142 9-18 7-5 1-190 1-235
F Fahey P. Fahrner W.R Fain S.C. Fair R.B. Fait W.A. Fang P. Fargeix A. Faricelli J. Farmer K.R. Farrell G.E.
1-173, 1-174 1-lOg, 9-24, 9-25 l-136a, l-136b, l-136c, 1-139, 1-133 l-285b 4-179 1-57, 1-58 4-60 3-24, 4-156 12-152
Author Index of Volume 3 Farren J. Fathimulla A.M. Fauquembergue R. Faynot 0 . Fazan P.C. Fechner P. Fedotowsky A. Fehlner F.P. Feigl F.J. Fell W.A. Feldman L.C. Ferlet V. Ferlet-Cavrois V. Ferraton J.P. Ferris-Prabhu A. V. Ferry D.K. Feshbach H. Fichtner W. Fiegna C. Field R.L. Finch E.G. Fischer B.E. Fischer T. A. Fisschetti M. V. Fister G. Flament 0 . Flanagan T.M. Flandre D. Fleetwood D,M.
Fleuiy A. FlitschR. Flodstrom A.S. Foerstner J. Forbes D.H. Foro L. Fossum J.G. Fourches N. Francis P, Franz J. Franz J.M. Freeland P.C. Freeman R. Freman L,A. French M.L.
12-103,12-106, 12-113, 12-114 2-144 4-34,4-41 2-38 1-229,5-114 2-16 5-41,5-45,5-46,.5-51 1-42 4-120,10-59, 11-5 1-237 7-5 2-179 2-38,12-24, ]12-27,12-33 1-295 5-17,5-18,5-21, 5-23, 5-24, 5-25, 5-50 3-18 10-7 4-79 2-18, 4-32 2-34, 4-79 12-53 12-102 12-22 3-16, 3-17, 3-23, 4-35, 4-36, 4-127 10-46 2-11,2-169,2-179,11-28, 12-33 10-11,10-37, 10-136, 10-137 2-123 1-280, 2-174, 4-115,6-57, 6-59,6-84, 6-85, 6-86, 6-93, 6-95,6-96,6-97, 11-9, 11-10, 11-23,11-24, 11-34,11-44, 11-54, 11-56, 12-142 12-126 1-165 7-2 2-31 2-66b 4-161 2-20b 2-8 2-123, 2-124 1-164 5-73 11-48 10-60 2-64 4-117,6-89
897
Frey J. 4-28, 4-30 Friebele E.J. 10-86,10-92 Frieser R.G. 1-73 Fritsch D. 10-4 Fritzche H. 2-83b Frohman-Bentchkowsky D. 5-14,5-28, 5-29 Frommer M.H. 1-104 FuC.Y. 1-121 Fu H. 1-28, 1-61 12-64 Fu J.S. Fujita S. 1-112,1-117,1-118,1-170, 5-68. 1-135 Fukano T. 2-150 Fukano T. Fukuda H. 1-35, 1-242, 1-243, 1-249, 1-262, 1-263,1-267, 1-271, 1-273, 1-275, 1-276, 1-277, 1,-278, 1-279, 4-136 Fukukawa Y. l-20b, l-20e FuUin E. 1-260 Fulton T. A. 4-164 FuseM. 6-99, 6-100 G Gabriel C.T. Gabrys J.W. Gaensslen F.H. Gafiteanu R. Gaillard R. Gale R. Galloway K.F. Ganem J.J. Ganin E. Gao M.H. Garcia A. Garcia V. Gardes D. Gardic F. GarfinkelT. Garfiinkel E. Gamier J. Garrigues M. Gassel H. Gatstaldi R. Gautherin G. Gauthier J. Gautier J. Gdula R. A. Geerlings E.L.J. Gehlaussen M. A.
5-107 4-197 l-12a, 4-15 2-135 2-160, 12-72 4-120 6-108, 9-13,12-81,12-83, 12-86 7-1, 7-2, 7-3, 7-4, 7-5 4-152 2-30 2-89 1-225,1-226,1-227 12-126 12-33 4-148 7-1 12-82, 12-144 1-lOe, 4-19 2-110 3-23 1-126 2-8 2-7 la, 4-69 1-178, 9-1 1-207 10-16
898 Gelman A. Gentil P. Gentle T.M. Gerardi G.J. Ghandhi S.K. Ghibaudo G.
GhioE. Ghoniem N.M. Gibbons J.F, Gibbs G. V. Giddings A.E. Giffard B. Gigas G. Gilbert RM. Giles L.F. Gill D. Gingerich B.L. Ginovker A.S. Ginther J. Giridhar R. V. Glachant A.
Glagola E.G. Glasgow M. GodbeyD. Goel K.K. GoetzG, Goetz G.G. Goetzberger A. Goguenheim D. Goin E. Golder J. Golecki I. Golsdmann N, GCnnenwein G. Gonon P. Gonyea R. Goodman A.M. Goodwin C.A. Gosele U. Gosselin G. Granadel P. Granneman E.H.A.
Author Index of Volume 3
8-6 2-51,2-61,2-62,5-1,5-26 1-97 4-99,4-100, 4-105, 4-125, 4-130, 4-186, 4-188 1-3,1-4 1-57,1-58, 2-53, 4-99, 4-100, 4-105, 4-125, 4-130, 4-171, 4-186, 4-188, 6-53, 6-54, 6-58, 6-60, 6-92 4-56 12-61 9-11 11-1 10-133 2-10, 2-179,11-28,12-27, 12-72 10-12 12-49 2-106 12-118 10-132, 12-160 5-84 10-86 1-92 1-88,1-89, l-141a, l-141b, 1-141C, 1-153, 1-215, 1-216, 1-217, 1-218, 1-219, 1-220, 1-222, 1-223, 1-224, 1-225, 1-226, 1-227, 1-294, 1-301, 1-302, 1-303,1-304 12-127 12-131 2-168 2-155 2-134, 2-187 2-144 6-52,10-123 4-102,4-131,4-203 1-260 6-7 2-46, 2-63 4-28, 4-30 12-112 2-15, 2-164 12-156 2-52, 2-54 2-9 2-101, 2-135, 2-140, 2-76 12-41 12-162 6-87
Grant P.B. GrayRV. Green M.L. Gregory B.L. Greulich-Weber S. Griffee J.W. Grinolds H.R. GrisY. Griscx) D.L. Griscom D.L. Gritsenko V.A. Groeseneken G.
Grotzschel R. Grove A.S. Grubin H.L. Grunthaner F.J. Grzybowski R.R. Guegan G. Guenzer C.S. Guilhamenc C. Guilinger T.R. Guillaumot B. Guillemot N. Gullet M.R. Gunckel T.L. Gunderson G. Gunkel G. Gurvitch M. Gusella F. Gusev E. Gusev V.M. Guseva M.I. Gustafsson T.
12-137 10-121 7-5 10-130,12-18 4-190 12-140 1-181, 1-185,1-235 2-118 10-71, 10-73,10-86,10-92, 10-108 4-124,11-4,11-7,11-8 5-84 . 4-43, 4-52, 4-76,4-80, 4-85, 4-89,6-3,6-5,6-11,6-23, 6-24, 6-27, 6-28, 6-65, 6-67, 6-68,6-69,6-70,6-71,6-78, 6-103,6-109,6-110,10-127 2-94 1-2, l-43a, 10-20 12-36, 12-51,12-57, 12-63 1-192, 1-201,10-62,10-85, 10-93, 10-94 2-25 4-57 9-34 2-89 2-117 5-113 2-107 1-138 5-34 4-149 1-168 5-3 2-109 7-1 2-79 2-79 7-1
H Habas P. Habing D.R. Habraken F.H.P.M.
Haddad N.F. Haddara H. Haffiier J.W. Hagiwara H,
6-80, 6-106 10-83 1-100,1-114,1-177,1-190, 1-204, 1-207,1-239, 1-250, l-252a, l-252b, 1-274,1-318, 7-3 12-55 4-57, 6-104 8-12 1-184
Author Index of Volume 3
Hagiwara T. Haishma J. Hall D.G. Hall R.N. HamW.E. HamaK. Hamada A. Hamagushi I. Hamano K. Hammond E.C. Hampton F.L. Han CJ. Han J.H. Han L.K. Haneman D. Hanes M.H. Hansch W. Hansel S.J. Hanson D. HanssonH. HaoC Haond M. HaraH. Harari E. Harboe-Sorensen R.
Hardy D. Harmatz M. HannsT. Harrison H.B. Hartman J.M. Hartmann J. Hartmann R. A. Hashimoto C. Hasnain Z. HataK. Hauser J.R. Havemann R.H. Hayafuji Y. Hayashi K. Hayashi T. Hayashi Y. Hayashida H. HechtM.H. Hegde R.I. Heidemann K. Heil O. Heiman F.P. Heinrich W.
5-96, 6-14 2-129 2-152 6-17 2-60b 4-71 6-77 2-87 1-184, 3-2 12-141 5-81, 5-86 1-197, 1-198 1-291 1-292 4-184 2-36, 2-37 4-8b, 4-12, 4-23, 4-27, 4-44, 4-93, 6-55 12-91 12-4,12-5 5-75 4-34 2-71b, 2-165b 6-82 1-22, 3-27 10-10, 12-102, 12-106, 12-113,12-114,12-158, 12-174 1-155 4-125, 4-130, 4-186 9-25 1-284 12-48 5-113 10-17 1-53 12-45 6-99, 6-100 12-58, 12-59,12-62,12-67, 12-163 1-17 1-134, 1-154, 1-175, 7-2 1-96,1-176 1-262,1-277,1-278,1-279 5-94, 5-102, 5-103 4-71 1-192 1-237, l-285b, 1-286, 7-5 9-24 2-3 2-48 12-28, 12-102, 12-174
Heitler W. HellwegeK.H. Helms C.R. Hemment P.F.L. Hemment P.L, Hemment P.L.F. Henderson B. Henderson W.R. Henning A.K. Hennley W.B Henrickson L. Henry D. Henschel H. Hentschel E. Heremans P.
Herino R. Hermsen J.M. Hershberger S. Herve D.
Hess D.W. Hess K. Hess V.F. Hesto P. Heyns M. Heyns M.M. Hezel R. HiedaK. Higman J.M. Higuchi H. Hijiha S. Hillenius S.J. Himpsel F.J. Hipp H. Hirai T. Hiraishi H. HiranakaK. Hirase J. Hirashita N. Hirayama M. HirofujiY. Hirose M. Hiruta Y. Hite L.R. Ho C.P. Hobgood H.M. Hode J.M. Hodges R.
899 9-27 9-28 1-197,1-198 2-95 2-84a 2-81, 2-106 4-107, 4-192, 4-196 2-24 6-33 12-55 4-30 1-83 12-112 2-94 4-16, 4-43, 4-76, 4-85, 4-89, 6-5, 6-27, 6-28, 6-67, 6-68, 6-69,6-70,6-71,6-78 2-114,2-115,2-118,2-119 10-132 12-4, 12-5 2-157b, 2-167, 2-170, 2-179, 2-183,11-22, 11-28,11-29, 11-31 1-40 4-37,4-40,4-158 12-1 1-169, 2-69, 12-24,12-34 7-3, 10-53 6-64 1-99, 1-162,1-300 4-150 4-37, 4-40 1-189 l-20b 2-34, 4-79 1-59, 2-68 12-112 1-156 5-94 1-310,1-316 4-142 5-112 1-47, 1-82, l-85a, l-85b, 1-122 5-111 1-95 4-65 11-26, 12-93 1-39 2-36, 2-37 2-7la, 2-73 6-101
900 Hoenigman J.R. Hofmann F. Hofmann K.R. HogaH. Hohl J.H. Holiinger G. HollowayP.H. HoUoway T.C. Holman A.B. Holmes-Siedle A. Holtkamp D.B. Honiein W. HoriT. Horiba Y. Hone H. Horiguchi F. Horn K.M. Hosak H.H. HosWY. HoudtJ.V. Houston J.E. Hovel H. J. Hovland C. Hsia Y. Hsieh CM. HsuF. Hsueh K.K. HuC.
Hu E.L. HuY. Huang C.C. Huang D.H. Huang K.W. Huang M. Huang Q.A. Huang T.Y. Huang Y.T. Hubert F. Huff M. A. Hughes E.A. Hughes H. Hughes H.L. Hughes R.C. HughlockB.W.
Author Index of Volume 3
1-138 6-44, 6-55 4-14 1-276 12-81 1-59 1-160, 5-54 1-16 12-3 8-37,8-41,10-60,10-146, 12-170 12-140 5-108 1-248,1-253, , l-254a, 1.254b, 1-255, 4-134,, 4-142 2-77 2-150 4-150 12-100, 12-151 2-16 1-125 6-71 1-306 2-12 1-101 5-61 12-35, 12-37 4-7, 4-42 4-140 1-23, l-50a. l-50b, 1-208, 1-283, 3-13, 3-14,3-21,3-26, 4-3, 4-4, 4-6, 4-7,4-17,4-42, 4-72, 4-77, 4-83, 4-90, 4-143, 4-148, 4-155,, 4-170, 5-107, 12-39 4-79 5-100 12-10 2-168 6-101 2-31 2-143 4-146 l-70b 12-126 2-192, 2-193 9-7 2-172 2-103, 2-104a, 2-139b, 2-189, 10-76,10-77, 10-116 4-95, 10-39, ]11-38 12-77
HuiJ. Humeau C. Humphreys J.C. Hung K.K. Hunter W.R. Hurich Z. Hurych Z. Hutchins G.L. Hutchinson G.D. Hwang B.Y. Hwang C.G. Hwang H. Hwarig H. HwuJ.
1-166 12-38, 12-71 10-141 4-170, 4-179 1-16 1-97 1-304 2-72 1-14 2-31 4-37, 4-40 1-256,. 1-264,1-265,1.266a, l-266b, 1-268,1-272 1-282 4-137
I Ihara M. Ikgems M. Ikoma T. Ilegems M. ImaiK. Impronta M. Inoue Y. loannou D.E. Ipri A.C. Ipry A.C. Irene E.A. 56,4-119 Isabelle D. Ishara M. Ishihara T. Ishii K. Ishikawa M.
Ishizaka A. Ishkawa H. ItoT.
ItohK. Itoh T. Iwabuchi S. Iwabuchi T.
Iwai H. Iwamura J.
2-70 4-58 5-74 1-229 2-86b, 2-111 1-209 2-77 6-104 2-59 2-44 1.45a, l-45b, l-45c, 1-48,112-32 1-30 1-95 5-94 1-20C, l-20d, l-20e, l-20f, l-86a, l-86b, 1-135,1-182, 1-228,1-296,5-104 1-60 1.20h, 1-80 l-20a, l-20b, 1.20c, l-20d. l-20e, l-20f, l-20g, 1.20h, 1-80, l-86a, 1.86b, 1.135, 1.182, 1.228 12.42 2.130 2.19 1.35, 1.242, 1.249,1-262, 1-271, 1-273,1.277, 1.278, 1.279, 4.136 1-29, 4.71, 6.82 2.49
Author Index of Volume 3
Iwasaki H. Iwata S. Izawa R. Izumi K. Izumi T.
1-248,1-253, l-254a, l-254b. 1-255,4-134,5-111 1-60 4-147 2-80 1-317
J Jabionski J. Jaccodine RJ. Jack K.H. Jackson K.H. Jackson W.K. Jacoboni C.
Jaffa Jaspers P.G.A. Jaussaud C. Jeng C.S. Jeng M. Jennings H.M. Jenq C.S. Jeppson K.O. Jerisian R. Jespers P.G.A. Jessee M. Jeuch P. JifiikuM, Joardar K. JobeK. Johansson E. Johlander B. Johnson N.M. Johnson R.L, Johnson W.C. Johnson W.S. Johnston A.H. JolyJ.P. Jones H.S. Jones K.L. Jordan T.M. Jorgensen K.L. Joseph R JoshiA.B. Jourdain M. Joyce B.A. Jupina M. A.
2-86b 1-94 1-155 1-181,1-235 5-69 4-33 10-29 10-126 2-6, 2-29, 2-85, 2-86a, 2-109, 2-141, 2-142, 12-75 1-180 4-155 1-158 10-122 5-77 6-61 6-2, 6-4 12-59 2-7 la 2-70 2-33 12-131 2-146 10-10 1-191,4-99,4-100,5-69, 11-17 12-163 10-100,10-110 1-34,9-11 4-87,10-134, 12-77 2-7 la 1-180 12-74 12-168 10-133 12-13 1-289,1-290, l-293a, l-293b. 5-114 4-118,4-157 1-71 4-193, 4-194
901
K Kaden G. KagaT. Kahng D. Kajiwara K. Kamada T. Kamarinos G. Kamgar A. Kamigaki Y. Kamiyama S. Kamtchatka M.I. Kanai F. KandaX. Kaneko M. KangK. Kanicki J. Kannol. KanzakiK. Kaplan D. Kapoor S. Kapoor V.J. Karlsson U.O. Karoui S. KanilkarP.C. KasaiR. KasamaK. Kassim N.M. Katol. KatoK. KatohK. Katsube T. KattoH. Kawamato Y. Kawamura K. Kawate Y. Kawazu Y. Ke-Ming W. KeebleD.J. Keepin G.R. Kejhar M. Kellerer A.M. Kelly B.T. Kelly M. J. Kendall E.J.M. Kerber M. KemD.P. Kerns D.V. Kerns S.E. Kerris K.G. Khatko V.V. Kikkawa T.
6-18,6-19,6-20,6-21,6-22 1-131 1-247 1-134,1-154,1-175, 2-87, 7-2 1-317 1-57, 2-53, 2-56 1-247, 2-34 5-90,5-91,5-95 1-128, 5-5 1-143 4-139 2-145 1-81 12-99,12-118,12-121,12-132 5-62, 5-65, 5-66 12-54 4-65 4-191 1-301 5-56, 5-57, 5-76 2-68 12-135 2-26 12-78 10-67 2-154 l-20h, 1-80,1-228 2-82 1-106,1-111,1-113 5-74 4-139 5-4 2-87 4-139 1-278 1-109 4-187, 4-188 9-31 6-49 10-19 9-6 2-117 5-72 6-88 4-152 12-73, 12-99 12-69, 12-73, 12-74,12-99 10-143 1-38 5-6
902 KiinerJ.A. KimB. KimD.M. Kim J. KimMJ. Kim ST. Kim W.S. KimY.Y, Kimmeriing L.C. Kimura S.I. KingCW. KingE.E, King J.H. Kingon A.I. Kirk C.T. KirschRC. Kirtley J.R. Kirton M. J. KisuT. KitaA. Kitabayashi H. Kitagawa H. Kitagawa T. Kitazaki K.S. Klausmami E. Klausmeier-Brown M.E. Kleeman R.D. Klein N. Klein R.B. Knapp J.A. Knights J.C. Knotec M.L. Knudson A.R.
KoJ. Ko P.K.
KobaR. Kobayashi I. Kobayashi K. Kobayashi T. Koga R,
Kohnle A.
Author Index of Volume 3
2-95, 7-3 4-104 4-67 1-290 1-103 1-301,1-302, 1-304 10-133 10-91,11-53 10-9,11-48 5-4,5-116 l-70a, 1-144 2-168,10-116 8-1, 8-27 1-90 1-193,1-200,1-251,5-60 1-33 4-127 4-167, 4-169, 4-173, 4-174, 4-176 5-4 4-22 2-116 1-102 1-317 10-17, 12-130 l-10g,6-51 4-38 10-30 3-22 10-71 2-117 4-185 1-306 12-43, 12-58, 12-59, 12-62, 12-65, 12-67, 12-70, 12-98, 12-99,12-118,12-121, 12-127, 12-141 4-149 1-283, 4-3, 4-4, 4-6, 4-7, 4-17, 4-42, 4-72, 4-77, 4-80, 4-90, 4-143, 4-148, 4-155, 4-170, 4-179 1-210 1-296 1-184, 10-67 4-53, 4-73 12-29, 12-61,12-89, 12-90, 12-91,12-92,12-131,12-137, 12-142, 12-160, 12-161, 12-164 12-112
Kolasinski W.A.
Kolodziejski J.F. Kolosanov V. Kondo R. Kong S.O. KooiE. Korhonen A.S. Koshelev N.I, Kotte K. Koyama K. Koyanagi M. Krantz R. J. Krause S.J. Krauser J. Krautschneider W.H. Kravitz B.L. Kreskovsky J.P. KrickD.T. KrickJ.T. KrishK. Knill W. A. Krusius J.P. KublerL. Kuck J.T. Kuhn G. Kuhn M. Kuiper A.E.T.
Kumagai J. Kumamoto T. KmneH. Kiindemund T. Kuramochi T. Kure T. Kurinnyy V.I. Kurokawa K. KusakaT. Kusonoki S. Kwan S.H. Kwan S.K. Kwok C.Y. Kwong D.L.
12-29,12-55,12-61,12-68, 12-89,12-90,12-92,12-137, 12-160,12-161,12-164 6-10 5-53 5-96 5-7, 5-8 1-68,1-69,5-11 1-108 2-128 2-94 5-6 4-145, 6-99, 6-100 11-36 2-100 1-54 4-48, 4-74, 6-44, 6-45 2-125 12-36,12-51,12-57,12-63 5-62 4-108 7-5 2-23, 2-98, 2-177 l-245a, l-245b 1-150,1-151,1-152 1-283 1-34 5-85,10-120 1-100, 1-177,1-190, 1-204, 1-239, 1-250, l-252b, 1-274, 7-3 4-66, 5-104 2-77 4-21 4-97 1-277 4-147 2-79 2-70 1-96, 1-176,1-189 2-77 1-181 1-185 5-7, 5-8 1-256, 1-257,1-264,1-265, l-266a, l-266b, 1-268,1-269, l-270a, l-270b, 1-272,1-282, 1-289,1-290,1-292, l-293a, l-293b, 5-114, 7-3
Author Index of Volume 3
L Lac J. Lafarge P. LaffRA. Lagnado I. LaiS.K. Laird J.S. LakhdariH. Lakhotia V. Lakshmanna V. Lalande P. LamRW, Lamarsh J.R. Lamure J.M. Lanford W.A. Lang B. Lang D. V. Lang J. Langevin M.P. Langheirich W. Langworthy J.B. LannooM. Lanzoni M. Larsson C.U.S. Lasky J.B. Latyshev A. V. Lau DJD. LauF. Laurent Y. Laux S.E. Lavine J.P, Law M.E. Lawrence R.K. LaxM. Le Goascoz V. Le Tiec Y. Leadon R.E. Leblanc A.R. Lecoy G. Ledys J.L. Lee C.H. Lee D.S. Lee J.
LeeJ.C. LeeJ.D. LeeK. LeeM.K. LeeR.G.H.
1-282 4-166 2-72 2-40 1.15a, l-15b, 1-15C, 1-180, 4-51,10-61 12-151 4-116b 1-237, l-285b, 1-286 10-80 2-6, 2-160, 2-166, 12-75 12-93 9-2, 9-33 2-83a, 2-89, 2-109, 2-137a 12-11 1-241 10-9 1-157 10-31 1-164 12-96,12-122,12-147 4-lU,4-116a, 4-198, 4-199 4-31,4-32 7-2 2-131,2-132 6-36 12-91 4-47^ 4-64 1-157 4-35,4-36 8-28, 8-29 4-12 2-139b, 2-189 10-45 5-26 2-141, 2-142 10-11 l-12a 2-62 6-29 5-9, 5-92 11-35 1-15C, 1-256,1-264,1-265, l-266a, l-266b, 1-268,1-272, 3-21,3-25,3-26 2-23,10-132 2-100 12-50 l-70a, 1-144,1-195 6-83
LeeW. Lee W.S. LeeY. Legge G. J.F. LeGoues F.K. Lehmann B. Lehmann C. Lehovec K. Lei C.L. Lelis A.J. Lemiti M. Lenahan P.M.
Lenahan P.M. Lennard W. Lent C.S. Lenzlinger M. LeonovP. Lepine D.J. Leray J. L.
Leroy B. Letaw J.R. Letertre F. Leuenberger F. Leung D.L. Leveque G. Levinson L. Lewandowski A.J. Lewis A.G. Lewis B.F. Lewis J.E. Li S.S. Li X.M. LiY. Liang M.S. Libsch F.R. Lido J.C. Lie L.N.
903 4-36 1-180 4-67 12-151 11-40 10-4,10-8 9-5 5-41,5-45,5-46,5-47,5-51 1-36 10-75 1-67, 1-77 1-214,1-259,1-288,4-106, 4-107, 4-193, 4-194, 4-197, 10-87, 10-88, 10-89,10-90, 10-91,10-100,10-101, 10-102,10-103,10-104, 10-105,11-15,11-21, 11-53 2-175 7-5 1-72 5-14, 5-28 2-168 4-182 1-169,2-6,2-8,2-10,2-11, 2-15, 2-69, 2-105, 2-120, 2-121, 2-157b, 2-160, 2-161, 2-162, 2-164, 2-165a, 2-165b, 2-166, 2-167, 2-169, 2-170, 2-171, 2-173, 2-178, 2-179, 2-181,2-183,2-184,2-195, 1-22, 11-25,11-28, 11-29, 11-31,11-37,11-47,11-50, 12-24,12-27,12-71,12-75, 12-94, 12-166 1-lOc 8-33, 8-34, 12-155 2-141,2-142 6-8 12-137 1-295,1-315 6-98 12-10 4-146, 6-99, 6-100 10-62, 10-85 11-42 2-21,2-177 6-38, 6-39 6-105 1-23 5-98 1-286 1-46
904 LieTJ. Liehr M. Lieske N. Likharev K.K. Lilienfeld J.E. LillA. LimRK. Lin S. LinY.T. Lincoln A.J. Lindhard J. Liou F.T. Lipkin L. Lippens P.E. Lisovskii G. Litovchenko V.G. Littmark U. Litvovskii LP. LiuZ.H. LoG.Q. L0R.Y. Loboda MJ. Lochard J.P. Ldfflcr-Peters A. Logvinskii L.M. Lomako V. Lowe AJ. Lowney J.R. Lowther R.E. Lu G.N. LuRC. Lu S.L Lubart N.D. Lubowiecki V. Luby S. Lucero A.B. Lucovsky G. Lujagin R.N. Lundkvist L. Lundstrom L LundstromMLS. Lutz L.J. Lyon S.A.
Author Index cf Volume 3
12-137 11-42 1-99 4-163 2-1, 2-2 4-23,4-98 2-20b 1.270a, l-270b, 1-291 1-16 5-12 9-19 6-101 10-51 4-49 6-36 1-38 9-26,12-125 1-38 1-283 1-257,1-283,1-289,1-290, l-293a, l-293b 12-56 2-76 12-32,12-41 1-299 1-202 6-36 5-64 6-26 12-74 7-2 7-1 2-143 5-25 6-29, 6-32 1-172 8-18, 8-19 1-167,5-115 1-172 5-48, 5-75 5-31,5-32,5-33,5-48 4-38 1-90 1-191,4-114,4-129
M MaT.P.
MaY. Madden H.H.
4-135, 6-63, 6-75, 6-76, 6-81, 6-105, 8-39,10-63,10-64, 10-65,10-66, 10-144 5-115 1-123
MadeaY. Madhukar A. Maeda M. Maeguchi K. MaesR Maes H.E.
Magee C.W. Maggia A. Maggioni F. Maggiore C.J. Maillot C. Maisch W.G. Maiti B. Maleville C. Maliniak D. Malone C.J. Manasevit H.M. Manchanda L. Manfredi M. M^gat P.S. Manning M. Manoliu J. Mantini M.J. Manzini S. Mapper D. Marcandelia C. Marchand R. Marchanda L. Marchetaux J.C. Marcus S.M. Margail J.
Maria D.I. Marmier P. Marsh CD. Marshall P.W. Martin C. Martin F. Martin J.L. Martin R. A. Martin RC. Martinez L. Martinez M. Maserjian J.
2-84b 1-201,10-62,10-85 l-116a, 1-116b, 1-124 4-65,4-71 2-30, 5-35, 5-36,5-42 4-16, 4-43, 4-52, 4-76, 4-80, 4-85,4-89,6-3,6-5,6-11, 6-23,6-24, 6-27, 6-28,6-65, 6-67,6-68,6-69,6-70,6-71, 6-78,6-103,6-110,10-127 4-120 4-56 3-23 12-43 1-124,1-140,1-149 10-14,10-16 1-264,1-265, 7-5 2-137a 2-190 12-87,12-88,12-97 2-47, 2-66b 7-5 4-32 1-302 6-102 1-28,1-61 1-167 5-105, 5-106 12-103,12-106,12-113, 12-114 2-38 1-157 5-3 4-49,4-50,4.54,4-55,4-61, 4-180, 6-79 12-2 2-6, 2-10, 2-29, 2-85, 2-86a, 2-107,2-109,2-171,2-173, 2-178, 2-179,11-28,12-75 1-280 9-21 2-95, 2-106 10-18,12-14 2-102 5-70,5-79,5-80,5-113,12-75 2-8 4-146 12-61 1-181 12-33 2-71,10-62, 10-85, 10-93, 10-94
Author Index of Volume 3
Massengill L.W. Massoud H.Z. Masszi F. Masszi N. MasuiS, Maszara W.P. Mathews V.K. Mathiot D. Mathur B.P. Matsikawa T. Matsukawa T. Matsumoto Y. Matsuoka F. Maung T. Maurits J.E.A. MayT.C Mayer DC MayoS. Mazer J. A. Maziar CM. Mazoyer P. MazuieC. McCarthy D. McCartyKP. McCluskey F.P. McDonald P. McDonald T.T. McFeely F.R. McGarrity J.M.
McGee T.F. McGuire McIUwain C.E. McKinleyJr. W.A. McKitterick J.B. McLean F.B.
McMahonRA. McManus A. McMorrow D. McMuUin P.G. McNeil V.M. McNulty P.J. McPherson J.W.
12-73,12-74 l-45a, l-45b, 1-45C, 4-119 2-35 2-35 2-87 2-134, 2-136, 2-139a, 2-174, 2-176,11-23,11-30 5-114 2-105,11-24,11-44 1-200 1-82, l-85a, l-85b 1-122, 4-138 1-30, 1-81, 4-66 4-71, 4-150 2-104b 2-50 12-6, 12-7, 12-9, 12-16 2-66a, 12-137 9-13 12-118 4-38, 4-145 5-113 4-23 6-34 12-84 1-94 12-127,12-156 12-70 1-59, 2-68, 3-15 10-33,10-38,10-40,10-41, 10-42, 10-47, 10-48, 10-54, 10-112 1-104 8-38 8-9, 8-10 10-7 2-134, 2-174, 2-176, 2-187, 11-23,11-30 2-158,10-40,10-41,10-42, 10-47, 10-48, 10-49, 10-50, 10-54,10-68, 10-75,10-78, 12-47,12-48 2-64 2-154 12-99 2-36, 2-37 2-192 10-25,12-101, 12-152, 12-153, 12-154 3-20
McWhorter P.J.
Measel P.R. Medve T.J. Meekison CD. Meerson E.E. Meieran E.S. Meindl J.D. Meisel T. Meisenheimer T.L. Mendz G. Menjoh A. Mercandalli L.M. Merz CJ. Messenger G.C Metral F. Metz E. Metzger S. Meyer B.K. Meyers J.P. Michaud J.F. Michel C Michielsen T.M. MielkeM. Mieville J.P. Mikawa R.E. Miki H, MikkelsenJrJ.C Miller L. Miller S.L. Miller W.M. Minami S. MinichT.M. Mir A. Mishima M. Mistry K.R. Mitsuada K. Mitsuhashi J. Miura K. Miyake M. Miyasaka Y. Miyoshi H. Mizuniwa T. Mizuno T. Mizuo S. Mnich T.M. Moazzami R. Moddeman W.E.
905 5-65, 5-78, 6-57,10-74, 10-79, 10-113,10-115,11-34,11-51, 11-52,11-55 12-128 5-25 2-95 1-202 12-9,12-16 1-39 1-162 4-115,6-85,6-96 4-184 5-104 2-65,2-120,2-121 1-199 8-40, 8-43, 9-35, 10-135, 10-145,12-21,12-46 2-137a 1-65 12-102 4-189 12-147 2-109 2-123 2-129 1-32 4-154,4-161,4-162,4-177, 4-178 10-102, 10-103,10-104 4-104 11-49 10-9 5-78, 10-79,11-34 10-79 5-90,5-91,5-95,5-96 10-133 4-113,4-118 2-133 4-45, 4-60, 4-68, 4-70, 4-86, 4-96 1-30 4-138 5-103 4-73 5-6 1-47 2-133 4-66 1-96,1-176,1-189 12-164 3-13, 3-21 1-138
906 Modelli A. MolleP. MoUer C. Momose R S . Mondon F. Monkowski J.R. Monroy A. Montaron J. MontroU E.W. Moon J.E. Moragues J.M. MorarJ.F. Morel D. Moret J.M. Mori R Morii T. Morimoto T. Morita M. Moriwaki M.M. Morosanu C,E. Mortini P. Moslehi M,M.
Moss D.G. Motchalkina O. Motoyoshi M. Mott N.F. Mouis M. Moulson A.J. Mound M.G. Mouret I. Mrstik B.J. Mueller G.P. MukaiK. MulhoffRM. Miiller J. Mtiller R. MullerR.S. Muls P. A. Miu^ai F. Murali V. .Muramoto Murarka P. Murley P.C. Musil J. Musseau O.
Mylroie S.W.
Author Index of Volume 3 3-23 7-2 9-17 1-27,1-29 5-113 1-145 2-118,2-119 2-8 10-43,10-44 4-148, 4-155 6-61 2-68 4-9 4-154 5-5 3-11 1-29, 6-82 1-95 12-44,12-130 l-75a, l-75b 4-88, 4-162, 6-60, 6-72 1.21a, 1.21b, 1-2 Ic, 1-2 Id, 1-121, 1-146,1-173, 1-174, 1-197,1-198,1-246 1-91 2-126 4-139 1-51, 10-5, 10-6 12-34 1.161a, 1.161b 1.31 12.83,12-86 11-7 9-34 5-4,5-116 4-23, 4-97 1-299 12-158 4-3, 6-42 6-56 1-131 1-63 1-53 1-137, 7-2 12-35, 12-37 MIO 2.6,2-11,2-160,2-166,2-179, 11-25, 11-28, 12-24, 12-27, 12-33, 12-34, 12-60, 12-71, 12-75,12-94, 12-134, 12.166 9-11
N Naber J. A. Nagakubo Y. Naiman M.L. NaitoY. Nakagawa S. Nakajima O. Nakajima S. Nakajima T. Nakamichi I. Nakamura H. Nakamura S. Nakamura T. Nakano M. Nakao S. Nakashima S. NakataR NakataY. Nakaya M. Nakazawa M. Namavar F. Nanba M. NaoeM. Nara Y. Nariani S.R. Nastasi M. Nathan V. Nathanson H.C. Natori K. Neave J.H, Nee J. Nejim A. Nelson J.T. Nelson M.J. Nemetz J.A. A. Neppl F. Nervik W.E. Neugebauer C.A. Nevill L.R. Newbeny D.M. Ng K.K. Ngai K. Nguyen T.N. Nguyen^iuc C. Nichols D.K. Nicolas D. Nicollian E.H.
10.11 1.27 1.179,1.186,1.192,1.193, 1-200,1-201,1-236,1.251 1-248,5-111 2-77 1-53 4-53 2-87 1-296. l-116a, 1-116b, 1-81 2-150 l-20f, l-20h, 1-80,1-135, 1-182,1-228 2-130 4-138 2-82, 2-84b, 2-86b 1-82, l-85a, l-85b, 1-122 3-11 2-77 7-3 2-88 1-189 1-125 2-150 5-107 10-14 6-73, 6-74 2-36, 2-37 2-19 1-71 2.104b 2-106 12-8 12-10 l-19a, l-19b, 1-145 4-23, 4-98 12-109 5-40, 5-87 12-45, 12-136 12-17, 12-167 4-62 5-61 1-199, 3-28 6-58 8-16, 12-29, 12-84, 12-87, 12-88, 12-89,12-90, 12-91 1-232 1-5, l-25a,l-25b, 4-130, 6-43, 6-52, 10-123,10-124
Author Index of Volume 3
Niihara L. Niklasson G.A. Nikolich A.D. Ning T.H. Nishi Y. Nishimura T. Nishioka Y. Nitayama A. NodaS. Noguchi M. Nojiri M. Norde H. Northcliffe L.C. Norton N. Nostrand G.E. Novkovski N. Nozaki T. Nulman J. Numasawa Y. Nylander J.
1-156 1-314 2-193 4-18,10-55 11-16 2-77 5-4,5-116,6-63 4-150 1-276 4-104 1-102 2-35 12-123 1-71 2-44 1-260,1-261 l-20a, l-20b, 1-20C, l-20d, l-20h, 1-80 l-245a, l-245b 5-5 2-35
O O'Brien R.R. O'ConnelM. O'Keffe T.W. Oberg D.L. OchoaA. CkiakeY. Ohfkura M Ohishi T. Ohmi T. OhmoY. Ohmura T. Ohnishi K. Ohnishi M. OhnoS.
Ohshima K. Ohta K. Ohya S. Okada H. Okada U. Okada Y. Okazaki Y. OkuhiraH. Okuyama K.
12-35,12-37 5-12, 5-13 2-36,2-37 12-128,12-143 12-161,12-164 4-142 1-131 1-117,1-118 1-62, 2-133 1-82, l-85a 1-255 5-89 2-116 1-35,1-243,1-249,1-262, 1-263, 1-267,1-271,1-273, 4-136 12-42 1-127 5-6 5-111 l-285b 1-137, 1-286,1-287, 7-5 4-73 1-96,1-176 4-139
Oldham T.R.
Oldham W.G Oleksiak R.E. Olivo P. Olsson J. OngT. OnoT. Onsager L. Oppermann K.G. C^pliger Y. Ordejon P. Orlowski M. Ormont B.F. On S.R Osbom J. V. Osbum CM. OtoiF. Oualid J. Oude Elferink J.B. Ouisse T. OverhofR Ovrebo G.K. Ovshinsky S.R. Owczarek A.
907 2-158,10-32,10-33,10-34, 10-38,10-49,10-50,10-75, 12-47, 12-48 l-74a,l-74b, 1-101,1-115, 1-119,1-166 5-12 3-28, 4-159 2-146 4-72, 4-77 1-277 10-26, 10-27 9-25 4-154 1-295 4-13,4-23,4-98,4-141 1-143 12-10 12-61 4-19 2-116 6-61 l-252a, l-252b, 7-3 2-14,4-161,6-104,11-27 4-190 12-49 2-83b 6-10
P Paffen M. Pai P.L. Paillet P.
Palau J.M. Palmer T. Pan P. Pananakakis G. Pancholy R.K. Pannetier R. PantanoC.G. PantelR. Pantic D. Pao H.C. Papadas C. Papageorgopoulos A. Papon A.M. Paquette C. Parameswaran L. Parillo L.C.
1-68 l-74b 2-15, 2-105, 2-157b, 2-163, 2-164, 2-165a, 2-167, 2-169, 2-170, 2-181, 2-184,11-29, 11-31,11-47,11-50 6-107 12-70 1-196,1-206,1-234 6-60 1-187 12-107 1-238 1-84, 1-227, 1-232 6-106 5-12,5-13 6-60 1-301 2-109, 2-142, 2-83a 1-196 2-192 4-79, 4-149
908 Park J.C. ParkY.C. Pastorek R.A. Patel J.R. Patin Y. Pauleau Y. Pauling L. Paulsen RE. Paviet-Salomon F. Pawlikiewicz A.H. Pearson G.L. Pease R.L. Peckerar M.C. PeercyP.S, Peisner J. Pellet C. Pelloie JX. Pelloux G. Peng J. Pennise C.A. Pente P. Pepper M. P^reJ.F. Perlegos G. Pemg S.Y. Perov G.N. Perret A. Perriere J. Peters T.B. Petersen E.L. Petit C Petrak J.R. Petrova V.Z. Petmzzello J. Pfiester J.R. Philipossian A. Philipp H.R. Phillips J.C. Pickel J.C. Picraux S.T. Pinkerton S.D. Pinto M.R. Pitt M.G. Plaag R.E. Platteter D.G. Pliskin W.A.
Author Index of Volume 3
2-100 5-69 6-57,11-52 11-48 12-32,12-38,12-41,12-71 1-83 10-2 4-117,6-89,6-90 4-57 2-32 2-5 10-114,10-137 5-88 1-87, 5-58 1-315 1-169, 2-69 2-38 2-62 1-302 2-187, 2-188 l-245b 4-107, 4-191, 4-196 2-10, 2-29,11-25,12-75, 12-94 1-34 1-145 1-202 4-154 2-65 2-64 8-5,12-14,12-31,12-62, 12-96, 12-145, 12-146, 12-147, 12-155 4-157 1-178 2-128 1-104 4-149 1-281 12-120 5-67 12-15,12-89,12-115,12-116, 12-145,12-148,12-155 5-54, 5-58 12-137 4-10 2-64 12-77 2-7,10-114 1-165
Plossu C. Plummer J.D. Poindexter E.H.
Poirault G. Pomp H.G. Poncet A. Pooley D. Poorter T. Pothier H. Patzl H.W. Poumeyrol T. Poveda P. Powell M, J. Preuss E. Prevost G. Pribat D. Price W.E. Priedhorsky W.C. Prijic Z. Procyk J.F. Provost-Grellier A. Przyrembel G. Pukite P.R. Pulver M.
1-215,1-216,1-219,1-220, 1-223,1-225,1-226, 6-29, 6-32, 6-40, 6-66 1-39, l-45a, l-45b, l-45c, 4-124 l-44a, l-44b, l-44c, 4-99, 4-100,4-125,4-130,4-186, 4-187,4-188,5-65,10-98, 10-99,11-17 12-72 1-274 4-19,12-72 9-7 3-9 4-166 4-8a 2-137a 1-294 5-59, 5-64 2-60C 6-107, 6-108 2-65, 2-83a, 2-89, 2-109 12-29,12-87,12-88,12-89 12-140 6-106 1-31 12-133 6-45 1-72 5-19, 5-20
Q Queirolo G.
5-106
R Rabum W.D. Racanelli M. Raffaelli M. Raflferty C.S. Rahaman M.N. Raider S.I. Ralls K.S. Ramesh K. Ranganath T.R. Ray A.K. RayW. Raynaud C.
12-118 2-31 1-169, 2-10, 2-69, 2-179, 11-28 4-10 l-161a, l-161b 1-165, 1-178 4-168 1-212 1-34 1-199 4-149 2-38
Author Index of Volume 3
Razouk R.R. ReadW.T.Jr. Reber R.A. Redman D.J. ReedG.T. Reed ML. ReedRA. Reeson K. J. Reeves M. Reggiani L. Reiche M. ReidK.G. Reier M. ReifR. Reimbold G. Reimer R Reinhardt H. Reisma A. Reisman A. RenS. Renninger A. Repace J.L. Resinger H. Revesz A.G. Revil N. Ricei J. Ricco B. Richardson R.E. Riehman M.H. Rkhter A.K. Rickman A.G. RideoutY.L. Rider B.F. Ridley B. RiegerD. Riewe L C Riezler W. RigoS. RishtonS. Rivet S. Robertson J. RoblinP. RdcherH. Rochet F. Rockett L. Rodgers V.C. Roeske SB. Roitman P.M.
l-44a, l-44b, 1-46 6-16 4-115,6-85,11-34 12-13 2-153 4-124 12-153,12-154 2-95 12-156 4-33 2-101 7-5 12-117 1-179 4-57,4-69 6-18,6-19,6-20,6-21,6-22 1-313 10-51 l-25a, l-25b 1-159 1-34 2-54 5-108 2-96,2-99a,2^99b, 2-103, 2-104a, 2-172,10-95,10-96, 11-1,11-33 4-88,4-161, 4-162, 6-60, 6-72 12-140 3-28,4-32,4-39,4-159 1-76 1-158 12-119 2-153 l-12a 12-110 4-29 2-68 4-115,6-85,10-137 9-23 1-lOa, 1-126,1-148,1-149. 7-1, 7-2, 7-3, 7-4, 7-5 4-152 12-122,12-132 5-59,5-65,5-66 4-24 12-102,12-174 1-149, 7-3 12-55 10-11 12-140 2-97, 2-100, 2-175, 2-176, 9-13,11-30
Rojo P. Rollins J.G. Roman B. Romano-Rodriguez A. Ronda A. Rong F.C. Rose K. Rosen A. Rosier L.H. Rosier R.S. Rosling M. Ross E.G. Rostaing J.C. RothD.R. Roulet H. Roulet M.E. Roussos J. RouxO. Roy A. RubloffG.W. Rud^kJ. Rudie N.J. Rudloff A; RudralK. Rugel. Rumennik V. RungRD. Rushbrook P.P.
909 4-19 12-55,12-68,12-79 4-149 2-30 1-89,1-215,1-216,1-217, 1-218,1-219,1-220,1-240 4-186, 4-187,4-188 1-92 8-14 12-135 1-107,1-109 2-35 5-16 1-315 12-101,12-154 1-140,1-149 2-63 12-99 4-171 5-99,6-94 11-40,11-41,11-42 12-155 12-169 9-23 2-185,11-6 1-98 1-104 1-27 1-286,1-287
S Sabi B. Saeki T. SahGT. Sai-Halasz G. A. SaidiD. Saint A. Saint-Bonnet P. SaitoM. Saitoh M. Sakamoto M. SakaoM. SaksN.S.
SakumaT. SakuraiT. Salace G. Sailer S. Samizo A.
4-67 5-5 4-131,10-56 4-152 1-88, l-141a, l-141b 12-151 4-69 2-86b 1-184 1- 184,10-67 1-128 1-280, 4-16, 6-34, 6-35, 6-46. 6-47, 6-48, 6-53, 6-54,6-62. 6-102, 6-109,10-69,10-70, 10-71,10-72,10-108,10-128 5-6 10-107 4-118,4-157 4-82 1-255
910 Samman A. Sanchez J.J. Sander H.H. Sanderson T.K. Sangiorgi E. Santarelli F. Saraswat K.C.
Sarti G.C. Sasaki A. Sasaki N. Sasaki T. Sassi Z. Sato M. SatoT. Satoh A. SawadaS. Sawyer D.M. Schaafs W. Schacham-Diamand Y. Schalch D. ScharffM. Scharaiann A. Scheibner J.E. Scher H. Schifano J. Schilling R.F. Schiott H.E. Schlotterer H. Schmidt M.A. Schmitt D. Schockley W. Schofield N.J. Schoneich J. Schotte P. SchrimpfR.D. Schroder D.K. Schroeder J.E. Schroeder J.R. Schrott A.G. Schuette K.P. Schulte W.H. Schulz M. Schiitz A.
Author Index of Volume 3 4-24 4-140 10-81 12-103,12-105,12-106, 12-108,12-113,12-114 4-32, 4-39, 4-159 1-41 1.21a, 1.21b, 1-21C, 1.21d, 1-121, 1-146,1-197,1-198, 1-246 1-41 1-112,1-170,5-68 2-150 1-117,1-118 1-153 l-254b, 1-255 2-49 2-22 4-66 8-30 9-29 l-74b 1-168,1-311,1-312,1-313 9-19 1-168,1-311,1-312,1-313 1-1 10-44, 10-45, 10-46 12-49 12-123 9-19 2-60a, 2-60c 2-192, 2-193 4-97, 4-98, 6-13 10-22 8-25 2-94 9-24 6-108, 12-83, 12-86 5-103 12-160 10-132 l-136a, l-136b, 1-136G, 1-139 1-97 7-2 4-175 4-8a
Schwank J.R.
Schwartz H.R. Schwartz P. V. Schwebel C. Schwerin A. V. Schwob P. Scott G.L. Scott J.H. Secrest E.G. Sedgwich T.O. Sega R.M. Segal E. Seguin R. Seibt W. Seigneur F. Selberherr S. Senn P. Senturia S.D. iSequeda E. Setsune K. Severi M. Severin P.J. Sexton F.W. Shafer B.D. Shah P.L. Shah R. Shahidi G.G. Shaneyfelt M.R.
Shanfield Z. Shapiro P. Shatas S.C. Shatzkes M. Shaw J.J. Shea M.A. ShiZ. Shih C.T. Shih D.K. Shimizu A. Shimizu S. Shimohigashi K. Shimoka G. Shin H. Shinoda M. Shinozaki S.
2-174, 4-115, 6-59, 6-85, 6-93, 6-95,6-96,6-97,10-74, 10-113,10-136,11-9,11-10, 11-23,11-34,11-44,11-51, 11-56 12-45, 12-91,12-136 2-155 1-126,1-169, 2-15, 2-69, 2-164 4-23, 4-44, 4-80, 10-53 2-63 12-16 5-15 12-66 1-244 12-13 l-75b 2-102 12-52 6-40 4-8a, 4-8b 2-58 1-186,1-200,1-251 1-76 1-317 1-209 1-298 10-74, 12-100, 12-151 10-83, 12-18, 12-164 1-17 4-82 2-12,4-151,4-153 2-174,4-115,6-59,6-85,6-93, 6-95, 6-96, 6-97, 11-9, 11-10, 11-23, 11-34,11-44,11-56 11-33, 12-44, 12-130 12-58, 12-59, 12-62, 12-67, 12-70,12-150 l-21d, 1-197,1-246 3-12, 3-14, 3-17, 3-18 6-37 8-2 4-154, 4-177, 4-178 l-70a 1-257 6-14 1-30 1-30 2-67 4-145 l-20a, l-20b, 4-66
Author Index of Volume 3
Shinriki H. Shintani A. Shiomo N. Shirota R. Shockley W. ShofieldN.J. Shoga M. Shuermeyer F.L. ShurM. Sian P. Siatsa S.P. Sicard E. Siejka J. Siergiej R.R. Sigel Jr. G.H. Sigmon T.W. Silberberg R. Simeon E. Simic E. Simmons J.G. Simon M. Sim{^on W.I. Singley G. W. Sinistra S. Sioshansi P. Sirvio E.H. Skeliy D.W. Skorupa W. Slomp A. Smart D.F. Smith D. J. Smith E C . Smith F. Smith H.L Smith L.S.
Smith RL. Smith S.L. Smith T.E, Smith T.J. Sdderbag A. Sodeibarg A. Sodini C.G. Sokel R.J. Soleimani H.R. Soli G.A. Solo de Zaldiva J. Solomon I. Solomon P. SongY.
5-4,5-116 1-96,1-176,1-189 1-53 4-160 2-4, 2-5, 4-25, 6-16, 9-10 8-25 12-76,12-131 6-9 12-50 2-39 5-84 12-162 2-65,2-121 2-37,4-117,6-89 10-86 1-121 8-31,8-34 4-172,10-10 2-104b 6-15 10-76,10-77 2-47 8-20,8-21,9-16 5-53 2-88 1-108 9-12 2-94 1-207 8-2, 8-33 2-64 12-3,12-131,12-145,12-155 12-165 4-151,4-153 12-29, 12-56, 12-88, 12-90, 12-91,12-97,12-129,12-159, 12-172 2-113 12-172 1-44 2-36, 2-37 2-146 2-35 1-181, 1-235 1-87 1-281 12-56,12-90,12-91, 12-129, 12-172 1-261 4-183, 4-185 4-126 12-61
Soppa W. Soubie A. Soukiassian P. Speath J.M. Spierings G.A.C.M. Spitzer A. Srour J,R. Stahlbush R.E. StaporW.J.
Stamberg H.I. Stary F. Stasiak J.W. Stassinopoulos E.G. Stathis J.H. Stauss G.H. Stavola M. Steckl A.J. StMile F.C. Steiger J. Stein H. J. Stella C. Stephen J.H. Sterling H.F. Stesman A. Stesmans A. Stich B. Stievenard D. Stiffer S.R. Stoddard G.B. Stoemenos J. Stormer C. Straboni A.
Strahan V.H. StrebW. Strel'tsov L.P. Sturm J.C. Su I S . SuQ.X Subrahmanyan R. Sugahara K. Sugano T. Sugii T. Summers G.P. Sun J.Y.C. Sundaresan R.
911 6-45 2-109, 2-137a 1-97,1-301,1-302,1-303, 1-304 4-190 2-129 5-109,5-110 10-17,10-24,12-44 2-176,2-180,11-7,11-30 12-58, 12-59, 12-62,12-67, 12-70,12-122, 12-127, 12-132, 12-138, 12-147 1-97 2-94 4-121, 10-58 8-11,8-35,12-168 1-258,4-101,4-195 10-14 11-48 2-76, 2-140 7-1, 7-2, 7-3, 7-4, 7-5 1-54 1-87,1-160, 2-117, 5-54, 5-55, 5-58 2-102 12-103,12-106,12-113, 12-114 1-105 2-172 2-182,11-11 4-190 4-110,4-198,4-199 2-131 12-140 2-85, 2-86a, 2-107 8-8 1-83,1-84, 1-225, 1-226, 1-227,1-231,1-232,1-233, 7-3 12-116 1-162,1-300 2-79 2-34, 2-155 6-83 1-136C, 4-11,4-87 2-77 4-104,10-107,11-13,11-14 l-86a, l-86b, 2-22 10-14, 10-15,10-16, 10-18 10-56 6-101
912 Sung J.M. Sunouchi K. Sutardja R Suzuki E. Suzuki H. Suzuki N. Suzuki, K. Svensson C. Svensson CM. Swann R.C. Sweatman D. Swickeit S.L. Sze S.M. Szedon J.R. Szeto R.
Tack M,R TaftE.A. Taguchi M. Taitel J.B. Takahashi T. Takahashi Y. Takaishi Y. Takato H. Takayashiki T. Takeda E. Takeishi Y. Takeuchi K. Taleb-Ibrahimi A. TamS. Tamminga Y. Tanaka H. Tang C.K. Tang H.T. Tang Y. Tango H. Tannenbaum E. TarasR Tasch A.F. Tashimori M. Tastet P. Taylor G.W. Taylor M.R. Taylor T.L. Teague M. J. Teng C.W. Terman L.M.
Author Index of Volume 3
4-129 4-150 l-74b 5-94, 5-102, 5-103 1-128 4-46 2-22 5-30,5-31,5-32,5-33,5-48, 5-75, 5-77 10-106 1-105 1-284 12-70 1-6,1-7,1-8, 1-9, 4-1, 4-5, 6-31,10-125 2-36, 2-37, 5-9, 5-92 1-28,1-61
Terrili K.W. Teny F.L. Terry Jr. F.L. Tesmer J.R. Teunissen P. A. A. ThakurRP.S. Theeten J.B. Theis T.N. Theunissen A.M.L. Thieberger P. Thomas G.E. Thomas RN, Thompson P.T. Tiensuu S. Tiemey E. Tihanyi J. Tijhaar RH.G. Ting W.
6-103 1-163,12-120 1-135 1-251 11-13, 11-14 5-89 1-128 4-150 1-277 1-131,4-21,4-46,4-147,6-14, 6-77, 12-42 2-19 12-42 1-59, 2-68 4-4, 4-7, 4-17 1-114,1-177,1-250,7-3 5-112 2-153 7-5 4-67 2-49 1-1 1-110 4-145 2-87 12-82, 12-144 4-62 2-84a 2-157a, 11-26 8-22, 8-23, 8-24, 8-25 4-144 10-118
Tir^n J. Tisnek N.I. Tissot A. Titov V. V. Titus J.L. Tizons A.H. TobinP.J. Tomizawa M. Tong Q. Y. Tooi A. Touboul A. Tove P.A. Toyabe H. Toyabe T. Toyokawa Toyoshima H. Toyoshima Y. Trauwaert M.A. Treece R.K. Tressler RE. Trimaille I. Triplett B.B. Trombetta LP. Tromp R Troutman RR. Troxell J.R Trucchi S. Truche R. Tsai H.H. Tsamis C.
4-7, 4-42 1-179,1-186,1-193,1-236 1-200 10-14 4-165 5-114 1-177,1-250, 7-3 4-127 1-204 12-129,12-172 1-100 2-36, 2-37 2-168 2-146 1-48, 4-105 2-55 1-204,1-207 1-256,1-257,1-264,1-265, l-266a, l-266b, 1-268,1-269, l-270a, l-270b, 1-272,1-282 2-35 4-26 2-29 2-79 6-108,12-85 12-144 1-237, l-285a, l-285b, 1-286, 1-287, 7-5 12-78 2-76,2-101,2-135,2-140, 2-143 2-78 2-62 2-35, 12-52 4-21 12-42 10-67 1-117,1-118 4-71 10-10 10-133 l-19a,l-19b, 1-145,1-210 7-1, 7-2, 7-3, 7-4, 7-5 11-13, 11-14 4-105 11-40 12-20 1-91 2-137a 2-8,12-75 1-208 2-107
Author Index of Volume 3
Tsao C-H. Tsao J. Tsao S.S. TsaurB.Y. Tsay R.P. Tseng F.C. Tseng W.L. Tsobuchi N. Tsou J. J. Tsoukalas D. Tsu D. V. Tsubota T.K. Tsubouchi N. Tsuchiaki M. Tsuchiya T, Tsujide T. Tsukiji Tsutsu N. Tsyplenkov V.S. Tuan H.C. Tucker W.P. Tung S. Tuppen C.G. TurflerRM. TuriR.A. TurpinDC. Twigg M.E. Tyler J.E. Tyson S.M. Tzou JJ
8-31,8-34 2-31 2-28,2-117 2-74 5-103 1-195 6-41 1-82, l-85a 4-63 2-107 1-167 12-55,12-137 1-47,1-82, l-85a 6-82 4-53, 4-73 1-102 10-67 3-11 2-79 2-43 12-152 1-191 2-84a 10-114 5-56, 5-57 10-74,10-113 , 11-51 1-280 1-167 2-25 10-56
U UchidaH. UchidaK. UchiyamaA. UedaJ. Ueumann F.K. Umbert A. Umlor M.T, Underwood C.L. Uraoka Y. Urbina C. Uren M. J. UsuiS.
5-112 5-96 1-262,1-277, 1-279 4-22 1-65 2-120, 2-121, 12-24,12-75 4-188 12-158 3-11 4-166 4-167,4-169, 4-173, 4-174, 4-176 1-175
913
V Valkenburg W.G.J.N. Van Bentum P. J.M. Van de Leemput L.E.C. Van de Wijgert W.M. Van Den Bosch G. Van den Hove L. Van der Brekel C.H.J. Van der Drift E. Van der Heide U,A. Van der School J. J. VanderWegW.F. Van Hove J.M. Van Kempen H. Van Lierop J.G. Van Lint V A, J. Van Loenen E. J. Van Overstraeten R.J. Van Vonno N. Vanhellemont Vanheusden K.H. Vanskike L.L. Vapaille A. VasiJ. Vasquez R.P. Vasudev P.K. Velazco R. Venables D. Vengurlekar A.S. Venturi F. Venturin J.L. Verma G. Verwey J.F. Vette J.L Vidiella G. Vincent G. Viswanathan CR Vitez A. Vogel R.H. Vogelsang Th. VogtH. Vollebregt F. Volonte F. Vranch R.L. Vu DP. Vu K.N.
1-114 4-165 4-165 1-204 6-3, 6-27, 6-28 4-16 1-298 6-87 l-252a 3-4, 3-5, 3-4 1-204,1-207, l-252a, l-252b, 7-3 1-72 4-165 1-69 10-11,10-12 11-40 5-35, 5-36, 5-42, 6-56 12-95 10-10 2-182 12-^ 1-10, 4-200, 4-201, 4-202. 6-1 1-212 1-192, 1-201,10-62,10-85 2-66a 12-133,12-135 2-100 10-80 4-39 12-139 1-32 1-lOd, 3-1, 3-7,3-29 8-13,8-17,8-18,8-19,8-20, 8-21,8-22,8-23,8-24,8-25, 8-26, 8-28, 8-29,8-30, 9-16 12-38 4-132 6-12 2-29 5-82 4-27 2-93,2-110 3-29 5-105 4-107, 4-196 2-24 12-61
914 Vuillamne D.
Vuillermoz B.
Author Index of Volume 3
4-49, 4-50, 4-54, 4-59, 4-60, 4-61, 4-75, 4-102, 4-103, 4-110, 4-113, 4-116a, 4-116b, 4-203,6-79 1-84,1-231,1.232,1-233
W WachnikRA. Wagemann H.G. Wagner B.C. Wagner R.S. WallmarkJ.T. Walters P. A. Walton A. J. WangH. WangL.K. Wang Q. Wang S.J. Wang X. WannH.J. Warren W.L.
WasaK. Waskiewicz A.E. Watanabe H. Watanabe M.W. Watanabe T. Watkins G.D. Watson K. Watson R.K. Weaver H.T. Webber W.R. Weber W.
Wegener H.A.R. Wegner H.E. Wei C.C. Wei L.S. Weimer P. K. Weinberg A.M. Weinberg B.C. Weinberg Z. A. Weis G.H. Werner C. Wert J.L.
6-26 6-45 9-12 12-43 5-15, 5-16, 12-2 1-104 2-153 1-292 2-27 4-48, 4-74 4-129 2-168 1-283 2-105, 2-174, 2-181, 4-106, 4-130, 4-187, 4-188, 5-63, 5^5, 5-66,6-93,6-96,10-105, 11-9,11-10,11-23, 11-24, 11-44, 11-50 1-317 12-89,12-116 1-106,1-111,1-113,1-128, 5-6 2-78 5-104 9-4 12-91 12-45 12-64 8-15 4-13, 4-14, 4-47, 4-48, 4-64, 4-74,4-80,4-81,4-84,4-89, 4-92, 4-93, 4-94, 4-97, 4-197, 8-15, 10-53 5-12, 5-55 12-129 4-144 6-15 2-45 9-32 12-51 3-19 10-43 4-14, 4-44, 4-81, 4-141 12-128
WertL. Wertz J.E. Wetteroth T. Wetzel C. Wheatley C.F. Whetstone S.L. White F.R. White J.M. White M.H.
Wiggins M.D. Wigner E.P. Wilkinson D.C. Willemsen M.F.C. William C.K. Williams R. Wilson D. Wilson I.H. Wilson J.B. Wilson S.R. Wilson W.E. Wiltshire R.A.P. WinokurP.S.
Witczak S.C. Witmark U. Wittberg T.N. Witteles A.A. Witter S.J. Wlassow N.A. Wodarczyck P.J. Wolfrat R. Wolicki E.A. Wollschlager K. Wolters D.R. Wolters J. Woltjer R. Wong H. Wong M. Wong S.S. Woo M.P. Woods M.H. Wordeman M.R. Worley J.
12-143 4-181 2-31 4-189 2-44,12-85 12-111 2-131 7-3 4-117, 5-22, 5-49,5-82, 5-83, 5-88, 5-97, 5-98, 5-99, 5-100, 5-101,6-89,6-90 1-132 9-32 8-2 1-204 l-25b, 10-51 5-52 12-118 2-181,11-50 1-37 2-31 12-128 12-104 2-159,2-174,4-115,6-59, 6-85, 6-93, 6-95,6-96,10-37, 10-42,10-74,10-82,10-111, 10-112,10-113,10-115, 10-136, 10-137, 12-142, 11-9, 11-10, 11-23,11-34,11-44, 1-51,11-52,11-55,11-56 6-108 10-1 1-138 12-61 6-5, 6-65 9-30 2-44 1-311,1-312 10-16, 12-62 2-94 3-1,3-3,3-4,3-5,3-6,3-7, 3-8, 3-9, 3-10, 3-29 1-lOd 6-77 1-213,7-3 4-148 1-18,1-115,1-119,1-166, 1-181, 1-185,1-235 4-149 12-6, 12-7 1-12C, 1-13, 4-152 6-101
Author Index of Volume 3
Wouters D. J. Wright J. A. Wristers D. WrobelT.F. Wu AT. Wu C.Y. Wu I.W. WuK. WuL.C WulfF. WyattP.W. Wynblatt P,
6-103 8-18, 8-19 1-292 12-23 4-143 l-70a, l-70b. 1-144, 1-203, 1-208 6-99, 6-100 6-37 1-208 1-54, 10-23 1-193, 1-200 1-132
YoonS. Yoriume Y. Yoshida S. Yoshioka Y. Young D.R. Young J.T. Young K. Yu H.N. YuR. Yu Y.N. Yuan C. Yun B.H.
915 5-101,10-71 1-188,1-194 4-139 l-254b, 1-255 4-20,4-119,4-120,10-52 1-288 l-74b 4-18, 4-50 12-165 l-12a 2-76, 2-140 1.-171,5-37,5-38,5-39
Z X Xapsos M.A. XiaoX. XuD. XuJ.B.
12-25, 12-70 2-155 5-57 2-181, 11-50
Zavracky P.M. Zeger van Duijnhoven A.T. A. Zekeriya V. Zhang B. Zhou N. Ziegler J.F.
Y Yamabe K. Yamaguchi T. Yamamichi S. YamamotoK. Yamanaka S. Yamaoka T. Yamasaki E. Yamashita Y. YaneyD.S. YangBX. YanoT. Yao C.C. YaoW.W. Yap J.R Yarmoflf J.A. Yasuda M. Yasuda T. YasuiM. Yasui T. Yatsuda Y. Yeager H.R. YeowY.T. Yip K.L. Yndurain F. Yokogawa K. Yokoyama N. Yon J.J, Yoon G.W.
1-29 1-310,1-316,4-160 5-6 1-3 1-125 2-70 12-42 1-276 12-8 7-3 2-87 4-63 4-146 2-104b 1-59, 2-68 1-35, 1-242, 1-267, 1-271, 1-273 5-115 1-106,1-111, 1-113 2-133, 4-142 5-96 4-10 1-23 11-5 1-295 4-104, 11-14 1-131 2-114 1-289,1-290, 5-114
Ziegler K. ZietlowT.C. Zimmer G. Zimmerman G.T. Zimmermann J. Zoutendyk J.A.
Zvanut M.E.
2-24, 2-75 3-8, 3-10 10-64, 10-65,10-66 6-75 1-112,1-170 9-26,10-1,12-11, 12-124,12-123 6-51 11-36 2-93 5-78, 6-57 4-34 12-30,12-45,12-56, 12-66,12-97, 12-129, 12-136,12-159,12-172, 12-173 2-180
This Page Intentionally Left Blank
917
SUBJECT INDEX After each subject entry, the chapter number (bold) and the number of the section(s), paragraph(s) or sub-paragraph (s) where this subject is best developed in the book, are indicated.
A center Absorbed dose Absorption ... coefficient ...cross section Accelerated ... lifetime experiment ... testing Acceleration ... factor Accelerator ... ionmicrobeam Ion... facilities Activity ...of a source Solar... Aging ... of MOS devices ... of the Si-Si02 interface Alpha ... source Ambipolar ... diffusion Ammonia Nitridation in... ... of silicon ... of silica Amorphous ... silica Amphoteric ... trap in Si3N4 Annealing ...of Nit ... of Qot ... ofRTOfilm Damage... Rapid thermal... (RTA) Approved Parts List Assisted process Low temperature... (see process) Atom ... recoil
9-4.1,10-3.3.1 10-5.2.2,10-5.2.4 9-3.2 9-3.2.1,9-7.2 4-4.1 10-9.2,10-9.3
Atomic ... exchange mechanisms ... transport mechanisms Attenuation Linear... coefficient Auger ... electron ... transition Auto-doping Ai... effect Azimuthal drift
7-2, 7-3, 7-4, 7-5
7-2, 7-3, 7-4, 9-7.5 9-7.2 1-3.1.2 2-4.2.5 8-3.4.3
3-4.2 B 12-9.2.4 10-9.3, 12-9.2 8-2.3,9-2.2.1 8-3.2 4-4,4-5,4-6,6-10.1, 6-10.2 4-8 12-9.3.2
Back-gate ... structure Band diagram ... of MNOS structures ... of MONOS structures ... of SONOS structures Band gap ... of various dielectric materials Barrier lowering ... effect Becquerel (unit)
12-5.4 1-3 1-5 11-2 5-7.5, 5-7.6 10-5.8 10-5.8 1-7.5.4 10-4.4 1-7.1,1-7.2 10-9.1 1-8.3, 1-9.1, 1-9.2, 1-9.3, 1-9.4 9-8.3.1,10-2
Belts Radiation... Bendel Model of... BESOI (Bond and Etch Back on Insulator) ... structure Defects in... Properties of... Radiation effects in... ... technology Principle of... 3D structures in... Binding energy Bipolar transistor Charge collection in... Displacement damage in... Gain degradation in... Hardening of... Radiation damage in... Vertical parasitic structure in ...
2-4.2.2 5-3.1 5-7.2 5-7.2 1-2.2.2 4-3.3.2 8-2.3,9-2.2.1 9-2.4 8-3.4.2 12-10.2.2 2-7 2-7.5 2-7.5 2-9.5.2 2-7.1 2-7.8 10-3.1.2 12-6.4 10-4.6 10-4.6.1 10-8.2.2 10-7.2.3 12-6.3.5
Subject Index of Volume 3
918 Bird's beak ,.. stnicture B-L coordinates Blocking oxide ... in MONOS structure Bond ... angle ... strain gradient Dangling... (see Dangling bond) Strained... in silica Bonding Wafer... (see Wafer bonding) Border traps Characterization of... CP response of... Bom-Mayer potential BOX (Buried Oxide) ... structures ... technologies Breakdown model Charge to... Impact ionization... Hole trapping... Breakdown voltage ... of nitride films ... of RT oxides ... of RTNO films Dielectric... of NO films Dielectric... of oxynitrides Bremsstrahlung Bulk defects (see Defects) Bulk trapping Buried ... channel devices ... optics ...oxide (BOX) Bum-out Single Event... (see SEB)
1-4.4.3 8-3.4.1 5-7.2 11-2.2 10-5.9.1
1
(Carrier mobility ... degradation ... in SOS devices Carrier ... compensation ... generation
11-3.1.4
6-10.3.2,6-10.3.3 6-10.3.2 9-6.2.2 223-2, 3-4.4 3-4.1 3-4.2, 3-4.3 1-4.8.3 1-7.5.3 1-7.7.4 1-5.7.6 1-8.2.5 9-7.1.2,10-8.4 11-5.2.2 4-6.4 2-8.1 2-
C Californium (252Cf) source Capture ... cross section Neutron... Capture cross section Energy distribution of... Capture processes Carrier... by interface traps Time constant of carrier...
Carrier collection ... length
12-9.3.1 6-4.2 9-8.3.2 6-7.4, 6-9.4.3 6-3.3 6-2.3.2, 6-9.5.2
... recombination ... removal ... transport Non-stationaiy... (Quantum... ... trapping (see trapping) CCD Sensitivity of... to radiation Channel ... hot electron (CHE) injection ... hot hole injection ... shortening effect Charge ... build up ... centroid ... retention ... separation ... in MNOS devices ... storage ... in MNOS devices ... trapping in dielectrics Charge build up ... during irradiation ... of Qot ... of Dit ... during nitridation Charge collection ... by difiusion ...byfunneling ... volume Models of... ... in bipolar devices ... in CMOS devices ... in diodes ... in MOSFETs Charge pumping (CP) current Comprehensive analysis of... First-order model of... Numerical simulation of ... Temperature dependence of...
2-3.3,12-4.3.1, P-8.1.2 10-4.2.2 2-4.2, 2-4.3 10-3.3 9-4.2,10-3.3, 12-3.1 10-3.3 10-3.3 4-10 4-10 10-4.5,10-7.2 4-2, 4-5 4-4 4-6.2 10-5.3 11-5.2 10-5.4,10-5.7 5-6.1.3 5-3, 5-4.2, 5-6.2 2-9.1 10-5.6,10-6.2 10-6.2 1-5.7.2 12-5.3 12-5.2 12-4.3 12-5.4 12-6.4 12-6.3 12-5 12-6.2 6-2 6-3 6-2.3 6-9.5 6-5.3
Subject Index of Volume 3
Charge pumping technique Spectroscopic... (SCP) Three-level... (SCP) (3 CP) Two-level... (SCP) (2 CP) Charge retention Modeling of... in MNOS devices Modeling of... in MONOS devices Charged defects Overview of... in silica Chemical etching Step by step... CHE (Channel Hot Electron) ... injection Chemical reactions ... during nitridation of silica ... during nitridation of silicon ... during reoxidation of oxynitrides Center A... C!aitx)n-oxygen... E... E'... Clusters Nano... in BOX structures CMOS Sensitivity of... devices to cosmic ions Sensitivity of... devices to radiation CMOS/SOI Sensitivity of... devices to cosmic ions Sensitivity of... devices to radiation CMOS/SOS Sensitivity of... devices to cosmic ions Sensitivity of... devices to radiation Colla:tion function Electron... Hole... Collection length Collision Elastic... Inelastic... Columnar recombination Compton ... effect ... electrons Conjugate mirror points Conservation equation
6-7 6-8,6-9 6-2,6-3,6-4 5-4.2 5-7.7 11-3.3.1 7-1.2 4-2 1-5.2.3,7-3.1 1-3.1.5,1-3.2.4 1-6.2, 7-4.4 9-4.1,10-3.3.1 10-3.3.1 9-4.1,10-3.3.1, 11-3 10-2.5,10-5.9,3, 11-3 2-5.2.3 12-6.3 10-7.4 12-6.5.2 10-7.4 12-6.5.1 10-7.4 11-4.2 11-4.2 12-4.3.1
919
Cosmic rays Nature of...
8-3.1,8-3.3, 8-4.1,12-2.2
Effects of... ... on devices ...on satellites Coulomb ... blockade ... pc^ential CP (Charge Pumping) (see charge) CREME ... mo(kl Cross coi;q>ling ... effects in SOI devices Cross section Absorption... Differential... Scattering... Total interaction... CTRW (Continuous Time Random Walk) Curie (unit) Current ... confinement Band to band... Band to trap... Critical... for breakdown Inhomogeneous... injection Modified FN... Poole Frenkel... Cut-off ... mechanisms CVD ... oxides LP... oxides PE... oxides
1212-2.1 4-10 9-6.2.2 8-4.1 2-3.5.1 9-3.2.1,9-7.2 9-3.2.2 9-3.2.2 9-3.2.3 10-5.5 8-2.3,9-2.2.1, 9-2.4 3-3.3 5-3.4.2 5-3.4.3 3-2.2 3-3.3 5-3.4.2 1-4.8.4, 1-6.3.1,5-3.4.4 8-3.5 1-2.3.4 1-2.5.2 1-2.5.2,1-9.4.4, 1-9.4.6
D 9-5.2, 9-6.2, 9-8.3 9-5.3, 9-6.3 10-5.4.2 9-7.3 9-7.3.4 8-3.4.3 5-3.3,11-4.1.2
DAHC (Drain Avalanche Hot Carrier) ... injection Damage ... annealing ... Miction for neutrons Dangling bond Creation of... Nitrogen... (NDB) Passivation of... Silicon... (SDB)
4-2 10-4.4 9-8.3.1 4-8.1 1-4.7.3,5-5.1.1 4-8.1.3 1-4.7.3,5-5.1.1
920 Defects in Si Displacement... Frankel... Defects induced in Si substrates Defects in Si02 Charged... Extrinsic... Defects in Si3N4 ... due to non-stoichiometry ...due to hydrogen Impact of... on electrical properties Nature of... Defects in NO films Extrinsic... Induced... in the substrate Intrinsic... Defect precursor Degradation ... of MNOS memory devices Interface... during carrier injection Permanent... in Si devices Demarcation energy levels Deposition ... of nitrides ... of thin oxides Photon-enhanced nitride... Ion-enhanced nitride... Plasma-enhanced nitride... Detrapping (see aliso trapping) Detrapping ... l^ amphoteric traps ... in NO films ... in RONO films Device (interaction) cross section Assymptotic... Device degradation N-channel MOSFET... Features of... Models of... ... due to hot electrons ... due to hot holes ... due to dynamic injection P-channel MOSFET... Features of... Models of... Dielectric charge ... in RT oxides ... in RTNO films ... in thermal nitrides ... of nitrided oxides
Subject Index of Volume 3
9-4.1,9-8.3.1, 10-2.4,10-3,3.1 9-4.1 1-4.7.5,1-5.6.1 11-3.3.1 11-3.2 1-4.7.1 1-4.7.2 1-4.7.5 1-4.7.3 1-5.6.2 1-5.6.1 1-5.6.2 11-3.1.2 5-6.2.2 4-8.1,6-10.1, 6-10.2 12-3.2 6-4.2 1-2.4.3 1-2.3.4 1-9.1.3 1-9.3.1 1-9.4.4 5-7.7 1-5.7.5 1-6.4.3 12-4.2.2 4-4.1 4-4.3 4-4.3 4-7 4-6.1 4-6.2, 4-6.3 1-7.5.2 1-7.7.3 1-4.8.2 1-5.7.2
Dielectric constant ... of high permittivity materials ... of nitride films ...of NO films ... of RTNO films Dielectric insulation Advantages of... Dielectric strength ... measurements ...of NO films ... of oxides ... of oxynitrides ...of RTNO films Diffusion ... of hydrogen in Si02 Interstitial... of NHx Diffusion Ambipolar... ... barrier Dislocations Definition of... ... in SIMOX structures Displacement damage ... cross section ... defect ... energy ... in bipolar devices ... in MOS devices ...threshold energy Differential... cross section Displacement damage coefficent Definition of... ... related to carrier lifetime ... related to carrier removal ... related to carrier mobility Diodes Sensitivity of... to radiation Divacancy
1-2.5.1 1-4.8,1 1-5.7.1 1-7.7.2 2-3.5 3-5 1-5,7.6 3-5 1-8.2.5 1-7.5.3 4-8.1.3 7-2.3 12-5.4 1-2.2.1 9-4.1 2-5.2.5 10-3.2,10-3.2.2 9-4.1,9-8.3.1, 10-2.1.1,10-2.4, 10-3.1 10-2.4,10-3.1 10-4.6 10-4.7 10-3.1.2 10-3.2.1 10-3.4 10-4.1 10-4.2.1 10-4.2.2 10-7.2.2 10-3.3.1
Dif
*^it
Determination of.. . ... using the 2 CP technique ... using the 3 CP technique
6-6.1 6-9
Dit(E)
Determination of... ... using the 2 CP technique ... using the SCP technique ... using the 3 CP technique Doping fluctuations Effect of...
6-6.2 6-7.3 6-8.3, 6-9 2-3.2.4
Subject Index of Volume 3
Dose ... equivalent ... rate Absoibed... Effet of... on SEU sensitivity Ionizing... Lethal... Total Ionizing... (TID) DRAM ...capacitor DAHC (Drain Avalanche Hot Carrier) ... injection Downscaling Consequences of device... Limitations to the ... of memory devices Dynamic injection ... in MOSFETs Models of... Physical effects during...
8-2.2.3, 9-2.2.2 9-2.2.2 9-2.2.2 12-9.6.2 10-5.2.2, 10-5.2.6 9-2.3.3 10-5.2.2, 10-9.3 5-8 4-2, 4-4 2-3.2.1 5-6.2 4-7 4-7.2.3 4-7.2
£ E centers E* centers
9-4.1,10-3.3.1, 11-3.1,11-3.3 4-8.2,10-2.5, 10-5.9.3, 11-3.1,11-3.3
EEPROM ... devices 5-8 ... memory 6-10.1.2 Electrical conduction ... in Si3N4 films 1-4.8.4 ...of NO films 1-5.7.4 ... of RONO films 1-6.3.1 ... of RTO films 1-7.5.1 Electrical instabilities ... in RONOfilmsunder stress 1-6.4 Electrical properties ...of NO films 1-5.7 ...of nitride films 1-4.8 ...of RONO films 1-6.3 ... of RTNO films 1-7.7 EFS (Electric Field Shielding) ... technique 2-5.1.2 EDMR (Electrically Detected Magnetic Resonance) 4-App. 2 EPR (Electron Paramagnetic Resonance) ... technique 11-3.1.1 Electrical stress ...of RTNO films 1-7.7.4
ESR (Electron Spin Resonance) ... technique Electron Auger... Con^ton... Effective... temperature Lucl(y... model Meanfi«epath of... Electron injection Post-aging... Electron traps ... in NO films ... in Si3N4 Parameters of... Si02 Electronic stopping power Emission processes ...frominterface traps ... time constant Non-steady-state... Steady-state... Energy ... barrier at the Si-Si02 interface ... conservation ... deposition ... for creation of el-hole pairs ... loss ... transfer Critical... Impact ionization... Ionization... Thermalization... Energy barrier Effective... lowering Energy levels ... introduced in thermal nitrides Enhanced process (see process) EPIC (Epitaxial Process for Insulated Circuits) Epitaxial ... lateral overgrowth (ELO) process ... process for insulated circuits (EPIC) Direct... deposition Dual... deposition Error ... correction ... detection Multiple... Single...
921
5-5.2.2 9-7.2 9-7.3 4-3.3.3 4-3.3.2 4-3.2.1,4-3.3.5 4-4.2,4-5.1 1-5.7.5 1-4.7.3 11-6 10-5.2.6 6-3.3 6-4.2, 6-9.4.3 6-3.3, 6-4.3 6-3.3 4-3.3.1 9-7.3.1 12-4.1.2 12-4.1.3 9-5.3.3, 12-4.1.1 9-3.3.1, 9-5.3.1, 9-5.3.2 12-4.2.3 4-3.2 9-4.2 12-5.1 3-3.1 1-4.7.3 2-6.4 2-4.1.2 2-5.6.4 2-4.2, 2-4.3 2-4.3 12-11.4 12-11.4 12-9.6.1 12-3.1
Subject Index of Volume 3
922 Error rate ... prediction codes Bendel's model for... calculation Experimental data about... Petersen's model for... calculation Etch rate/Etch resistance ...of(HP) NO films ...of(LP) NO films ... of natural oxides using RT cleaning ... of thermal nitrides Evaporation E-gun... Excess carriers Exposure ...of a target ... rate Extrinsic defects ... in NO films ... inSi02
12-10.2 12-10.2.1 12-10.4.2 12-10.2.1 1-5.3.1 1-5.5.2 1-7.3 1-4.3 9-4.3.3 9-4.2
9-2.2.1 8-2.1.1 8-2.1.1 10-5.4.2 9-4.1 2-3.5.3 12-5.2.3 12-5.4 12-5.2.1
G 8-2.2.1,9-2.2.2 9-2.2.2 1-5.6.3 11-3.2
F FAMOS (Floating gate Avalanche MOS) devices FET (Field Effect Transistor) Early... structure Field ... acceleration factor ...collapse effect ... enhancement Critical... before breakdown Field lines Magnetic... FIFOS (Full Isolation by Oxidation) of Porous Silicon) process Fixed charge ... in NO films ... in RTNO films Flat band voltage ... of MNOS devices ... of MONOS devices ... of SONOS devices ... shift in NO films ... switching in MNOS devices Floating gate ... technique FLOTOX (Floating gate Tunnel Oxide) Device Fluence ...of a source Differential... Integral...
Flux ... of particles Differential... Integral... Fractional yield Frenkel defect Frequency Improvement in maximum... Funneling ... length Semi-empirical models of... Physical mechanisms of...
5-8.1 2-2.1 3-4.2 11-5.3.1
3-4 3-4.3
Gamma ... rays ... source Solar... rays Gate current Expression of... Measurement of... Models of... Gate insulators Gate voltage stress High... Low and medium... Gate-all-around ... process and devices Geminated recombination Generation ... of interface states
8-3.4.1 2-6.1 1-5.7.2 1-7.7.3 5-3.2 5-7.3 5-7.3 1-5.7.2 5-4.1 4-App. 1 5-8.1 9-2.2.1 8-2.1.2 8-2.1.2
... of bulk defects ... of el-hole pairs ... of displacement damage ... of interstitials ... of ionization damage ... of oxide traps ... of vacancies Generation rate Definition of... ... of el-hole pairs ... in a reverse biased pn junction ... in unbiased Si Geomagnetic ... cavity ... dipole ... field Geometric current Geosynchronous orbit
9-2.3.2,9-7.1.2 9-7.1.2 8-3.2 4-3.3 4-App. 1 4-3.3 1-1,1-2
4-5 4-4 2-6.3 10-5.4.2 4-3.1,4-8.1, 10-5.7 4-8.2 10-5.4.1 10-3.2 10-2.4 10-5.2.3 4-5.2 10-2.4 10-5.2.3 10-5.2.5 10-5.2.4 8-3.1 8-3.4 8-3.4.1 6-2.3.1 8-3.6
Subject Index of Volume 3
Grain boundaiy ... traps Electrical properties of... Energy distribution of... Gray (unit) Growth ... kinetics ... of HP thermal nitrides ... of NjO-based oxynitrides ... of NO-based oxynitrides ... of RTO films ... mechanisms ... of HP nitrided oxides ... of HP thermal nitrides ... of LP nitrided oxides ... of LP thermal nitrides ... of oxynitrides Assisted... (see Assisted) Thermal... of thin oxides Thermal... of nitrides HP... LP... Guard distance
6-10.4 6-10.4.1 6-10.4.2 8-2.2.2, 9-2.2.2, 9-2.4 1-3.2.3 1-8.2.1 1-8.3.1 1-7.4.3 1-5.2.3, 7-3 1-3.2.4, 7-2 1-5.4.3 1-3.1.4,1-3.1.5 1-8.2.2, 7-4 1-2.3.1 1-3.2.3 1-3.1.2,1-3.1.3 2-3.1
H Hardening ... assurance ... at system level ... by design ... by lay-out ... by shielding ... of bipolar devices ... of MOS devices Device... using BOX technology Technology... HCI (Hot Carrier Injection) ... degradation Head-on collision Heavy ions Cosmic... Solar... HE! (Hot Electron Injection) High-energy ... distribution function High-frequency ... devices ... losses High dose ... irradiation regime
10-9 12-11.4 12-11.3 10-8.3 10-8.4 10-8.2.2 10-8.2.1 2-3-2.5 12-11.2 4-4.1 10-3.1.1 8-3.3 8-3.2 4-5.1 4-3.3.5 2-3.5.1 2-3.5.2 11-5.3
High temperature ... performances of SOI devices Hole conduction ... in NO films ... in thermal nitride Hole traps ... in NO films ... in silicon nitride Holetr^ping ... cross section ... £Eictor ... in MONOS devices ... inSiOa ... models Hole injection ... in MNOS devices Post aging ... in MOSFETs HP (High Pressure) HP-LT steam oxidation HP-Thermal nitridation ... growth modeling ... growth mechanisms ... of silicon ...of silica Kinetics of... Principle of... Hot carrier ...in MOSFETs Degradation due to... in MOSFETs Generation of... by impact ionization Hot electron ... injection (HEI) ... generation of defects Hydrogen Defects related to... in silica Role of... in nitrides Role of... during thermal nitridation ... of silicon (NH3) ... of silica (in NH3) Role of... during thermal reoxidation ... of oxynitride films Hydrogen cleavage ... process Hydrogen model ... for interface states creation Hydrogen passivation Hydrogen species Diffusion of... Positively charged...
923
2-3.4 1-5.7.4 1-4.7.4 1-5.7.5 1-4.7.4 10-5.6 10-5.6 5-.5.5.5 3-4.3 3-4.3 5-6.1 •-4-4.2 1-2.3.1 1-3.2.4 1-3.2.4 1-5.2.3 1-3.2.3 1-3.2 444-3.2 4-5.1 4-8.1 11-3.1.7 5-5.1.2 7-2.2 7-3.3 7-4.2 2-7.4 4-8.1.3 4-8.1.3 4-8.1.3,10-5.2 10-5.7
Subject Index of Volume 3
924 I
IGFET (Insulated Gate Field Effect Transistor) ... using thennal nitride 1-4.8.5 11-5.2 Image charge effect Impact ionization ... coefficient 4-3.2.1 ... energy 4-3.2.1,4-3.4 ... model of oxide breakdown 3-4,1 ... multiplication factor 4-3.2.1,4-8.1.3 Implantation ... conditions ... for thick SIMOX structures 2-5.1.5 ... for thin SIMOX structures 2-5.1.6 Injection Bidimensional... 4-3 Carrier... in MOSFETs 4CHE... 4-2 DAHC... 4-2 Dynamic (AC) ... in MOSFETs 4-7 Inhomogeneous... 3-3.3 Models of carrier... 4-3.3.2, 4-3.3.3 SCHE... 4-2 SEI... 4-2 SHE... 4-2 SHI... 4-2 Static (DC)... in MOSFETs 4-7.2 Interaction ... potentials 9-6.2.2 Electron-matter... 9-5 Ion-matter 9-6 Neutron-matter... 9-8 Photon-matter... 9-7 Integration 3D-... of devices 2-4.4.3 Integrated circuits Effects of radiation on... 10-7.3 Interface charge ... in RONO films 1-6.3.2 Interface degradation Uniform... ... in EEPROM memories 6-10.1.2 ...in MOSFETs 6-10.1.1 Non-uniform... ... in n-channel MOSFETs 6-10.2.1 ... in p-channel MOSFETs 6-10.2.2 Interface states ... at the Si/Si3N4 interface 1-4.8.2 11-3.1.8 ... at the Si/Si02 interface ... in NO films 1-5.7.3 Creation of... before breakdown 3-3
Interface state generation ... in RONO films ... in RTNO films ... in submicrometer devices Model for... Single... InterfEice (state) traps ... capture time ... current component ... in RTO films ... mean density Energy distribution of... Spatial distribution of... Interface trapping (see also trapping) Interstitial Di-... in silicon Internal oxidation (ITOX) process Instabilities ... in n-channel MOSFETs ... in p-channel MOSFETs ... due to dynamic (AC) stress ... due to static (DC) stress Reduction of... Inversion layer Build up of... in MOSFETs Ion implantation ... used for nitridation Physics of... Ion ... accelerator ... energy ...-induced short circuit ... LET ... microbeam ... penetration depth Cosmic (heavy)... Ionization ... damage ... dose, dose rate ... energy ... phenomena Ionizing particles Sensitivity of devices to... Isolation Dielectric... Trench...
1-6.4.2 1-7.7.3 4-3.1,4-8.1 4-8.1.3 4-10 6-2.4.3 6-2.3.2 1-7.5.2 6-2.3.2,6-6 6-2.3.2,6-6.2, 6-7.3 6-6.3 6-2.3.2,11-4.2, 11-5.2.1 9-4.1 2-5.1.7 4-4, 4-5 4-6 4-7 4-4, 4-5, 4-6 4-9 6-2.2.1 1-2.4.2 9-4.3.3 12-9.1 12-9.1.5 12-6.3.4 12-4.1.1 12-9.1.4 12-4.2.4, 12-9.5.2 12-2.2 10-5 10-5.2.2, 10-5.2.6 9-4.2,10-5.2.1 9-4.2, 10-2.1.1 2-3.2.5,10-6, 10-7,12-4 22-3.1
Subject Index of Volume 3
ISE (Isolated Silicon Epitaxy) ... technology Isotropic tracing Principle of.. . ITOX (Internal Oxidation) ... process Izumi conditions ... of ion implantation
2-4.4.2 7-1.1 2-5.1.7 2-5.1,6
J Junction ... in the deep depletion mode ... isolation Limitations of... Downscalingof...
2-3.2.2 2-3 2-3.2.1
K
Labelling techniques Laser ... irradiation of devices Latch-up Anti... rule Transient-induced... Lateral non-uniformity Effects of... LEO (Low Earth Orbit) Definition of... LET (Linear Energy Transfer) ... spectrum of a 252Cf source Critical... Definition of... Effective... Threshold... Lifetime Device... Accelerated... ... measurement LDD (Lightly Doped Drain) ... structures Lithography X-ray... LOCOS (LOCal Oxidation of Silicon) ... technique
7-1 12-9.4 2-3.1 2-3.3,12-3.2.1, 12-7.2.1 10-6.2 8-3.6 12-9.3.1 12-4.2.5 8-2.2.4, 12-4.1.1 12-4.2.2, 12-10.4.1 12-4.2.6 4-4.1 4-4.1 4-3.3.4, 4-9
Logical error 12-8. Low dose irradiation ... regime 11-5.; LP (Low Pressure) ... oxidation 1-2.3 ... nitridation ...of silicon 1-3.1 ... of silica 1-5.4 LT (Low Temperature) ... nitridation Electron-enhanced... 1-9.2 Ion-enhanced... 1-9.3 Photon-enhanced... 1-9.1 Plasma-enhanced... 1-9.4 LT-EE (Low Temperature Electron Enhanced) ... nitrides Growth of... 1-9.2.1 ... oxynitrides Composition of... 1-9.2.3 Growth of... 1-9.2.2 Properties of... 1-9.2.3 LT-DE OU)w Temperature Ion Enhanced) ... nitrides Composition of... 1-9.3.2 Deposition of... 1-9.3.1 Properties of... 1-9.3.2 ... oxynitrides Deposition of... 1-9.3.3 LT-PE (Low Temperature Photon Enhanced) ... nitrides Deposition of... 1-9.1.3 Growth of... 1-9.1.2 Properties of... 1-9.1.4 ... oxynitrides Composition of... 1-9.1.6 Properties of... 1-9.1.6 Lucky electron ... model 4-3.3.2 M Mach-Zender ... modulator Magnetic ... field lines ... resonance (see EDMR) Magnetosphere Mean free path ... of carriers
9-4.3.3 1-4.4.3
925
... of electrons ... of holes
2-8.1 8-3.4.1 8-3.4 4-3.2.1, 4-3.3.2, 4-3.3.4 11-4.1.8 11-4.1.7
926
Subject Index of Volume 3
Mechanical breakdown Analogy with... Memory ... effect MNOS... MONOS... SONOS... Mesi^nger-Spratt equation Microaccelerometers Micromachines Microdosimetiy ...effects MNSFET (Metal Nitride Silicon FET) Transconductance of... Leakage current of ... MNOS (Metal Nitride Oxide Silicon) ... structure ... used as memory Switching of a... Models ... in MNOS devices ... of charge retention ...of charge storage ...of V T switching ... in MONOS devices ... of charge retention ...of charge storage ... of V T switching ... in MOSFETs ...of gate current ... of HCI ... of interface states creation ... of substrate current ... in SONOS devices ... of V T switching ... of radiation environment Modulation of effective charmel length (Lgflf) Symmetrical... Asymetrical... Moliere potential Momentum Particle... ... conservation Monte Carlo simulation MONOS (Metal Oxide Nitride Oxide Silicon) ... structure ...used as memory Switching of a...
3-8 1-4.7.4, 5-2.2 5-2,5-3,
5-4 5-7 5-7 10-4.6.1 2-8.2 2-8.2 10-4.5 1-4.8.5 1-4.8.5
5-2 5-2 5-2,5-3
5-4.2 5-4.2 5-4.1 5-7.7 5-7.7 5-7-6 4-3.3
4-3 4-8.1.3 4-3.3 5-7.6
8-4 6-6.3.2 6-6.3.3 9-6.2.2 8-2.1.3 9-7.3.1 4-3.3.5
5-7 5-7 5-7.6
MOSFET (Metal Oxide Silicon Field-Effect Transistor) ... degradation Uniform... Non-uniform... Power... Transconductance of... with NO gate dielectric Aging ... of buried chaimel... devices ... of surface charmel... devices Charge pumping measurements in... Deep submicrometer... Degradation of... Effective charmel area in ... Instabilities in... Modulation of channel length in ... Oxide charge in... Ultra small... Multilayer ... dielectric ... oxides Multiplication factor
6-10.1 6-10.2 12-3.2.3 1-5.7.7 4-6.4 4-6.3
64-10 6-10 6-52
46-6.3 6-10.1.1 4-10
511-5.2.3 4-3.2.1
N Nanoclusters ... in SIMOX devices 2-5.2.3 NBO (Non Bridging Oxygen) defect 10-5.9.1 NDB (Nitrogen Dangling Bond) (see nitrogen) Neutron ... capture 9-8.3.2 ... energy 9-8.2 ... gyromatic ratio 9-8.1.4 ... properties 9-8.1 ... source 9-8.2 ... yield 9-8.2 NHx ... groups 1-5.4.3, ... species 1-5.2.1, 1-5.4.2 Interstitial diffusion of... 7-2, 7-3 Role of... during nitridation ... of silicon 7-2.2 7-3.2 ... of silica NDEL (Non Ionizing Energy Loss) 10-4.3 Nitridation LP-thermal... 1-3.1 1-3.2 HP-thermal... Non-assisted HP-... 1-3.2.1 Plasma-assisted HP-... 1-3.2.2
Subject Index of Volume 3
Nitridation (continued) Thermal... of silicon ... inNHs ... in N2O ...in NO Thennal... of silica ... inNHa Nitridation mechanisms HP... of silicon LP ...of silicon HP... of silica LP ...of silica Nitride ... composition ... structure Crystalline... Amorphous... ... traps Nitrided Oxide (NO) films HP-... LP-... Nitrogen ... dangling bonds (NDB) ... pile-up Role of... during thermal nitridation ... of silicon (in NH3) ... of silica (in NH3) Role of... during reoxidation ... of oxynitrides Role of... during thermal treatment ... in N2O NO (Nitrided Oxide) NO ambient N2O ambient Nuclear ... interactions ... potential ... reactor ...reaction
1-3, 7-2 1-8.2, 7-5 1-8.3 1-5, 7.3 1-3.2.4 1-3.1.5 1-5.2.3 1-5.4.2 5-5.1 1-4.1 1-4.1,5-5.1 1-4.7.3, 1-4.7.4, 5-5 1-5,2,1-5.3 1-5.4,1-5.5 1-4.7.2, 5-5. LI, 5-5.2 1-5.2,1-5.4 7-2.1 7-3.2 7-4.3
7-5 1-5 1-8 1-8, 7-5 99-6.2.2 9-8.2.2 9-8.3.2, 10-2.1.2 9-6.2.3
... stopping power NRA (Nuclear Reaction Analysis) ... technique 7-1.2.2 NRP (Nuclear narrow Resonance Profiling) ... technique 7-1.2.4
ONO (Oxide-Nitride Oxide) ... capacitor ... dielectric Modeling of... structures Optical (refractive) index ... of LP-nitrided oxide ... of RT-nitride ... of Uiermal nitride Optical loss Optical waveguide Optoelectronic devices Sensity of... to displacement damage Orbit Geosynchronous... Low Earth ...(LEO) Oxidation ... of silicon Directed thermal... Oxides Properties of thermal thin... Requirements to manufacture thin... Oxide charges Oxide defects Extrinsic... Intrinsic... Oxide traps Acceptor-like... Donor-like... Generation of... Oxynitrides ... grown in NO ambient ... grown in N2O ambient Oxygen ... implantation ... out-diffusion ... profile in SIMOX ... related defects in silica Interstitial... in silicon Role of... in nitrides Role of... in thermal nitridation of silica Role of... during reoxidation of oxynitride films Role of... during treatment in N2O Oxygen vacancy Defect related to... in silica Outdiffusionof...
927
5-8 5-8 5-8.3 1-5.5.1 1-7.6.3 1-4.2 2-8.1 2-8.1 10-7.2.4 8-4.1 8-3.6 1-2.3 2-6 1-2.3.2 1-2.2 4-4, 4-5, 9-4.2,11-3.3 3-5.6 3-5.6 4.4.3.3 4-4.3.2 4-5.2 1-8.3 1-8.2, 7-5 2-5 2-9.5.1 2-5.1.2 11-3.1.6 11-7 5-5.1.3 7-3.3 7.4.1,7-4.2 7-5.4, 7-5.5 11-3.1.6 11-7
Subject Index of Volume 3
928 p Packing density ... in SOI structure Pair generation ... in reverse-biased pn junction ... in unbiased SC Pair ... produaion ... separation Paramagnetic ... centers ... defects in amorphous oxide ... defects in SIMOX oxides Parasitic ...back gate ...back transistor ... structure ... in CMOS on insulator ... in CMOS/SOI ...in CMOS/SOS ... in CMOS technology ... in MOS technology Bipolar... Lateral... effect Vertical... structure in bipolar transistor Passivation ... by hydrogen Path length P-channel MOSFETs Degradation of... Pb centers
Pipes ... in SIMOX structures Photo-currents Hardening against... Photo electric effect Photo diodes Sensitivity of... to radiation Phototransistors Sensitivity of... to radiation Photons ... source ... solar Creation of... Poisson's equation ... in nitride
2-3.1 10-5.2.5 10-5.2.4 9-7.4 11-5.2 5-5.2,11-3 11-3 2-9.5.1 2-9.2.1 2-9.2.1 12-6.5 12-6.5.2 12-6.5.1 12-6.3 12-6.2 12-6.4 2-9.2.1 12-6.3.5 4-8.1.3, 11-3.1.8 9-5.3.3, 9-5.3.5 4-6.2, 4-6.3 4-8.1, 4-App. 2, 11-3.1.8 2-5.2.3 2-3.3 9-7.2 10-7.2.4 10-7.2.4 9-7.1 8-3.2 9-7.1 5-3.2, 5-4.1.4
Polar horns Polaron ... hopping process Poole-Frenkel ... conduction in nitride ... current ... emission Post-aging ... injection in MOSFETs Post-irradiation ... annealing Potential Born-Mayer... Coulomb... Moliere... Thomas Fermi Pre-breakdown Energy dissipation during... ... at the interface ... in the bulk Oxide... stage Prediction ... of error rate in orbit Process E-beam-assisted oxynitridation... Electron-Assisted nitridation... LT...ofSi LT... ofSi02 Ion-assisted deposition... ... of nitride ... of oxynitride HP-NO... HP thermal nitridation... LP-NO... LP thermal nitridation Oxynitridation... ... in N2O ambient ... in NO ambient Plasma assisted... ... deposition ... of nitride ... of oxynitride ... nitridation ... of silicon ... of silica Photon-assisted nitridation... Photon-assisted oxynitridation... RONO... RTC... RTO... RTN...
8-3.4.4 10-5.10 1-4.8.4, 1-6,3.1 5-3.4.4 1-4.8.4 4-4.2 10-5.8 9-6.2.2 9-6.2.2 9-6.2.2 9-6.2,2
3-2.2 3-2.2 3-2.1 12-10 1-8.3.3 1-9.2.1 1-9.2.2 1-9.3.1 1-9.3.3 1-5.2 1-3.2 1-5.4 1-3.1 1-8.1,7 1-8.1
1-9.4.4 1-9.4.6 1-9.4.2 1-9.4.3 1-9.1.2 1-9.1.5 1-6.1 1-7.3 1-7.1 1-7.6.1
Subject Index of Volume 3
Process (continued) RTNO... RTP... X-ray-assisted... ... of oxynitridation in NO ambient Projected length Proton ... interaction mechanisms ... induced nuclear reaction Benders model of... interaction Comparison of... with heavy ions Solar... Trapped... Pulse Trapezoidal voltage... in 2 CP Triangular voltage ... in 2GP
1-7.7 1-7.1 1-8.3.2 9-3.3.3 12-4.4.1 12-4.4.1 12-10.2.2 12-4.4.4 8-3.2 8-3.4.3 6-3.3.2 6-3.3.2
Q QML (Qualified Manufacturers List) QPL (Qualified Parts List) Centum transport
10-9.1 10-9.1 4-10
R Rad (unit) Radiation ... belt ... effects ... in SIMOX structures ... in BESOI structures ... in FIPOS structures ... in SOI structures Overview of... ... environment ... hardening ... induced instabilities ... in bipolar devices ... in MOS devices Radiation source Alpha... Californium... Ramped ... current test ... voltage test Range Mean... Projected... Reactive ... processing
8-2,2 8-3.4.2 2-9.4 2-9.4, 2-9.5.2 2-9.4 2-9.5 9-4 8-4, 9-4.3 2-3.3,10-8 10-4,10-7.2.3 10-6 12-9.3.2 12-9.3.1 3-5.3 3-5.1 9-5.3.5, 9-6.2.3, 9-6.4 9-3.3.3 1-9.3
Reading ... of MNOS memoiy Recoil ... atoms ... cascade ... energy Recombinaison ... mechanisms Spin-dq)endent... (SDR) Reoxydation ... of NaO-based films Thermal... of nitrided oxide Thermal... of oxynitride films Rem (unit) Resistance ... to impurity diffusion ... of HP-NO films ... of LP-NO films ... of thermal nitride ... to irradiation ...of HP-NO films ...of LP-NO films ... of thermal nitride ... to oxidation ...of HP-NO films ...of LP-NO films ... of thermal nitride Resistivity (see electrical conduction) Retention Charge... in MNOS devices Charge... in MONOS devices Rigidity of a particle Roentgen (unit)
929
5-2.2.2 9-8.3.1,10-2.4 9-8.3.1 10-3.1 10-5.4.2 4-App. 2 1-8.2, 7-5 1-6 7-4 8-2.2.3, 9-2.2.2, 9-2.4 1-5.3.4 1-5.5.5 1-4.6 1-5.3.3 1-5.5.4 1-4.5 1-5.3.2 1-5.5.3 1-4.4.1, 1-4.4.2 5-4.2 5-7.7 8-3.5 8-2.2.1, 9-2.2.2, 9-2.4
RONO (Reoxidized Nitrided Oxide) film Composition of... 1-6.2 Electrical properties of... 1-6.3 Fabrication process of... 1-6.1 H-contentof... 1-6.2.2 1-6.2.1 N-contentof... RTNO (Rapid Thermal Nitrided Oxide) film Composition of ... 1-7.6.2 1-7.7.1 C-V characteristics of... Dielectric constant of... 1-7.7.2 Dielectric charge of... 1-7.7.3 Electrical properties of... 1-7.7 1-7.6.1 Fabrication of... Interface states in... 1-7.7.3 RTP (Rapid Thermal Process) (see procesis) RTS (Random Telegraph Signal) 4-10
Subject Index of Volume 3
930
s SAA (South Atlantic Anomaly) Sapphire Scaling down Problem of... devices Scattering ... angle
8-3.4.4 2-4.2 2-3.2, 5-6.2
9-3.2.2, 9-7.3.5 ... phenomena 9-3.2.2 SCHE (Sid)strate Current Hot induced Electron) injection 4-2 Schottky emission ... in thermal nitride 1-4.8.4 SDB (Silicon Dangling Bond) ... in thermal nitride 1-4.7.1, 1-4.7.3, 5-5.1.1, 5-5.2 SEE (Single Event Burnout) ... physical mechanism 12-7.2.1 ... test method 12-9.6.4 Definition of... 12-3.2.2, 12-7.2 Secondary defects 10-3.3 Secondary radiation 12-4.4.2 SEGR (Single Event Gate Rupture) 12-3.2.3, 12-7.4 SEI (Short Electron Injection) 4-4.2 Self-healing capacitors 3-5.3, 3-7 Self-trapped holes 11-3.1.4 SEL (Single Event Latchup) ... geometrical effects 12-7.2.4 ... sustaining conditions 12-7.2.1 ... temperature effect 12-7.2.3 ...test methods 12-9.6.3 Definition of... 12-3.2.1, 12-7.2 Sensitivity Component... ... to cosmic rays 12-4.2 ... to protons 12-4.4 ... threshold 12-4.2.3 ...to radiation 10Separation ... by implantation of oxygen (see SIMOX) 2-5.1 ... by implantation of nitrogen (see SIMM) 2-5.1.10
SEU (Single Event Upset) ... multiple errors ... test methods Definition of... SHE (Substrate Hot Electron) injection Sm (Substrate Hole Injection) Shielding ...against radiation SHR(ShocklQr Read Hall) ...statistics ...transient theory Use of the... formalism SICOI (Silicon Caibide on Insulator) ... process Sievert (unit) Silica defects Silicon ... dangling bond (SDB) ... islands Trivalent... defect Silicon nitride ... composition ... structure ... traps Silicon on insulator (SOI) ... process and devices Silicon on diamond (SOD) Silicon on sapphire (SOS) ... process CMOS on... Defectsof... devices Silicon oxynitride Composition of ... Electrical properties of... Growth kinetics of... Reliability of... Trapping properties of... Si/sapphire interface Problems due to the... Silicon on Zirconia (SOZ) ... process
12-9.6.1 12-9.6.1 12-3.1 4-2 4-4.2 10-8.4 6-3.1 6-3.1 5-7.5,6-9.3 2-7.7 8-2.2.3, 9-2.2.2, 9-2.2.4 11-2,11-3 4-8.1.1, 5-5.1.1,5-5.2 2-5.2.2 4-8.1 1-3.1.2, 1-3.2.3,5-5.1, 7-2 5-5.1 1-4.6,1-5.7.2, 5-5.2 22-4.4.3 2-4.2 2-4.2 2-4.2.2, 2-4.2.4 1-8.2.2,1-8.3.4, 7-4, 7-5 1-8.3.5 1-8.2.1,1-8.3.1 1-8.2.6 1-8.2.4 2-4.2.2 2-6.2
Subject Index of Volume 3
SIMOX (Separation by IMplantation ofOXygen) ... implantation process Multiple... Standard conditions of... ... structure Fixed charge in... Interface traps in... Radiations effects in... Simulation 2I>-,.. of currents in MOSFETs SIMNI (Separation liy Implantation of Nitrogen) ... process Single interface state Slow interface states Smart cut process Smart devices Snapback (phenomenon) Solar ... activity Max... Min... ... cycles ...events ... flares Ordinary... Anomalous... ... radiation ... sunspots ... wind Solid phase epitaxy (SPE) Double ...process Single ...process SOLPRO (model) SONOS (Silicon Oxide Nitride Oxide Silicon) ... memory devices Space charge Creation of... before breakdown Space radiation Spectroscopic ... charge pumping (SCP) ...signals Stacking faults ... in SIMOX structures ... in SOS structures Static ... injection in MOSFETs Stationary regime
931
Stopping power Definition of... 2-5.1 2-5.1.9 2-5,1.4 2-5.2.1 2-5.2.1 2-9.5.1 4-3.2.2,4-3.3.4
2-5.1.10 4-10 4-8.1.2,6-10.3 2-7.4 2-7.8 12-3.2.4 8-3.2 8-3.2 8-3.2 8-3.2 8-3.2, 8-4 8-3.2 8-3.2 8-3.2 8-3.2 8-3.2 2-4.2.6 2-4.2.6 8-4.1 5-7 3-3.2 86-7 6-7.2
Electronic... Nuclear... Stoichiom^ry Non-... ...ofSi3N4 ...ofLP-NO ...of HP-NO ... of RONO ... of OT^trides produced in N2O ... of oxynitrides produced in NO Strained bonds Defects related to... in silica Straggling Electron... Ion... Stress ... arising during oxidation Instability under... in RONO Results of applied... in MOSFETs ... dynamic (AC) ... static (DC) Structure ... of thermal nitride Substrate ... channel MOSFET ... channel (SCHE) injection ... defect due to nitridation ... hot electron (SHE) injection Substrate current ...in MOSFET ... induced hot electron (SCHE) injection Model of... Surface ... charmel device ... energy ... potential Definition of... Evaluation of...
8-2.2.4, 9-3.3.2, 9.3.3.4 9.5.3.4,10-5.2.6 9-6.2.3 1-4.7.1 1-5.4.2 1-5.2.2 1-6.2 1-8.2.3 1-8.3.4 11-3.1.4 9-5.3.5 9-6.4 1-4.4.3 1-6.4 4-7.1,4-7.2 4-4, 4-5, 4-6, 4-7.1 1-4.1 4-6.3 4-2 1-4.7.5,1-5.6.1 4-2 4-3,2,4-3.4 4-2 4.3.2 4-6.4 2-7.2 6-2.3.2 6-2.4.4
T 2-5.2.5 2-4.2.4 4-7.2 11-4.1.6
Test method Oxide breakdown... Constant current... Constant voltage... Ramped current... Ramped voltage...
3-5.4 3.5.2 3.5.3 3-5.1
932 Testing ... of displacement damage ... of ionization effect Temperature Effective electron... Thermal growth ... of silicon nitride (in NH3) ... of silicon oxynitride (in NjO) Thermalization ... of energy Thin Fihn Transistor (TFT) Thickness uniformity ...ofRTOfilm Three level charge pumping (3 CP) Classical... method Experimental limitation of the... Intermediate voltage of the... Numerical simulation of the... current Saturation emission time constant in the... Theoiyof... ... current Validity of the... model Three-level CP method ... by Chung and Muller ... by Hofinan and Krautschneider ... by Saks and Ancona ...by Tseng Electron capture in the... Electron emission in the... Emission time constant window in the... Hole capture in the... Hole emission in the... Threshold voltage ... of MNOS devices ... of MONOS devices ... shift after injection Thomas Fermi ... potential Transconductance ... degradation Transferred energy Traps Nitride... Amphoteric... Energy level of... Origin of... State of charge of... Spatial distribution of...
Subject Index of Volume 3
10-9.2 10-9.3 4-3.3.3 1-3, 7-2 1-8, 7-5 12-5.1 2-4.1.1,6-10.4 1-7.4.2 6-8 6-8.5 6-8, 6-9 6-9 6-9.4.1 6-8.2 6-8.3 6-8.4 6-9.2 6-9.3 6-9.4 6-9.1 6-9.5.1 6-9.5.1,6-9.5.3 6-8.4 6-9.5.1,6-9.5.2 6-9.5.1 5-3.2 5-7.3 4-4, 4-5, 4-6 9-6.2.2
Traps (continued) Oxide... Creation of... by electrical stress in MOSFETs Creation of... by hydrogen diffusion inSi02 Creation of... by impact ionization Trapped particles Trapping Carrier... ... at the oxide-nitride interface ... by amphoteric traps ... in BOX structures ... in NO films ... in N20-based oxynitrides ... in RONO films ... in RTNO films ... in the nitride bulk ... centers ... mechanisms ... at the interface ... time constant Trap parameters Extraction of... Values of... Trench isolation Tunnel ... crossing probability ... current before breakdown ... current in MNOS devices Tunneling mechanisms Two-level charge pumping (2 CP) Application of the... Comprehensive theory of... Determination of Dit using the... usingthe... Di^(E) using the... Djj(x) using the... Sensitivity of the...
4-4, 4-5, 4-6 10-3.1.1 5-5.3.3, 5-7.5, 5-7.6 5-5.3.4 5-5.2 5-5.3.3 5-5.3.2
4-4,4-5,4-6 4-8.1.3,4-8.2 4-8.1.3 8-3.4 5-4.1.1 5-7.6 2-9.4 1-5.7.5 1-8.2.4 1-6.4.3 1-7.7.4 5-4.1.3 10-3.3.2 6-2.3 6-2.3.2, 6-9.5.2 11-6 11-6 2-3.1 5-3,4 3-5.1,3-5.2 5-3.4 6-10.3.2 6-10 6-3.1 6-6.1 6-6.1 6-6.2 6-6.3 6-5.4
T T \ j
UHTA (Ultra High Temperature Anneal) 2-5.1.3 UV light 9-7.1.2 V Vacancy Di-... Van Allen belts
9-4.1 9-4.1 8-3.4, 8-4
Subject Index of Volume 3 Volume Charge collection... Interaction... Sensitive...
12-4.3 12-4.4.3 12-4.3 W
Wafer bonding Technologies of... Weak spots ... in NO films Writing ... of MNOS memory
5-2.2.1
X-ray Solar... ...tube
8-3.2 9-7.1.2
2-7.2 1-5.7.6,1-8.2.5
Z ZNfR (Zone Melt Reciystallisation)
2-4.4.1
933
This Page Intentionally Left Blank
LIST OF ERRATA TO VOLUME 1 OF INSTABILITIES IN SILICON DEVICES Silicon Passivation and Related instabilities G. Barbottin and A. Vapaille (Editors) Errata of Chap. 1 Page 78, 3rd line from bottom. Read: "as thickness decreases" instead of "as thickness increases" Page 80, in Eq. (1.96). An X was missing. Read: dt _ 1 2X d x ' k L "" kp^ Page 87, 13th line from top. Subscript 0 was missing. Read: WA
kp = k p ^ e x p ( - j
^ )
Page 100, in ref. [141]. Read: "The physics of MOS insulators, p. 321" instead of "page 231" Errata of Chap. 3 Pages 183 to 185, in Eqs. (3.22), (3.24), (3.25), (3.27), (3.28). Read: 1 — 7 instead of 1 — 7^ Page 183, in Eq. (3.22b). Read: (
Eg
) instead of (
%
Page 183, in Eq. (3.24a). Read: iof = instead of CT^ = Page 183, in Eq. (3.24b). Read: hag(z) = instead of hTg(z) =
)
Page 184, in Eq. (3.25). Read: 4£af —
h
2S.Tf
instead of —
h
Errata of Chap. 4 Page 222. Two lines of the list of symbols should be altered. Read: Xp, XpsG "" Polarizability of a medium, respectively of PSG Xj Qx SC ^^ Electron affinity of an insulator, respectively of the oxide, of the semiconductor. Page237, inEq. (4.19). Read: ^G - Vox + ^S inv instead of V^ - - V^^ + ^S inv Page 238, in Eq. (4.21 b). Read: ^o ^SC . ^ox ^SC Cn = ~:rr instead of Cn = D - Wsc "'^^^''" "^ ^D - Wsc Page 247, in Eq. (4.44 d). A term is missing in the expression. Read: ^ '^S[exp(,///-UT)-1]
... I 0
d^}J F()//,i//p)
Page 250, in Eq. (4.47). A parenthese is missing. Read: ... ± k B T f i n ^ ) ] "i Page 253, in Eq. (4.51 a). Read: ^FB3 ~ -
QfW ^o ^ox
Page 254, 5 Unes from bottom. Read: ... where Xp is the polarizability of the material Electric field and electric displacement in PSG (polarizability XpgQ) are now related by: ...
Page 256, in Eq. (4.58). Read:
^o tpSG + (^PSG "^ XpsG> ^ox Page 256, in Eq. (4.59). Read: p_, ^-^o
V P ^PSG ^PSG -
^o^oxXpSG^G ^o tpSG "^ (^PSG "^ XpsG) ox t.
Page 256, 4th line from bottom. Read: ... shift AV of the Cj^f (V) curve, which is proportional to the prolongated V Q biasing which has caused the polarization. This proportionality is expressed by:
^v = -
^QXPSG
tpspVc
^^^g,
Errata of Chap. 6 Page 320, 14th Hne from top. Read: £
Mean value of the & distribution instead of &
Page 323, 5th line from top. Read: "river-like" instead of "tree-like" Page 327, 15th line from top. Read: "theoretical" instead of "real" Page 328, 2nd line from top. Read: "capacitor" instead of "capacitors" Page 331, in Eq. (6.3). Read: S instead of & Page 332, in Eq. (6.5). Read: Y^ instead of Y^^
Page 336, in Eq. (6.7 b). Read: t 1/S'_i h=
t VS'_1 instead of h = t
S' t
^/^'
S' t
^/^'
Page 348, 18th line from top. Read: "This supports the idea that trapping alone ..." instead of "This supports the idea that trapping itself..." Page 361, in ref. [3]. Rpad: (1976) 1479 inste'^dof (1976)231 Page 361, in ref. [5b]. Read: (1972) 591, 597 instead of (1972) 398, 591, 597 Page 362, in ref. [35]. Read: (1977)3843 instead of (1977) 3847