Thin Films Non-Crystalline Films for Device Structures Volume 29
Serial Editors Inorganic Thin Films STEPHEN M. ROSSNAGEL
Organic Thin Films ABRAHAM ULMAN
IBM Corporation, T. J. Watson Research Center Yorktown Heights, New York
Alstadt-Lord-Mark Professor Department of Chemistry Polymer Research Institute Polytechnic University Brooklyn, New York
Honorary Editor MAURICE H. FRANCOMBE Department of Physics and Astronomy Georgia State University Atlanta, Georgia
Editorial Board DAVID L. ALLARA Pennsylvania State University ALLEN J. BARD University of Texas, Austin MASAMICHI FUJIHIRA Tokyo Institute of Technology GEORGE GAINS Rensselaer Polytechnic Institute PHILLIP HODGE University of Manchester JACOB N. ISRAELACHIVILI University of California Santa Barbara
JEROME B. LANDO Case Western Reserve University HELMUT MOHWALD University of Mainz NICOLAI PLATE Russian Academy of Sciences HELMUT RINGSDORF University of Mainz GIACINTO SCOLES Princeton University JEROME D. SWALEN International Business Machines Corporation
MICHAEL L. KLEIN University of Pennsylvania
MATTHEW V. TIRRELL University of Minnesota, Minneapolis
HANS KUHN MPI Gottingen
GEORGE M. WHITESIDES Harvard University
Recent volumes in this serial appear at the end of this volume
Thin Films Non-Crystalline Filmsfor Device Structures Edited by Maurice H. Francombe
Department of Physics and Astronomy Georgia State University Atlanta, Georgia
VOLUME 29
ACADEMIC PRESS A Division of Harcourt, Inc. San Diego
San Francisco New York London Sydney Tokyo
Boston
This book is printed on acid-free paper. | Compilation copyright 9 2002 by ACADEMIC PRESS All rights reserved. No part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. The appearance of the code at the bottom of the first page of a chapter in this book indicates the Publisher's consent that copies of the chapter may be made for personal or internal use of specific clients. This consent is given on the condition, however, that the copier pay the stated per copy fee through the Copyright Clearance Center, Inc. (222 Rosewood Drive, Danvers, Massachusetts 01923), for copying beyond that permitted by Sections 107 or 108 of the U.S. Copyright Law. This consent does not extend to other kinds of copying, such as copying for general distribution, for advertising or promotional purposes, for creating new collective works, or for resale. Copy fees for pre-2002 chapters are as shown on the title pages. If no fee code appears on the title page, the copy fee is the same as for current chapters. 1079-4050/2002 $35.00. Explicit permission from Academic Press is not required to reproduce a maximum of two figures or tables from an Academic Press chapter in another scientific or research publication provided that the material has not been credited to another source and that full credit to the Academic Press chapter is given. The articles in this book are selected from the Academic Press multi-volume work titled
Handbook of Thin Film Materials, edited by Haft S. Nalwa, and are uniquely arranged to focus on current advances in surface science. Academic Press
A division of Harcourt, Inc. 525 B Street, Suite 1900, San Diego, California 92101-4495, USA http://www.academicpress.com Academic Press Harcourt Place, 32 Jamestown Road, London NW1 7BY, UK http://www.academicpress.com Intemational Standard Book Number: 0-12-533029-4 International Standard Serial Number: 1079-4050 PRINTED IN THE UNITED STATES OF AMERICA 01 02 03 04 05 CO 9 8 7 6 5 4 3 2 1
Contents
Contributors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Preface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
vii ix
Ultrathin Gate Dielectric Films for Si-Based Microelectronic Devices C. Krug and I . J. R . Baumvol Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Requirements of Ultrathin Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . . Ultrathin Gate Dielectric Film Processing . . . . . . . . . . . . . . . . . . . . . . . . . . Characterization of Ultrathin Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . Hydrogen and Ultrathin Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . . . Silicon Oxide Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Silicon Oxynitride Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . . . . . . 1.8. Alternative (High-k) Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . . . . . I .9. Final Rcmarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Acknowledgments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1.1. 1.2. 1.3. 1.4. 1.5. 1.6. I .7.
1 6 6 16 45 52 82 104 122 122 123
Electrochemical Passivation of Si and SiGe Surfaces J. Rappich and Th. Dittrich 2.1. 2.2. 2.3. 2.4. 2.5. 2.6. 2.7.
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . In Situ Characterization of Surface Bond Configurations and Electronic Surface States . Electrochemically Hydrogenated Si Surfaces . . . . . . . . . . . . . . . . . . . . . . . . Hydrogenated Porous Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thin Anodic Oxides on Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thick Anodic Oxides on Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Enhanced Passivation of SiGe by Anodic Oxidation . . . . . . . . . . . . . . . . . . . . Acknowledgments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
V
135 137 159 182 200 224 233 249 249
261
This Page Intentionally Left Blank
Contributors
Ultrathin Gate Dielectric Films for Si-Based Microelectronic Devices: C. Krug,
I. J. R. Baumvol, Instituto de Fisica, Universidade Federal do Rio Grande do Sul,Porto Alegre, RS 91509-900, Brazil Electrochemical Passivation of Si and SiGe Surfaces: J. Rappich, Hahn-Meitner
Institut, Abteilung Silizium-Photovoltaik, Berlin D- 12489, Germany Electrochemical Passivation of Si and SiGe Surfaces: Th. Dittrich, Technische
Universit~it, Mtinchen, Physikdepartment E16, Garching 85748, Germany
vii
This Page Intentionally Left Blank
Preface
Volume 29 of Thin Films, titled Non-Crystalline Films for Device Structures, presents two chapters covering in detail the preparation and properties of noncrystalline layers and their critical role in the successful development of current and emerging semiconductor microelectronic components. Previous volumes in the series have generally stressed crystalline and epitaxial films or multi-layers performing the key, active functions in electronic devices. This is the first topical treatment of non-crystalline (or amorphous) films in relation to such structures. The review articles included in this volume have been selected from a new multi-volume work, Handbook of Thin Film Materials, edited by Dr. Hari Singh Nalwa for publication by Academic Press. The material used was chosen primarily for its relevance to high-performance semiconductor device technologies, which are strongly dependent on improvements in thin film processing and optimization of dielectric and electronic properties. The common theme in these articles focuses on the critical use of non-crystalline insulator layers, for example, for gate dielectrics and surface passivation in semiconductor devices. Chapter 1, authored by C. Krug and I. J. R. Baumvol, offers a comprehensive treatment of ultrathin gate dielectric films for Si-based microelectronic devices. Continued progress in the area of MOSFET arrays for ultralarge-scale integration (ULSI) requires further reduction of insulator thickness below the present limit of ~3 nm, coupled with improvements in dielectric quality to diminish current leakage. This is a key area of thin film technology and production, with enormous future growth potential. Sales of semiconductor integrated circuits for the year 2000 alone were estimated to be 150 billion dollars. After the general criteria for thin gate dielectrics in MOSFET devices are surveyed, thermal, physical, and chemical growth approaches and electrical and physicochemical characterization techniques are outlined. Next, the beneficial and detrimental effects of hydrogen during oxide growth are discussed. Following sections consider the properties and limitations of silicon oxide and silicon oxynitride gate dielectric layers and the potential and feasibility of high-k dielectric films. Finally, it is concluded that silicon-based dielectrics will continue to be used until 2005 or so, possibly to be supplanted by high-k dielectrics such as ZrSiO4, Ta2Os, or TiO2. Chapter 2, by J. Rappich and Th. Dittrich, presents an exhaustive status review of the electrochemical passivation of Si and SiGe surfaces. The subject matter is closely related to that discussed in the previous chapter, in that low-temperature approaches for growth of low-leakage oxide layers suitable for high-performance semiconductor device structures form a significant aspect of the outline. The advantages of electrochemical passivation are that specific chemical reactions are ix
X
PREFACE
locally activated at the Si surface by an applied electric potential, and they can be controlled by using the measured current for monitoring progress of the reaction. Furthermore, the low reaction temperatures used (relative to those needed for thermal oxidation) are ideally suited to passivation of chemically less stable semiconductors such as SiGe alloys, which are becoming very important for higher performance hetero-bipolar transistors or MOS structures. The authors discuss, among other aspects of this approach, the powerful advantages of in situ optical techniques, e.g., Fourier transform infrared spectroscopy (FTIR), surface photovoltage (SPV), and photoluminescence (PL) to monitor information on surface chemical bonds, electronic trap states, and non-radiative recombination centers at the Si surface, respectively. The ability to achieve high-quality passivation and low-leakage anodic dielectric layers by such low thermal budget approaches offers exciting advantages in the future manufacture of more powerful semiconductor memory systems. MAURICE H. FRANCOMBE
THIN FILMS, Vol. 29
Ultrathin Gate Dielectric Films for Si-Based Microelectronic Devices C.
KRUG
AND
I. J. R.
BAUMVOL
Instituto de Ffsica, Universidade Federal do Rio Grande do Sul, Porto Alegre, RS 91509-900, Brazil
1.1. 1.2. 1.3. 1.4. 1.5. 1.6. 1.7. 1.8. 1.9.
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Requirements of Ultrathin Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . . . Ultrathin Gate Dielectric Film Processing . . . . . . . . . . . . . . . . . . . . . . . . . . Characterization of Ultrathin Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . Hydrogen and Ultrathin Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . . . . Silicon Oxide Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Silicon Oxynitride Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . . . . . . . Alternative (High-k) Gate Dielectric Films . . . . . . . . . . . . . . . . . . . . . . . . . . Final Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Acknowledgments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1 6 6
16 45 52 82 104
122 122 123
1.1. Introduction A microelectronic device may be defined as one that relies on electrons (specifically, on their quantum behavior) for functioning. "Micro," although related to physical dimension, stands for a character of building block; an electronic device is usually an assembly of microelectronic components. Generally speaking, a device must be controllable to be useful. The possibility of controlling a significant physical quantity, electron "flow," is offered by the band structure of a crystal of semiconducting material suitably doped with an electron donor or acceptor. From a myriad of semiconductors, silicon has been chosen to form the vast majority of microelectronic devices, totally dominating the commercial market. However, if we are currently witnessing a silicon age, it is not solely because silicon is a semiconductor. (Gallium arsenide (GaAs), for example, shows superior electron transport properties and special optical properties [ 1]). It is perhaps mainly due to the fact that silicon has a stable electrical passivating oxide, which can be placed on top of it with a structurally sharp interface of incomparable electronic quality; i.e., there is an extremely low density of interface electronic quantum states. Electrical passivation of the semiconductor crystal surface is the key factor to THIN FILMS Copyright 9 2002 by Academic Press Vol. 29 ISBN 0-12-533029-4
All rights of reproduction in any form reserved ISSN 1079-4050/02
$35.00
2
KRUG AND BAUMVOL
FIG. 1. Three-dimensional sketch and cross section of an n-channel MOSFET on p-type Si, showing the channel width W and length L. The active region of the device under bias is also shown.
control, as surface properties can be the dominant influence on the electronic current in the device in opposition to the contribution of charge transport in the bulk. This is undesirable because devices with surface-dominated characteristics usually exhibit poorer performance and stability than devices with bulk-dominated characteristics. Even ideal surfaces can possess a density of electronic states that would make any device uncontrollable [2]. Finally, silicon is very abundant and can conveniently be converted into high-purity single crystals suitable for device manufacturing. A key component of present-day microelectronics built on the concept of the electrical passivation of silicon by its oxide is the metal-oxide-semiconductor field-effect transistor (MOSFET) [3, 4], shown schematically in Figure 1. It can be structurally divided into gate, oxide, source, drain, and bulk semiconductor. The gate is a conducting electrode used to control the device. The passivating oxide is also called the gate dielectric. The source and drain are islands doped opposite from the substrate. The semiconductor in contact with the gate oxide and between the source and drain forms the active region of the MOSFET. In such a device, an electric field produced by a voltage applied between the gate electrode and the silicon substrate (the gate voltage VG) can control charge flow (electrical current)
ULTRATHIN GATE DIELECTRIC FILMS
from the source to the drain (the drain current ID, produced by an applied drain voltage VD)mhence the "field effect" denomination. Under favorable gate bias, a channel appears, connecting the source and drain, and charge flows between them (Fig. 1). The metal/oxide (SiO2)/semiconductor (Si) or MOS structure is, without a doubt, the core structure of modern-day electronics. (Actually, heavily doped polycrystalline silicon (polysilicon, poly-Si) has been favored over metal (aluminum) electrodes since about 1970, eliminating the technological problem of aligning the gate to the insulating film.) This cannot be ascribed to a single characteristic of the MOSFET, but is due to a favorable combination of properties [3]. The two-terminal MOS capacitor (MOS-C) is the structural heart of all MOS devices. There lies the gate dielectric. The MOS-C consists of a parallel plate capacitor with a metallic plate and the semiconductor substrate as electrodes. The two electrodes are separated by a thin insulating layer of SiO2. The MOS designation is reserved for the metal-SiO2-Si system; a more general designation, metal-insulator-semiconductor (MIS), is used to identify similar device structures composed of an insulator other than SiO2 or a semiconductor other than Si. One key feature of the MOSFET is its planar geometry, which allowed the development of integrated circuits (ICs), i.e., the arrangement of many individual devices on the same semiconductor chip. The vast majority of discrete devices and ICs are based on MOSFETs. In complementary metal-oxide-semiconductor (CMOS) technology, both n-channel (p-bulk, electron current carrying) MOSFETs and p-channel (n-bulk, hole current carrying) MOSFETs are fabricated on the same chip. Today, CMOS technology is the dominant semiconductor technology for microprocessors, memories, and application-specific integrated circuits (ASICs). Sales of semiconductor ICs were estimated to be $150 billion for the year 2000. The main advantage of CMOS is its low power dissipation. A CMOS circuit has almost no static power dissipationmpower is only dissipated when the circuit actually switches. This makes it possible to integrate many more CMOS gates on an IC, resulting in much better performance. Significant expressions of MOSFET performance are drain current, charge carrier mobility, and switching speed. In part, these depend on properties more or less under the designer's control [3]. Both drain current and switching speed are proportional to the gate capacitance and to the device aspect ratio, given by the channel length divided by the channel width. The gate capacitance, in turn, is inversely proportional to the gate oxide thickness. The drive for increasing device performance has led to continuous MOSFET scaling in the direction of decreasing gate oxide thickness and increasing aspect ratio. Such scaling, involving such parameters as gate length, gate width, gate oxide thickness, source/drain junction depth, substrate dopant concentration, and supply voltage (Table I), must follow some rules [5] to cope with the "short-channel" effect. Briefly stated, the shortchannel effect refers to the tendency toward a lower gate voltage at which drain current is observed (threshold voltage) as the source-to-drain distance (channel
KRUG AND BAUMVOL
TABLE I MOS TRANSISTORSCALINGATA GLANCE Design parameter
Scaling factor Upon
Transistor dimensions Voltage Doping
Decreased by k Decreased by k Increased by k Result
Circuit area Speed Currents Power per circuit Power per unit area
Reduced by 1/ k2 Increased by k Reduced by 1/ k Reduced by 1/ k2 Held constant
length) is reduced, because of the two-dimensional electrostatic effect involving the source, the drain, the gate, and the channel regions. As a rule of thumb, the gate oxide thickness should be about 1/50 to 1/25 of the channel length to keep the short-channel effect under control [6]. So if one takes gate capacitance as the scaling parameter to increase device performance, channel length must be scaled concomitantly. As a result, the whole device shrinks, and more devices can be placed on the same chip area, increasing integration and decreasing the cost per transistor. Gate and drain voltages must be scaled at the same time, and one finds that power consumption is drastically reduced. With regard to the MOSFET, one is tempted to say that "the smaller, the better." Because of scaling, semiconductor device manufacturing has gone through medium- (MSI), large- (LSI), very large- (VLSI), and now ultralarge-scale integration (ULSI). Computing power has been doubling every 18 months since the 1970s [7], following what became known as Moore's law [8] (Fig. 2). Goals and strategies for developments in semiconductor technology appear in the biannual editions of the Semiconductor Industry Association's roadmap [9]. Reduction of the gate dielectric film thickness is one of the areas of device fabrication that now limits CMOS scaling. In active CMOS devices, the thickness of the gate insulator has been scaled down from 100 nm in the early 1970s to less than 3 nm for current devices with an effective channel length of less than 0.2 #m. Already at the present stage one cannot make a strict distinction between "surface" "bulk," and "interface" of the gate dielectric films, from a structural or compositional point of view. The quality and reliability of these films determine the performance of the ICs that contain them. The drive of ULSI establishes new and very strict material requirements. Outstanding scientific and technological
ULTRATHINGATEDIELECTRICFILMS
{3 .~_ 'E o ..Q E .-I Z
~ o
IOM
~
.,~'~48'~6
1M
.~
2000
P~n~ieusm;r
0.1M O.01M ~176
19'75
19;o
19'8s
19;o
Production year
19;~
FIG. 2. Moore's law illustrated by the time evolution of the number of transistors in Intel chips.
challenges have been created by the downscaling of CMOS devices. Most of them have been surpassed at the cost of massive research, but some remain at the very forefront, limiting further evolution of silicon science and technology [10-12]. Those concerning gate oxides, and more generally gate dielectrics, are close to fundamental limits, where the need for atomic-scale understanding and control becomes ever more critical. A limit to silicon oxide as a gate dielectric has already been foreseen, and alternative materials are now under intense investigation [ 13]. This chapter is intended to present and discuss the broad view of ultrathin gate dielectric films on silicon. The main purpose is to review the current status of ultrathin gate dielectric science and provide the means for the reader to keep pace with the new scientific literature in this very lively research area. Discussion in depth is restricted for the sake of brevity, with references offered to the interested reader. The material is intended for either continuous or sectional reading. Emphasis is placed on the correlation of dielectric quality, physicochemical issues, and processing parameters. Basic requirements of ultrathin dielectric films to be used in microelectronic devices are listed in Section 1.2. Film preparation methods are outlined in Section 1.3. Both electrical and physicochemical methods of characterization of dielectric films are presented in Section 1.4. The significance and effects of the hydrogen presence in gate dielectrics are presented in Section 1.5. Section 1.6 describes silicon oxide films thermally grown on single-crystalline silicon in dry oxygen. They have allowed and promoted semiconductor device development for 40 years. Silicon oxide is the gate dielectric p a r excellence, and all discussions take it as a reference. Understanding and simulating modem processing routes to the formation of gate dielectric films require an understanding of the atomic transport processes responsible for their growth. Atomic transport is the natural way to approach the growth of ultrathin films and so is explored in this
KRUG AND BAUMVOL
text. Because of ever-increasing constraints brought about by integration issues, silicon oxide has been at least partially replaced. Dielectrics generally referred to as silicon oxynitrides are addressed in Section 1.7, considering a variety of preparation methods. (Silicon nitride finds a number of applications in the semiconductor industry, but not in the form of ultrathin gate dielectric films, and so will not be discussed here.) Recently proposed dielectric materials that are alternatives to these are covered in Section 1.8. Section 1.9 summarizes the chapter and gives concluding remarks.
1.2. Requirements of Ultrathin Gate Dielectric Films Ultrathin dielectric films must fulfill a number of electronic, physical, and chemical requirements to be useful in microelectronic devices [ 14]. A major concern for the gate dielectric is minimization of leakage current, as this ensures the field effect. A large bandgap is desirable for the prevention of leakage and for ensuring a lower sensitivity to radiation. A high dielectric constant (permittivity) is becoming more and more important as integration increases. Uniform and high dielectric strength (high breakdown voltage) is also necessary. To obtain high-performance MOSFETs with fast turn-on characteristics and high carrier mobility, electrically active defects (and the associated charge) encountered in the gate insulator and at its interfaces must be minimized. The dominant criterion is still a low density of electronic states at the insulator-semiconductor interface, which makes SiO2 the first choice unless one of the other already mentioned aspects cannot be fulfilled. To make sure that the fabrication yield of ICs is kept acceptable despite the ever-increasing chip size, gate dielectric films must be homogeneous, uniform, and both physically and chemically stable. (Although individual devices keep shrinking, chips are growing because of increasing device integration.) For the sake of device stability, these dielectric films should prevent all foreign species from diffusing into active parts. Foreign species can be doping atoms, ions diffusing from a metal gate electrode, or any type of contaminant introduced during the fabrication process. One very illustrative and disturbing example is diffusion of boron from heavily doped gate electrodes made of polycrystalline silicon. Such characteristics are usually perfected through cleanliness and control of the film growth rate. The domain of ultrathin dielectric films is particularly tricky because as a general rule the first stages of formation are not fully understood.
1.3. Ultrathin Gate Dielectric Film Processing In current IC fabrication technology, passivation of the semiconductor surface with a suitable dielectric film is one of the first processing steps. It is therefore
ULTRATHINGATE DIELECTRICFILMS
considered as a "front end of line" process. With regard to manufacturing, dielectric films can be divided into two groups: "grown" and "deposited." The term "grown" designates films that are formed by means of a chemical reaction (oxidation, nitridation, etc.) involving the semiconductor substrate and whose growth depends on atomic transport, and "deposited" means that the dielectric has been formed without any chemical reaction with the semiconductor [ 14]. A film unintentionally grown by simple exposure of a clean surface to the ambient is usually called "native." Examples are SiO2 and A1203 films formed on clean Si and A1 upon exposition to air. This section addresses different approaches to grown and deposited dielectric film fabrication. The presentation is preceded by considerations on substrate cleaning, which is a key factor regardless of the particular method chosen for the preparation of the passivating film. 1.3.1.
SILICON WAFER CLEANING
Cleaning is the most frequently repeated step in IC production. One of the most critical cleaning steps is that which precedes ultrathin dielectric film growth or deposition. The RCA clean, developed in 1965, still forms the basis of most front-end wet cleans [15-19]. A typical RCA-type cleaning sequence starts with a sulfuric acid-hydrogen peroxyde mixture (SPM) step (HzSO4]H202) followed by a dip in diluted HE The SC1 step (NH4OH/H202/H20) then removes particles, and the SC2 step (HC1/HzOz/H20) removes traces of metals. Environmental concerns and the search for greater cost effectiveness have recently led to much research effort directed toward understanding cleaning chemistries [20]. A variety of organic contaminants can exist in IC processing (human skin oils, pump oil, silicon vacuum grease, cleaning solvents). A potential problem arising from this is the presence of an organic film at the silicon surface, preventing the action of cleaning solutions. Therefore, removal of organic contamination is often the first step in cleaning. SPM (H2SO4/I-I202) or sulfuric acid-ozone mixture (SOM) (H2SO4/O3) have successfully been applied to this end. Traditional cleaning sequences use mixtures based on H2SO4 to grow a sufficiently thick chemical oxide to obtain high particle removal efficiencies in the second step of the cleaning sequence. The effect of organic contamination on SiO2 film quality was tested by wafer exposure either between cleaning and oxidation or oxidation and poly-Si gate electrode deposition [20]. Charge-to-breakdown (see Section 1.4.1.2) measurements showed no difference in the intrinsic oxide breakdown, but the extrinsic tail was influenced by the different treatments. The presence of organic contamination is likely responsible for the extrinsic defects in the thin oxide layer. In the SC2 mixture the H202 can be left out completely, inasmuch as it has been shown that diluted HC1 is as effective in the removal of metals as the standard SC2 solution [20]. Moreover, at low HC1 concentrations, partictes are kept away from
8
KRUG AND BAUMVOL
the silicon surface. This is due to the fact that the isoelectric point for silicon and silicon oxide is between pH 2 and 2.5. For most particles in liquid solutions at pH values greater than 2-2.5, an electrostatic repulsion barrier is formed between the particles in the solution and the surface. An additional step can be added to the cleaning sequence to make the silicon surface hydrophilic. This allows easier drying without the generation of drying spots or watermarks. In the Marangoni dryer [21], the drying is performed by a strong natural force (the Marangoni effect) in cold deionized water, and the wafer is rendered completely dry without the evaporation of water. Trace amounts of noble-metal ions, such as from Ag, Au, and, especially, Cu, are present in HF solutions and can deposit on the Si surface. An optimized HF/HC1 mixture provides protection against metal outplating from the solution. Ca shows a pronounced tendency to deposit on the wafer surface, with a strong effect on the gate oxide integrity [22]. Low pH and high temperature were found to result in lower Ca surface concentration [20]. A transport-limited surface deposition was also observed, so low pH, high temperature, and fast cleaning minimized Ca contamination. The chemical state of the silicon surface after a cleaning process can be either an oxidized form or oxide-free, bare silicon, terminated by S i - H groups [23]. It has been shown that the presence of a thin chemical oxide (0.6 to 0.8 nm) on the Si surface before deliberate oxidation does not significantly influence final oxide thickness or thickness variation on a wafer. This chemical oxide forms a passivation layer with a dangling-bond defect density in the range of 1012 cm -2 at the SiO2-Si interface, two orders of magnitude higher than that of device-grade thermal oxides. Wet chemical oxides protect the Si surface from some recontamination or at least render the surface less sensitive. On the other hand, such thin oxides left on the surface are detrimental, for example, to epitaxial silicon deposition and might become increasingly critical for subsequent thermal oxidation as the gate oxide thickness decreases. For such purposes, cleaning sequences that end with an HF step might be preferred, as the surface becomes hydrophobic and the silicon dangling bonds are passivated with hydrogen atoms. However, no significant differences were found between wafers that received HF and SC2 final cleaning, with respect to electrical performance and defect density, provided metal and particle contamination was sufficiently low. So far experimental proof for the superiority of HF-last cleaning has not been reported [24]. Surface roughness of Si wafers received much attention over the last few years as a possible cause of gate oxide defects. It was recently reported that as far as yield loss is concerned, silicon surface roughness for very thin oxides (<6 nm) appears to be negligible, at least at moderate levels of surface roughening [25-27]. In a reevaluation of yield loss due to local roughening and the relationship among Si surface roughness, metal contamination, and oxide defects, the authors were led
ULTRATHIN GATE DIELECTRIC FILMS
to believe that yield loss was due not to the observed silicon surface roughening, but rather to locally high levels of iron contamination [20]. For surface science studies, the so-called rapid thermal cleaning of silicon allows reduction of native oxides and some etching [14]. Samples are typically heated to 1000-1150~ for 2-10 s in forming gas (10 vol.% H2 in N2), optionally containing HC1 at a small concentration. SiO is volatile above 750~ and is formed upon heating of SiO2 at very low 02 partial pressures. For etching, it is believed that hydrogen reduces silicon to gaseous SiHx and eliminates metallic impurities in the form of hydrides. The effect of different silicon wafer cleaning procedures before oxidation on the kinetics of thermal growth was investigated by, among others, Gould and Irene [28], who used cleaning solutions based on the RCA recipe [ 16], and Ganem et al. [29], who used HF diluted in ethanol. Hahn et al. [30] studied the effect of Si wafer cleaning, polishing, and storage time; oxidation parameters; and postoxidation annealings on the roughness Of the SiO2-Si interface. Stedile et al. [31] compared Si cleaning methods: standard RCA cleaning, HF etching followed by a rinse in ethanol, and rapid thermal cleaning. Different oxidation kinetics (Fig. 3) and a pronounced change in the thickness of the SiO2-Si interface were observed. The thickest interface was obtained after HF/ethanol cleaning (comprising the
25.00
.. .0"""
r--,-n
E
20.00
o
o
15.00
,___,
o lO.O0
o
,,'
L,,
o oe-
5.00
0.00
.j,' ,1
I I
n
RCA
o
HF+EtOH
zx
RTC
1'0
Oxidation time [s]
1'5
FIG. 3. Oxygen content vs. oxidation time in 1802 for Si wafers submitted to different cleaning pro-
cedures. The top of the scale for O content corresponds to about 5.5 nm of SIO2. The lines connecting experimental data are just guides to the eyes. Reprinted with permission from [31], 9 1996, Elsevier Science.
10
KRUGANDBAUMVOL
equivalent of about 11 monolayers of Si), and the thinnest (eight monolayers of Si), after RTC [31 ]. (For a description of the SiO2-Si interface, see Section 1.6.) 1.3.2. THERMAL GROWTH OF GATE DIELECTRICS Thermal growth is by far the most widely used method for preparing gate SiO2 because the electrical properties of the films thus produced are vastly superior to those of silicon oxide films deposited by chemical vapor methods (see Section 1.3.4). For the thermal growth of gate dielectrics, one basically needs an apparatus capable of keeping a sufficient temperature in a controllable (clean) atmosphere. Key factors are uniformity and repeatability. In the domain of ULSI, acceptable parameter fluctuation has become very stringent and process monitoring specially important. Two concepts have proved useful for the thermal growth of dielectric films on silicon: the conventional furnace, which relies on heating due to the Joule effect, and the rapid furnace, which makes use of electromagnetic radiation strongly absorbed by crystalline silicon. 1.3.2.1. Conventional Thermal Processing
Dry oxidation of silicon in so-called conventional furnaces (Fig. 4) is usually performed under a flow of pure 02 (1 bar) at 900-1150~ Time and temperature of oxidation can be chosen to grow oxides with reasonable control of the thickness. In the wet oxidation process, up to a few parts per million of water vapor are introduced in the oxidizing ambient. "Wet" films grow faster than "dry" films at the same temperature [32-41], rendering thickness control difficult in the ultrathin oxide film regime. Besides flow furnaces, static variants have been used for low-pressure processing. Low-pressure oxidation offers an attractive way of growing ultrathin oxides in a controllable manner [42]. Oxide thicknesses between 3 and 14 nm have been Quartz Heater elements o o Q o o o o
I
p,..Ts srnr.~-
tube
V,
I I I
.....
Heater elements Wafers on quartz boat
Vacuum door
FIG. 4. Schematiccross section of the reaction chamber of a conventiona! furnace. Reprinted with permission from [14], 9 1999,Elsevier Science.
ULTRATHIN GATE DIELECTRIC FILMS
11
achieved in this way at 900-1000~ under 0.2-2.0 mbar of 02. Diluted 02 (e.g., in N2) can also be used. With this method the growth rate is slower, which makes it attractive if controlling the oxide thickness is very critical. The oxides are very uniform, homogeneous, and similar to thicker oxides prepared at atmospheric pressure [43]. Static furnaces are specially useful for growing oxides under an isotopically pure atmosphere (1602 or 1802), because contamination and waste of the high-purity gases are minimal and recovery after oxide growth for subsequent use is also possible. As shown in Section 1.4.2, isotopic tracing experiments were essential for an understanding of mechanistic aspects of silicon oxide film growth. Small HC1 or HF concentrations in the oxidation ambient are typically used to passivate contaminant ionic sodium, to improve the dielectric breakdown voltage, and to reduce the amount of impurities and defects in the grown silicon oxide films. To reduce the density of electrically active defects, oxidation is often followed by thermal annealing at the oxidation temperature in an inert ambient (N2 or Ar). This is often followed by an annealing in forming gas (10 vol.% H2 in N2 or Ar) at 450~ (see Section 1.5).
1.3.2.2. Rapid Thermal Processing Rapid thermal processing (RTP) refers to the use of a broad range of energy sources to rapidly heat materials used in semiconductor and IC processing for short periods (1-100 s) [14]. RTP was originally introduced to anneal defects originating from ion implantation, but proved useful for a variety of additional applications [44]. (Processes such as source, drain, and poly-Si gate electrode doping are now carried out by ion implantation from a particle accelerator. This introduces a number of defects (atom dislocations and such) in the system, which are at least partially annealed under thermal treatment.) Indeed, as device dimensions decrease, low thermal budget processes are necessary to limit dopant diffusion. Two solutions exist: low-temperature processes enhanced by photons, plasmas, etc. (see Section 1.3.3) and RTP processes in which high temperatures are applied for short periods. As conventional furnaces, RTP processes have been used to prepare silicon oxide and oxynitride films. Dry cleaning (see Section 1.3.1) and annealing of wafers can usually also be performed. Figure 5 shows a schematic cross section of a single-wafer, rapid thermal processing module. Heat is provided by a bank of tungsten-halogen lamps, and the temperature is monitored with an optical pyrometer and a feedback system for controlling the power supplied to the lamp bank. As in a typical conventional furnace, the reaction chamber is a quartz tube. The apparatus allows one to perform several processes sequentially in the same chamber. The small size of the chamber makes it possible to quickly change the reacting gases, and the substrate temperature switches the chemical reactions on and off. As determined from I - V
12
KRUG AND BAUMVOL r .
wafer. ~
--
. . . . . . .
:
i
Lamp bank . . . . .
.
.:
quartz tray
.
'"
.
.
-
.
' 'i
.
"t
:: .2-',~"-~-~<::~Process
.o , - o ,
war ~r pyrometer------------~_]lI
quartz tube
FIG. 5. Schematic cross-section of the reaction chamber of an RTP module. Reprinted with permis-
sion from [14], 9 1999, Elsevier Science.
and C - V measurements (Section 1.4.1), rapid thermal oxide films display better electrical characteristics than oxide films grown in conventional furnaces: higher dielectric strength, lower defect density, and lower density of interface states are observed [ 14]. 1.3.3.
H Y P E R T H E R M A L P R O C E S S I N G OF G A T E D I E L E C T R I C S
"Hyperthermal processing" refers to processing with the chemical species involved at an energy range above that achieved by heating reactive gases and/or substrate. The energy range of such a hyperthermal regime has been quoted as being between 1 and 1000 eV (Fig. 6) [45]. Compared with thermal processing (Fig. 7), the hyperthermal approach shows a number of advantages for the microelectronics industry. One key point is the use of much lower substrate temperatures for the preparation of dielectric films, reducing dopant diffusion during processing. Hyperthermal processes also make it possible to overcome the thermodynamic barrier to the formation of metastable phases that might be of interest, as in the case of silicon oxynitrides (Section 1.7). Whereas thermal growth makes use of reacting molecules that have to go through adsorption (and dissociation in cases), diffusion, and reaction, hyperthermal processing usually makes use of energetic ions, eliminating adsorption and facilitating the diffusion and the reaction. Actually, substrate temperature plays a role in hyperthermal processing, and this is mainly related to diffusion after most of the ion energy has been released. Ion energy and fluence (i.e., number of ions impinging on the sample) are of primary importance in the process. Together, these parameters allow the modification of materials to various degrees, which makes hyperthermal processing very attractive. One disadvantage of hyperthermal methods is that quite often the interface between insulator and semiconductor is not sharp. Moreover, implantation damage (atom dislocations and such) occurs.
ULTRATHIN GATE DIELECTRIC FILMS e n e r g y [eV]
13
effects
Ire-sputtering -
1000
T - 100
bulk effects
T surface effects
implantation defect generation, sputtering
enhanced adatom mobility
-10 chemical bonding -
1
- 0.1
chemisorption
Thyperthermal
lno
effects on film
physisorption, thermaldeposition
- 0.025 thermal species (room temperature) FIG. 6. Effects of low-energy species in the modification of materials. Reprinted with permission from [45], 9 1997,Elsevier Science.
Thermal processing Sample temp. > 800~ E --- kT--- 0.1 eV Gas molecules
9
Hyperthermal processing Sample temp. << 800~ E = 1 - 1000 eV Ions
|
\\\\\\\\ \\~\\\ \\W/A\\\ FIG. 7.
\ \ \f/A~,~\\ \\\WT__J~x~\\
Schematiccomparisonof thermal and hyperthermalprocessing.
The most straightforward way to produce hyperthermal species is to accelerate charged particles to the desired energy in an electromagnetic field. In the following, three different hyperthermal methods that have been used to produce silicon oxynitrides (Section 1.7) are outlined. Figure 8 [46] shows an apparatus used for the nitridation of SiO2 that uses a plasma. The plasma source is fed with a precursor (as NH3 or N2) of ions of interest, and the sample is placed within the
,14
KRUG AND BAUMVOL Quartz Bell
Jar
Groundrod
pet
Permanmt Magnets
Langmuir Probe --
Location
Optical Port Procg~is
Chamber
Wafer
FIG. 8. Cross section of a high-density plasma experimental process module. Reprinted with permis-
sion from [46], 9 1997, American Institute of Physics.
OlSCH;RGEL BIAS
/INF"A RED I LPYROMETER J
,
i FILAMENT ~ ........
WORKING GAS
!
.... SUBLIMATOR/ ....
cu""'"'
_ J J VV
.........
I
! CHARTRECORDER
I
~t-k21, ~
....
I--,'
i ~ rl
CURVE
I IONIZATION GAUGE
IF-'--' , __ P-"--{ ....TARGET STAGE
-w.;-ll
......u,,E
L1 MODULATOR~
~o--~vo~ SUPPLY
FIG. 9. Plasma source ion implantation device block diagram showing vacuum chamber, power supplies, and diagnostics. Reprinted with permission from [47], 9 1987, American Institute of Physics.
limits of the plasma sheath. If the substrate is grounded, implantation occurs at floating potential (about 20 V, depending on plasma density). Additional bias can be supplied to the sample to increase implantation energy. Figure 9 [47] shows an apparatus for plasma immersion ion implantation (PIII). In this case the sample is placed away from the plasma sheath and is pulse biased to a negative voltage. As a result, ions are massively accelerated toward the sample and implanted. The in-
ULTRATHIN GATE DIELECTRIC FILMS
Ion
Source
Extr.
Einzel Lens
Steerer
15
Analysing Magnet
! 30kV
Slit
Quad.Triplett Diff. Pumping Deflection
/
X-Y Scanning Decelerating
1
20V
Electrodes Sample e-gun
r.~j~~--~-~-~ ~
FIG. 10. Schematic of an ion implanter modified to perform hyperthermal modification of materials.
terval between pulses allows recomposition of the plasma. Figure 10 shows how a conventional ion implanter can be used for hyperthermal processing. One applies the ion beam extraction voltage but a fixed potential to the sample, reducing the effective ion energy at landing. This approach is different from those directly using a plasma in that the nature and energy of the hyperthermal species are exactly defined. Plasma methods always produce a mixture of ions arriving at the sample with a distribution of energies. 1.3.4. P H Y S I C A L OR C H E M I C A L D E P O S I T I O N OF GATE D I E L E C T R I C S A myriad of insulating materials can be deposited on silicon from physical or chemical vapor. In physical vapor deposition (PVD), a film is deposited without the involvement of a chemical reaction. This can be done in many different ways. For example, one can simply use a difference in temperature between the substrate and a piece of the material to be deposited if it is sufficiently volatile. An ion beam can also be used to sputter atoms from a suitably chosen target; some
16
KRUG AND BAUMVOL
of these atoms are then collected on the substrate. In this case, the target can be either an elemental or composite material, and multiple targets can be used. PVD is very well established for the deposition of relatively thick films. It is now being applied [48] in the thickness range of interest for current and future gate dielectrics. In chemical vapor deposition (CVD), a reaction occurs between chosen precursors and leaves the insulating films as a product. The process consists of exposing a clean substrate surface to a reactive mixture of gases. The substrate surface may play a role as a catalyst, but it is not consumed in the process. Films prepared by CVD are usually not as pure and defect-free as (hyper)thermal ones, so one should not expect to see gate SiO2 produced by CVD. (Silicon oxide deposited from chemical vapor actually plays an important role in IC fabrication, but as an interlayer dielectric and not at the gate.) Many potentially good insulators, however, cannot be (hyper)thermally grown on silicon, and CVD quite often offers a reasonable alternative. One major impurity from CVD is hydrogen, a major source of instability in silicon-based microelectronic devices (Section 1.5). There are many variants of CVD. The classical process consists of heating the silicon substrate to a few hundred degrees Celsius (the actual temperature varyies according to the material to be deposited and the precursors) and exposing it to a mixture of reacting gases. CVD can be performed at atmospheric pressure (APCVD) or at low pressure (LPCVD). LPCVD is replacing the conventional APCVD because of lower costs and superior film quality. Variants include plasma-enhanced CVD (PECVD) and rapid thermal CVD (RTCVD), the main purpose of which is reduction of the thermal budget during sample processing. Another modification is jet vapor deposition (JVD), which makes use of a supersonic precursor flow [49]. The need to control film thickness and uniformity led to the development of atomic-layer CVD (ALCVD) [50]. This is a technique that uses sequential pulses of precursors and the self-saturating nature of certain surface reactions, allowing the chemical deposition of materials with a monolayer control. Novel.gate dielectric materials (Section 1.8) can often be deposited by metalorganic chemical vapor deposition (MOCVD), in which the precursor is an organometallic compound.
1.4. Characterization of Ultrathin Gate Dielectric Films Characterization of dielectric films is a challenging, key aspect of present-day microelectronics. Its relevance to the industry stems from the need for process modeling and model testing. More than ever it seems that adequate modeling rather than blind experimentation is the way to obtain the best performance from the materials available. This section describes a few aspects of the electrical
ULTRATHIN GATE DIELECTRIC FILMS
17
characterization of ultrathin dielectric films and briefly presents physicochemical characterization techniques. No attempt is made to fully describe any of the methods; the interested reader should consult the references provided in the text. Electrical characterization is important for both dielectric testing and study; physicochemical description is more important at a fundamental level. This section lays the basis for the discussion of gate dielectric performance and nature in Sections 1.5, 1.6, and 1.7. 1.4.1. ELECTRICAL CHARACTERIZATION Electrical properties of the MIS system are the bottom line for judging an insulator material intended for use as a gate dielectric. An understanding of some electrical characterization techniques, including interpretation of raw experimental data, is thus highly desirable when dealing in this field. This section addresses the electrical characterization of the MIS system, with emphasis on the insulator layer. Even when the focus is on gate dielectrics for application in MISFETs, it is the MIS capacitor (MIS-C) that is usually applied for electrical characterization. It has the advantages of simplicity of fabrication and of analysis. When the MOS-C is used to measure properties of the MOS system, the following insulator characteristics can be obtained [2]: 9 thickness 9 electric field at dielectric breakdown 9 charge configurations such as fixed charge and the charge at the interface between SiO2 and another insulator deposited on top of it 9 work function difference relative to the top electrode (gate) material 9 charge carrier tunneling (leakage current) 9 dielectric constant 9 properties of electron and hole traps Moreover, trap level density for charge carriers at the interface between insulator and semiconductor can be profiled as a function of energy in the semiconductor bandgap. Each of these results depends to a variable degree on previous knowledge about the system under investigation. The SiO2-Si system has been subjected to the most comprehensive analysis, but the MIS capacitor is also the primary structure for the characterization of insulators other than SiO2 (such as SiOxNy and A1203) and semiconductors other than Si (such as GaAs andSiC). Analysis to the extent suggested above requires a deep understanding of the physics and adequate modeling of the MOS system. A qualitative discussion is offered below as an introduction to the subject. A primary reference for the interested reader is the text by Nicollian and Brews [2].
18
KRUG AND BAUMVOL
In the MISFET, conductivity changes in the channel can be measured to determine charge carrier mobility. The mobility is not directly measured but is inferred from the ID-VD characteristics of the MISFET. The capability for measurement of channel mobility is one of the major advantages of MISFETs over MIS-Cs used for electrical characterization. Fabrication of a MIS-C involves the passivation of a semiconductor surface with a suitable insulator film, which can be either thermally grown (in the case of SiO2 on Si) or deposited by a variety of physical and chemical methods (see Section 1.3). Aluminum is a common gate metal because it is easy to evaporate and it adheres strongly to the oxide. The gate is usually evaporated onto the oxide surface in the shape of a circular dot. Heavily doped poly-Si can alternatively be used as an electrode. A suitable contact must also be provided for the back of the silicon wafer. This is an ohmic contact [51], whose two basic properties are as follows: (i) it permits the passage of current into or out of the crystal without placing any resistance of its own in the path; and (ii) passing current through such a contact does not perturb carrier densities within the sample; i.e., equilibrium carrier densities are guaranteed. Such contact can be made if the substrate has a degenerate layer or, if it does not, if the substrate surface is abraded with emery cloth before a metal is evaporated to complete the contact [2].
1.4.1.1. Capacitance versus Gate Voltage MIS-C Characteristics Once an insulator prevents d.c. current flow through the MIS-C, one can measure the capacitance of the system [ 1]. The capacitance is a function of applied gate voltage, and from an experimental capacitance-voltage (C-V) curve one can extract valuable information concerning both the insulator and the semiconductor. C - V characteristics are routinely monitored during device fabrication. A C - V meter superimposes a small a.c. signal on a preselected d.c. voltage and detects the resulting a.c. current flowing through the sample. The a.c. signal is typically 15 mV rms or less, and a common signal frequency is 1 MHz. The d.c. voltage is slowly ramped so that a continuous C - V characteristic is obtained. MIS C - V characteristics are found to be useful in the so-called low-frequency and high-frequency limits. These refer to the frequency of the a.c. signal used in capacitance measurements. (An equivalent to low-frequency data can also be collected from quasi-static measurements, which involve only a VG ramp and no a.c. signal.) High- and low-frequency C - V data for an MOS-C built on n-doped Si are displayed in Figure 11. To explain the observed form of the C - V characteristics, one must consider how the charge in the test structure responds to the applied a.c. signal as the d.c. bias is systematically changed from accumulation, through depletion, to inversion (from fight to left in Fig. 11). Under accumulation, the majority carrier concentration is greater near the insulator-semiconductor interface than in the bulk of the semiconductor (majority carriers are those introduced
19
ULTRATHIN GATE D I E L E C T R I C FI L M S
1.0 (1) 0 tin
Low-frequency
0.8
0
m 0 (D
---9
0.6
0.4
E L_ 0
Z
High-frequency
0.2
0.0
-5
,
I
-4
J
I
-3
,
I
-2
,
I
-1
,
I
0
,
I
1
,
I
2
(volts) FIG. 11. High- and low-frequency capacitance-voltage characteristics of an MOS-C built on
n-type Si. in silicon by the dopants); under depletion, electron and hole concentrations at the interface are both less than in the bulk semiconductor; and under inversion, minority carrier concentration at the interface exceeds the bulk majority carrier concentration. In accumulation the d.c. state is characterized by the pileup of majority carriers at the insulator-semiconductor interface. If the semiconductor is Si and experiments are run at room temperature, it is reasonable to assume that the device can follow the applied a.c. signal quasi-statically at frequencies of 1 MHz and less, with the small a.c. signal adding or subtracting small amounts of charge close to the edges of the insulator. For either low or high probing frequencies, the behavior is very much that of an ordinary parallel-plate capacitor. Thus the low- and highfrequency C - V characteristics are the same in Figure 11 in the gate bias region corresponding to accumulation. Under depletion biasing the d.c. state of an n-type MOS structure is characterized by a - Q charge on the gate and a + Q depletion layer charge in the semiconductor. The depletion layer charge is directly related to the withdrawal of majority carriers from the SiO2-Si interface. As in accumulation, only majority carriers are involved in the operation of the device, and the charge state inside the system can be changed very rapidly. When the a.c. signal places an increased negative charge on the gate, the depletion layer inside the semiconductor widens almost instantaneously. For all probing frequencies this situation is analogous to two parallel-plate capacitors in series, and so low- and high-frequency C - V characteristics are the same in Figure 11 in the gate bias region corresponding to depletion.
20
KRUG AND BAUMVOL
Once inversion is achieved an appreciable number of minority carriers pile up near the insulator-semiconductor interface in response to the applied d.c. bias. Now the a.c. charge response of the capacitor depends on probing frequency, as shown in Figure 11. At low frequencies minority carriers can be generated or annihilated in response to the applied a.c. signal. As in accumulation, one has a situation where charge is being added or subtracted close to the edges of a singlelayer insulator (so the analysis follows that of one parallel-plate capacitor). At high frequencies the generation-recombination process will not be able to supply or eliminate minority carriers in response to the applied a.c. signal. The number of minority carriers in the inversion layer therefore remains fixed at its d.c. value, and the width of the depletion layer simply fluctuates about an average corresponding to the d.c. bias. Similarly to depletion biasing, this is equivalent to two parallelplate capacitors in series. Intermediate measurement frequencies lead to a mixed behavior. The way C - V curves are used to probe dielectric films is as follows [ 1-3, 51 ]. Accumulation capacitance is used to determine film thickness or dielectric constant, one of them being known or assumed. Because of the current search for dielectric materials alternative to SiO2, one often speaks of an equivalent oxide thickness (EOT) when comparing devices with different materials as gate dielectrics. The EOT is the thickness of an SiO2 film presenting the same accumulation capacitance measured for the alternative sample: EOT = taltEox/Ealt, where talt is the physical thickness of the alternative film, Eox is the permittivity (or dielectric constant) of SiO2, and Ealt is the permittivity of the alternative material. Displacement of the curve in the gate voltage axis is taken as a direct measure of threshold voltage shift. The threshold voltage is the gate voltage at which a MISFET is turned on, i.e., the onset of inversion at the silicon surface. (This would equivalently be described as the voltage at which the conducting channel is formed at the silicon surface.) Another important figure of merit is the flatband voltage, the voltage at which the Fermi level at the semiconductor surface is the same as in its bulk. The exact C - V characteristics are strongly dependent on the doping level of the semiconductor and on the gate electrode material. Of course, actual threshold voltage measurements require MOSFETs; they are usually extracted from drain conductance versus gate voltage measurements [ 1]. Threshold voltage shifts are due to parasitic charge in the MIS-C. This charge can be due to mobile ion charge, insulator trapped charge, interface trapped charge, and fixed insulator charge, as shown in Figure 12. The mobile ionic charge is mostly made up of sodium ions mobile at room temperature and above. The insulator trapped charge depends on the nature of the trapped carrier distributed in the bulk of the insulator, and so can be either positive or negative. The interface trapped charge is made up of carriers trapped on interface defects, which, depending on bias, can exchange carriers with the semiconductor. The fixed insulator charge is the sum of all of the other
ULTRATHIN GATE DIELECTRIC FILMS
21
FIG. 12. Nature and location of charges in the MOS system.
charges that are impervious to changes in bias conditions. Because the thickness of the gate insulator is much reduced in modem devices, the effects of mobile ionic charge and insulator trapped charge are also reduced, but interface trapped charge and insulator fixed charge play a major role. One partially resolves charge identity by comparing C - V characteristics taken before and after the sample has been submitted to stressing (biased to a gate voltage for a given time and at a certain temperature). Changes are due to mobile charge that has drifted. Charge in the insulator can give rise to significant effects, including large voltage shifts and instabilities. The shape of the low-frequency C V curve is related to the distribution of charge traps. Comparison of experimental and calculated or experimental low- and high-frequency characteristics yields [2] the trap density profile at the dielectric-semiconductor interface along the semiconductor bandgap. A common manifestation of a significant interfacial trap concentration within an MIS-C is the distorted or spread-out nature of the C - V characteristics. Judged in terms of their wide-ranging and degrading effect on the operational behavior of MIS devices, insulator-semiconductor interfacial traps must be considered the most important nonideality in MIS structures. A device-grade SiO2 film on Si yields an interface trap level density of about 10 l~ cm -2 eV -1 at midgap. (Whenever an interface trap level density appears without reference to a position in energy in the semiconductor bandgap, midgap is assumed.) 1.4.1.2. Gate Current versus Gate Voltage MIS-C Characteristics and Time to Dielectric Breakdown
A rather simple experiment that is of increasing importance for the characterization of ultrathin dielectrics is gate current density versus gate voltage measure-
22
KRUG AND BAUMVOL
) E
~l Eg
_1
.--2
s~
10 4
<
" =
10 -8
sio2
(b) Jn
3.8 nm ~,.~
I
=
t ~ y = 3.5nm o
TM
1 0.1o ~j
1 ffa2
10 .;+
P
3
4
v
l
[Vl
5
6
FIG. 13. (a) Band diagram showing conduction band (.In) and valence band (Jp) electron tunneling
paths and (b) conduction band and valence band tunneling currents vs. applied gate voltage. Reprinted with permission from [52], 9 1999, Materials Research Society.
ment in an MIS-C (I-V or J-V). In this case, one measures the actual ability of the insulator to block d.c. current flow through the gate structure. Leakage in ultrathin dielectrics (Fig. 13) [52] is due to direct quantum tunneling of charge carriers through the insulator film. Tunneling is the process whereby charge carriers
23
ULTRATHIN GATE DIELECTRIC FILMS
overcome a potential barrier in the presence of a high electric field. Direct tunneling (DT) differs from Fowler-Nordheim tunneling (FNT) in that the tunneling barrier changes from triangular to trapezoidal [53]. Both processes are quantum mechanical in nature, and both contribute to the I - V characteristics in Figure 13. The transition between the main conduction modes occurs at the maximum slope in the curves. Quite often in the case of ultrathin dielectric films, high leakage currents prevent adequate characterization by other methods, such as C - V . Electrons traversing the gate dielectric as leakage can damage the film, creating electron traps and interface states [54-59]. Once the concentration of induced defects reaches a critical value (NBD), the insulating properties of the oxide (catastrophically) fail; i.e., oxide breaks down. This is seen as a sharp increase in leakage current. Dielectric reliability is typically expressed as charge or time to breakdown (QBD or tBD). For most applications, it is generally accepted that MOS devices are required to work continuously for about 10 years. As testing devices for such long times is impracticable, the breakdown is accelerated by stressing devices to gate biases and temperatures above those of intended use. Under such conditions one measures the so-called stress-induced leakage current (SILC) [10, 58, 60]. SILC represents the relative change in leakage current density A J~ Jo due to defect creation of MOS devices under electrical stress (applied bias) conditions (Fig. 14). A major point is then to be able to extrapolate exper-
m V
- - 15 V
Breakdown
o
[
d , ~
.,e,,e -' o ~e,.,e = --2.0 V
I I
lO_l
~ a= 10-2
10-3
J ..r
Jill
tqm = 25.4/~ ] Area = 10 -4 cm 2 [
I''
o
C aci or
!
zx
p+ gate n-type substrate
] ]
_JL_! l l l l l l [
10-3
1
I I lllnl
I
i a ailnl
!
I i iJJlil
I
I I Iill
II
10-2 10-1 100 101 102 Injected charge density, Qinj (C/cm2)
I
! i iIilii
103
FIG. 14. Example of the generation of defects measured by the relative change in the SILC under three different sense conditions for stress of bias - 4 V (tqm is the oxide thickness extracted from C - V
data and corrected for quantum mechanical effects in the measurement). Reprinted with permission from [10], 9 1999, IBM Technical Journals.
24
KRUG AND BAUMVOL Time to breakdown,/BD (s) 100 10! 102 103 2 , ,,,,,,', , o Charge to breakdown, Time to breakdow ''"'"'I
'
~"''"'I
'
104 ,,r'.~(
105 ,
,
QBD
~S,,' I
106 ~
o
v,~,n,
I
107 ,
~ili'hq
.
108 ,,,~,,,
zx
1
/qm -" 25.4 ]k Area = 10-4 cm2
0 I
Vstress = - 4.0 V QBD (50%) = 6419.4 C/cm2
,~ -1 I
-= -2
8
o o o o
-3 -4
ii
100
i iiiii!1
t
101
i iiiiii1
10 z
i
1 illllll
A /x zx /x i
103
i 1111111
F = 0.5 (50%) In [-In (1 - F)] = -0.367 i
| lllllll
104
ld
I IIIIil
105
I
I IIIIIll
106
I
107
I I11111
108
Charge to breakdown, QBD (C/cm2)
FIG. 15. Qbd and tbd distributions of a series of n+-poly/SiO2/p-Sicapacitors with a cumulative probability of failure F. Reprinted with permission from [10], 9 1999, IBM Technical Journals. imental QBD and tBD obtained under stress to values corresponding to operating conditions. The slope of the linear portion of the SILC curve is a measure of the defect generation rate Pgen, defined as the number of defects produced per electron of a given energy traversing the oxide. The generation rate strongly depends on applied bias (electron energy) and temperature [61-64]. Knowing Pgen (and its functional dependence on applied bias and temperature) and NBD (as a function of oxide thickness), one can calculate (for individual devices) charge to breakdown (QBD = NBO/Pgen) and time to breakdown (tBD = QBD/J) and extrapolate the parameters to lower (operating) voltages, 1-1.5 V. Dielectric film breakdown is a statistical phenomenon. It has been established that the statistics of breakdown is described by the Weibull distribution [ 10, 60]. Figure 15 shows an example of a typical Weibull distribution of QBD and tBD for a series of capacitors. The data shown is typical of sub-3-nm oxides and oxynitrides. The Weibull distribution is characterized by two parameters: the characteristic QBD (tBD) value (at 50% or 63% of the distribution) and the slope ft. The latter plays a major role in extrapolating the measured lifetime of individual devices (of area Adevice) to the lifetime of the chip (of area Achip), which is
(adevice) lift (QBD)chip -- (QBD)device
Achip
(1)
ULTRATHIN GATE DIELECTRIC FILMS
25
The larger the/3, the longer the lifetime of the chip for a given failure rate of the individual device. 1.4.2. P H Y S I C O C H E M I C A L C H A R A C T E R I Z A T I O N
Physicochemical characterization of device structures is becoming more and more important for the microelectronics industry as further advances in silicon technology increasingly depend on an atomic-scale description of the correlation among processing, structure, and performance. Such characteristics reveal the physics and chemistry behind the results of electrical measurements. Continuous thinning of gate dielectrics, as well as the introduction of many insulators besides SiO2 as potentially relevant for silicon technology, makes characterization a very challenging task. Surface science techniques have long been invoked and, in combination with isotopic substitution experiments, have provided much of the currently available mechanistic description concerning film growth and behavior upon thermal annealing [65]. In this section selected techniques found to be useful for the physicochemical characterization of ultrathin dielectrics are presented, in an attempt to provide an understanding of data presented in Sections 1.5, 1.6, and 1.7.
1.4.2.1. Microscopy Two microscopies have been used to characterize the topography of ultrathin gate dielectric films. If a cleaved sample is used, both can yield cross-sectional (in-depth) micrographs. One is atomic force microscopy (AFM), one of the socalled scanning probe microscopies (SPMs). A surface is imaged at high (almost atomic) resolution (Fig. 16) [66] by rastering an atomically sharp tip back and forth in close contact with the surface. The major impact of AFM is the possibility of observing atomic-scale structures in real space. AFM can be done at room temperature in the presence of air, requires little sample preparation, and is nondestructive. The AFM has a tip mounted on a cantilever of low elastic constant. The tip scans a surface under investigation. It may touch the surface or not (contact or noncontact mode of operation). The force of interaction between the sample and the tip provokes deflection of the cantilever. AFM uses laser light to measure deflections. The light is focused at the edge of the cantilever; after reflection, it is collected by a detector that measures the variations in beam position and intensity produced by deflections of the cantilever. To make very small scans, the sample is mounted on a piezoelectric ceramic. Increments of less than 1/k can be achieved. Data collected by the detector are processed with the aid of a computer, rendering bi- or tridimensional images. The other microscopy currently applied to ultrathin dielectric characterization is transmission electron microscopy (TEM). Atomic resolution can be achieved with its high-resolution variant (HRTEM) (Fig. 17). The microscope consists of an
2~
KRUG AND BAUMVOL
FIG. 16. AFM images and cross sections of oxide films with thicknesses of (a) 0.83 nm, (b) 1.53 nm, and (c) 1.92 nm. Cross-sectional profiles were obtained along the lines in the AFM images. Reprinted with permission from [66], 9 1999, Materials Research Society.
FIG. 17. High-resolution TEM cross section of the poly-Si/SiO2/Si structure with a ~l.5-nm gate oxide (micrograph by M. Gribelyuk and P. Varekamp, IBM). Reprinted with permission from [60], 9 2000, Kluwer Academic Publishers.
ULTRATHIN GATE DIELECTRIC FILMS
27
electron source and focusing elements in an evacuated (10 -5 to 10 -8 Torr) metal cylinder. Electrons are extracted, accelerated to energies on the order of 100 keV, and made to impinge on a sample. As the electron beam traverses the sample, some electrons are scattered and the remainder are focused onto a phosphorescent screen or photographic film to form an image. The limited penetrating power of electrons requires samples in the 50-100 nm thickness range. Contrast in the TEM depends on the atomic number of the atoms in the sample; the higher the atomic number, the more electrons are scattered and the greater the contrast. Unfocused electrons are blocked out by the objective aperture, resulting in an enhancement of the image contrast.
1.4.2.2. Electron Spectroscopies Two of the most useful analytical techniques for the physicochemical characterization of ultrathin gate dielectric films have been X-ray photoelectron spectroscopy [XPS, also known as electron spectroscopy for chemical analysis (ESCA)] and Auger electron spectroscopy (AES). They provide qualitative and quantitative elemental analysis. Their unique feature is that the analysis is not restricted to the identity of the elements in the samples, but chemical bonding information is also provided. In XPS, the sample is illuminated with X-rays (usually from the Mg Kc~ or A1 K~ line), and photoelectrons emitted from the surface region are energy-analyzed and detected. Practically only photoelectrons leaving the sample without interaction (energy loss) can be detected, so the technique is highly surface specific. The inelastic mean free path (up to a few nanometers) depends on the composition of the sample and on the energy of the photoelectrons. Photoelectrons leaving the sample pass through an energy analyzer to reach a channeltron detector. This gives a spectrum (number of photoelectrons vs. photoelectron energy) with a series of peaks (Fig. 18). The kinetic energy of these emitted electrons is characteristic of each element. Each peak in the spectrum is labeled according to the element and orbital from which emission occurred, as in "Si 2p." The peak areas can be used (with appropriate sensitivity factors) to determine the composition of the sample surface. This is usually expressed as an atomic percentile (at.%) by comparison to a standard. The chemical state of an atom alters the binding energy of a photoelectron and so its kinetic energy upon detection. Bonding information is derived from these chemical shifts and from the shapes of the peaks. XPS is not sensitive to hydrogen or helium, but can detect all other elements with a detection limit of about 1 at.%. XPS must be carried out in ultra-high-vacuum conditions (< 10 -8 mbar) to minimize sample surface contamination. A monochromator can be used after the X-ray source to improve resolution in the determination of chemical shifts. The availability of synchrotron radiation sources [67] made XPS possible over extremely short sampling depths (less than 1 nm) and with an energy resolution
KRUG AND BAUMVOL
28
01s 4000
"13
"~
.m >..,
3000 Si 2s
0 0
2000
13.
I Sii2p
9 N ls
0 ..i-, 0( . -
1000
'
I
600
'
I
400
'
Binding energy ( eV )
I
200
'
I
0
SurveyXPS spectrum from an ultrathin SiO2 film on Si nitrided with a low-energy ion beam. Selected peaks are identified.
FIG. 18.
of 0.02 eV. Elemental depth profiling with chemical information is also possible upon repeated analysis of a sample at different photoelectron take-off angles (this actually makes use of the well-behaved variation of sampling depth with experimental geometry), constituting angle-resolved XPS (ARXPS). (The take-off angle is the angle between the sample surface normal and the axis of the electron energy analyzer.) AES employs a beam of low-energy (3-20 keV) electrons as a surface probe, analyzing and detecting secondary electrons in very much the same way as done in XPS. The primary electrons cause core electrons from atoms contained in the sample to be ejected, resulting in a free electron and an atom with a core hole. The atom then relaxes via electrons with a lower binding energy dropping into the core hole. The energy thus released can be converted into an X-ray, or an electron can be emitted. This electron is called an Auger electron, labeled according to the electronic shells involved in the emission, as in "KLL" (Fig. 19) [68]. Auger electrons characteristic of each element present are emitted from the sample, identifying the element and carrying chemical bonding information (Fig. 20) [69]. (In fact, Auger electrons are always present in XPS spectra. The use of electrons instead of X-rays as the primary energy source in AES, however, increases the Auger electron yield. One fundamental difference between photoelectrons and Auger electrons is that the energy of the latter is fixed (within the range of chemical shifts), whereas that of the former depends on the energy of incident X-rays.) As in XPS, given the limited inelastic mean free path of electrons traversing matter, only those Auger electrons that
ULTRATHIN GATE DIELECTRIC FILMS
29
AUGER ELECTRON (KLL) ,,.[, --I
VACUUM
__
-
0
"-t - . . . . . . .
EF
149
,, - "
LI
-
1 8 3 9 ~ K FIG. 19. Schematic representation of the K L L Auger process in a solid. This core hole leads to a contraction of the outer shells. The K hole is filled by an L electron in the transition process, and the excess energy is transferred to another L electron, which is ejected from the atom. The final state is a doubly ionized atom. Reprinted with permission from [68], 9 1986, North-Holland.
emerge from the topmost atomic layers contribute to the spectrum. Also in analogy with XPS, AES must be carried out in ultra-high-vacuum conditions. AES detects all elements other than hydrogen and helium, usually to a sensitivity better than 1 atom percent of a monolayer, and results are usually expressed as atomic percentiles. (Although the number of atoms corresponding to a monolayer depends on the element under consideration, 1015 cm -2 atoms is a general approximation.) It is most sensitive to elements with a low atomic number. Although angle-resolved analysis is also possible, depth profiling with AES is usually done in combination with sample sputtering. Sputtering involves directing a beam of ions (usually Ar + ions) at 500 eV to 5 keV at the sample. This process erodes away the sample to reveal structure beneath the surface. If sputtering is used in combination with a rotating sample holder, the depth resolution can be below 1 nm. (In the remainder of this chapter, depth resolution is used as the minimum distance separating two monolayers of a given species such that both can be identified.)
30
KRUG AND BAUMVOL
4000
"'
'
e.
'
"
I
. . . .
i"
'
'
~ I
'"-"
"t-'
'" "' "
i"'
'
"'
i
Nb Foil E,=3 keV
3000
f w
z
2000
1000
Nb peoks
(a)
1 O0
200 300 400 500 Electron Kinetic Energy (eV)
lbO
600
, , , , j , - , , , - i , , , i l , , , , l . . . . , , , , T - , 1 , . ~ -
Nb Foil
100
Eo=3 keY
50
o -50
-100 -150
(b) ~ , , , ,
0
! , , , ,
I , , , ,
!,,
i,
!
....
, 1 1 , , ,
1O0 200 300 400 500 E l e c t r o n KineLic E n e r g y (eV)
600
FIG. 20. (a) Auger electron spectrum for Nb, showing the electron yield as a function of electron kinetic energy and (b) its differentiated form. Historically, the spectra were differentiated to enhance the Auger signal, which was relatively weak. Reprinted with permission from [69].
1.4.2.3. Ellipsometry Ellipsometry [70-73] is an optical technique that uses polarized light to probe the dielectric properties of a sample. It is based on the m e a s u r e m e n t of the variation of the polarization state of the light after reflection from a plane sur-
ULTRATHIN GATE DIELECTRIC FILMS
31
face. Depending on what is already known about the sample, the technique can probe a range of properties, including layer thickness, morphology, and chemical composition. A distinction should be made between single-wavelength and spectroscopic ellipsometries. The first can measure only two parameters; the latter can analyze complex structures such as multilayers and provide information about interface roughness. Characteristics of ellipsometry are a nondestructive character, high sensitivity, and large measurement range (from fractions of monolayers to micrometers). In semiconductor research and fabrication, it is mainly used to determine properties of layer stacks of thin films and the interfaces between the layers. After reflection from a sample surface, a linearly polarized light beam is generally elliptically polarized. The reflected light undergoes phase changes that are different for electric field components polarized parallel (p) and perpendicular (s) to the plane of incidence. Ellipsometry measures this state of polarization. Different measurement techniques of the polarization after reflection exist. All of them use the same optical components: a source, a polarizer, an analyzer, and a detector. To these basic elements other components like modulators or compensators can be added. If the sample is an ideal bulk, the real and imaginary parts of the complex refractive index may be calculated from the measured ellipsometric parameters (amplitude ratio and phase shift of the p and s components) with a knowledge of the incidence angle. The optical index and thickness of a transparent layer on a known substrate can also be deduced in the same way. This kind of analysis is characteristic of a single-wavelength ellipsometric measurement. The spectroscopic ellipsometry technique can be used for the analysis of more complex samples. The idea is to measure the two ellipsometric parameters in a large range of wavelengths and to assume that the optical indices of the materials are known. With an optical model it is then possible to extract the different physical parameters of the sample. Ellipsometry is not a direct deductive method, except in one simple case: the case of a bulk material. It is generally necessary to build a priori multilayer models to extract physical information after numerical fitting of experimental data.
1.4.2.4. Infrared and Raman Spectroscopies Infrared radiation can be used to qualitatively probe chemical groups in a sample [74]. If a group has a dipole moment, it can absorb infrared light, but only at certain fixed frequencies. Hence, an infrared spectrum of light that traversed the sample or was reflected from its surface will show absorption peaks that are characteristic of the chemical groups in that sample. Silicon is essentially transparent in the spectral region of interest, so that the characteristic highfrequency (>2000 cm -1) stretching vibrations of hydrogen-containing species
32
KRUG AND BAUMVOL
such as Sill, H20, OH, NHx, and CHx can be readily probed. Furthermore, the lower-frequency (<1500 cm -1) modes of important atoms such as O, C, N, and F can also be studied, although this frequency region is particularly challenging because of a combination of silicon phonon absorption and lower sensitivity of infrared detectors in this region. Infrared spectroscopy is found to be useful for the analysis of ultrathin dielectric films, especially in the specular reflectance (reflection absorption infrared spectroscopy, RAIRS) and multiple internal reflectance (MIR) modes. RAIRS provides a nondestructive method of analyzing films on reflective surfaces without requiring any sample preparation. Specular reflectance is a mirror-like reflectance from the surface of the sample. The angle of incidence that is selected for measurement depends upon the thickness of the film that is being analyzed. For ultrathin films in the nanometer range, an 80 ~ angle of incidence would be chosen. Reflectance measurements at this angle of incidence are often referred to as grazing angle measurements. Semiconducting materials lack the almost perfect reflectivity that metals exhibit in the infrared, so alternative methods to RAIRS have been developed for the analysis of such materials. One of these is MIR, also known as attenuated total reflectance (ATR). In this technique, the beam is internally reflected many (typically 50) times within the sample, which is shaped to behave like a prism; because the silicon interfaces are sampled repeatedly, strong absorption bands can be obtained (Fig. 21) [75]. MIR is generally a powerful technique, but it suf-
si (111)H i
I AR/R =I0 "4
"i
t
"
1
'/y r
It4 9
1900
, ,,
t
a 1
I',, '.J
Tf ~ I , / "
i /Jr
' I
v I
.~.~.~,:..
J
,I~ ~ !
1950
2000
2050
2100
2150
2200
FREQUENCY (crn-I) FIG. 21. Example of MIR spectrum: reflectivity change induced by a saturation coverage of hydrogen on the Si(111) surface. The solid line is for a laser-annealed 1 • 1 surface with a coverage of 1 monolayer, and the dashed line is for a thermally annealed 7 • 7 surface with a coverage of 1.25 monolayer. Reprinted with permission from [75], 9 1983, American Physical Society.
ULTRATHIN GATE DIELECTRIC FILMS
33
fers from two disadvantages. First, the sample requires careful construction and preparation, and second, the long path length through the sample precludes measurements in any region of the spectrum where the substrate absorbs, even quite weakly. About the same information as provided by infrared spectroscopy can be obtained from Raman spectroscopy, which makes use of a laser beam as the primary excitation source. In this case, however, it is not a permanent dipole moment that makes a chemical group detectable, but its polarizability. Generally, a group that is IR active is not active in Raman and conversely, so the techniques are complementary.
1.4.2.5. Secondary Ion Mass Spectrometry If a surface is bombarded with high-energy ions, then ions and atoms from the sample will be sputtered from the surface. Direct qualitative and quantitative elemental information is produced by determining the mass of the ionized fragments removed, which is the principle of secondary ion mass spectrometry (SIMS) [76]. Primary beam species useful in SIMS include Cs +, O +, Ar +, and Ga + at energies between 1 and 30 keV. The bombarding primary ion beam produces monatomic and polyatomic particles of sample material and resputtered primary ions, along with electrons and photons. The secondary particles carry negative, positive, and neutral charges, and they have kinetic energies that range from zero to several hundred electron-volts. Only a small proportion of the elements emitted from the surface are ionized. Ion yields vary over many orders of magnitude for the various elements. As the probability of ionization in SIMS is highly variable, it is difficult to quantify SIMS data. This problem is largely overcome in sputtered neutral mass spectrometry (SNMS). The neutral atoms (more than 99% of the sputtered species) are detected by post-ionizing any atoms that are ejected from the surface. This post-ionization can be accomplished by using lasers or electron bombardment of the atoms entering the mass analyzer, usually a quadrupole mass spectrometer. Given continuous erosion of the sample by the ion beam, monitoring the secondary ion count rate of selected elements as a function of time leads to depth profiles (Fig. 22) [77]. To convert the time axis into depth, the SIMS analyst uses a profilometer to measure the sputter crater depth. Depth resolution can be close to 1 nm near the sample surface, depending on fiat bottom craters, but also on atom mixing produced by the primary ion beam. Primary ions are implanted and mixed with sample atoms to depths of 1 to 10 nm. When the sputtering rate is extremely slow, the entire analysis can be performed while consuming less than a tenth of an atomic monolayer. This slow sputtering mode is called static SIMS, in contrast to dynamic SIMS used for depth profiles. Only dynamic SIMS yields quantitative information. Results are usually expressed as volumetric densities (cm -3 atoms).
34
KRUG AND BAUMVOL
FIG. 22. Elemental depth profiles determined by SIMS in a device structure. Reprinted with permis-
sion from [77], 9 1999, American Institute of Physics.
SIMS has the highest sensitivity of all surface analysis techniques. Detection limits for most trace elements are between 1012 and 1016 cm -3 atoms. Oxygen, present as residual gas in vacuum systems, is an example of an element with background-limited sensitivity. Analyte atoms sputtered from mass spectrometer parts back onto the sample by secondary ions constitute another source of background. Mass interferences also cause background limited sensitivity. Because sputtered ion mass is determined in SIMS, all elements can be detected, including hydrogen and, similarly, all of the isotopes of all of the elements. 1.4.2.6. Ion and Atom Scattering and Recoil Spectrometries
These techniques provide qualitative and quantitative, depth-resolved elemental analysis of thin films. They are low-energy ion scattering [LEIS, also known as ion scattering spectrometry (ISS)], medium-energy ion scattering (MEIS), high-energy ion scattering [HEIS, better known as Rutherford backscattering spectrometry (RBS)], and elastic recoil detection (ERD). They involve impinging collimated, nearly monoenergetic ion beams on a sample and detecting backscattered or recoil particles. The energy of primary ions in LEIS is normally 1-10 keV; in MEIS, 20-200 keV; and in HEIS, 200-2000 keV. ERD makes use of primary ion beams of 2000 keV and above. The lateral resolution of the techniques corresponds to the beamspot, usually between 0.5 and 4 mm in diameter. In ion scattering spectrometries, information is extracted from the energy spectrum of ions scattered by atomic nuclei in the target. In ERD, use is made of the fact that high-energy ions impinging on a sample knock out atoms from the nearsurface region; it is the energy spectrum of such recoils that is used to characterize the sample. These analytical techniques can be understood based on four physi-
ULTRATHIN GATE DIELECTRIC FILMS
35
cal quantities: kinematic factor, cross section for ion scattering or elastic recoil, stopping cross section, and straggling constant. Knowledge of the kinematic factor allows qualitative elemental analysis. For ion scattering, it is defined as the ratio between the ion energies after and before collision with a nucleus in the sample. From the conservation of energy and momentum, such kinematic factors can be calculated for ion scattering as well as for elastic recoil. They depend on the mass of primary ions and target atoms and on the geometry of the experiment (scattering or recoil angle). The kinematic factor varies more strongly between light elements, thus allowing isotope-resolved analysis in this case. Once the energy of the primary beam and kinematic factors are known, one determines the composition of a sample from the distribution in energy of the signals observed in an experimental spectrum. Ion beam techniques intrinsically provide depth profiles because the ions lose energy as they traverse matter. This energy loss is quantitatively expressed by the stopping cross section. If the scattering or recoil event occurs at the sample surface or at a given depth the detected particles will have different energies (provided the target element is the same). Depending on sample thickness and composition, signals from two or more elements may appear stacked in a backscattering spectrum. Stopping cross sections vary greatly, depending on ion identity, ion energy, and sample composition. The quantitative character of ion scattering and elastic recoil techniques is due to well-known scattering and recoil cross sections, which indicate the probability of scattering or recoil occurrence. The cross sections depend on the atomic number and mass of primary ions and target nuclei, as well as on the geometry of the experiment. The number of scattered ions or recoils detected with a given energy depends on, in addition to the cross section, the number of primary ions reaching the sample, the solid angle of detection, and the content of the target element in the sample. This content is expressed as an areal density (so one speaks of 2 • 1015 cm -2 oxygen atoms, for example), the product of the volumetric density of the target element in the sample and the thickness corresponding to the interval between two (or more) points in the experimental energy spectrum of the scattered ions or recoils. As ion scattering conveniently offers accurate absolute quantitative elemental information, it is quite often used to study film growth. In this case, the areal density of a given element (say O in SiO2 films) is usually converted to film thickness through the volumetric density of the bulk material. For example, 1 • 1015 cm -2 O corresponds to 0.226 nm of thermal SiO2 and 1 • 1015 cm -2 N corresponds to 0.188 nm of thermal Si3N4. As the volumetric density of an ultrathin film usually varies with thickness and so cannot be exactly equal to the bulk density of its constituting material, one may find growth kinetics and the like directly expressed in the form of areal densities. Such is the case in Figure 3.
36
KRUG AND BAUMVOL A he.~ier than B ~'o
I
E, = ~ r ~ .
ea = x,~E. |
7
.......
/r~. ,
I I I
Mare B
1
I
Surface
/rAE. ,
I I 1,
ML~ A
~ Energy scale E0
, M~
scale
1
I
I
, Depth scale
Surface
FIG. 23. Scale conversion in ion beam analysis; Ki is the kinematic factor for element i. Reprinted with permission from [78], 9 1989, Cambridge University Press.
A schematic spectrum from ion beam analysis is presented in Figure 23 [78], showing the detected particle energy scale also as target mass and target depth distribution scales. Mass and depth resolution in ion beam analysis depend on the masses of projectile and target, projectile energy, experimental geometry, and detector resolution. They degrade with increasing depth at which the collision occurs because of statistical fluctuations in the energy loss of ions traversing matter, which constitutes "straggling" and is quantified by the straggling constant. In the case of ultrathin amorphous dielectric films on single-crystalline silicon, one wants to concentrate on the amorphous region. In such cases, medium- and high-energy ion beam experiments are usually performed in a socalled channeling geometry. The ion beam is aligned along one of the major crystallographic directions in the sample, such that the first atom of each atomic row casts a shadow cone, reducing the contribution of the crystalline substrate to the collected spectrum. If the detection of the backscattered particles is performed at grazing angles (close to 90 ~ relative to the direction of beam incidence), the out-
37
ULTRATHIN GATE DIELECTRIC FILMS
2500
(110) Si single crystal
..-..
2000 1500
--
~ ~ detector (-96")
.~ooo A t-" :3 0 0 "0
11.3 x 10 TMSi/cm 2 .,
5.6 x 10 TMOlcm 2
2.9 x 10 TMClcm 2
1.
500
9
0
.
.
.
.....
.
200
~
"r
250
: ~
"
- 1
- ..... ~
300
-
-
---r----
-
J L 350
5000 <
4000
~..~, 9 ~.i
C
5.7 x 10 TMOlcm 2
"%J~,,,,, ~ . .
3000
~ ,,,,. ~176
2000
1000
--
(110) Sl sing,, c~ta,
--
0 110
,r
~~.~,,'~~
10.8 x 1015 Si/cm 2 ~ , ~
9*
.... %,I~,~,~ "
-
9 . 9
9 9
1MeV He+
"
-
"_
180" detector
~ 150
l 200 Channel number
i 250
FIG. 24. Backscattering yields of 1-MeV He ions for (110) alignment of a Si crystal (having a { 110} planar surface) that was covered by 1.5 nm of oxide. Results from both a grazing angle detector and a 180 ~ detector are shown [79]. Reprinted with permission from [79], 9 1980, Elsevier Science.
going path length of the emergent particles can be much larger than for the path corresponding to the usual detection angle of nearly 180 ~ Such stretching spreads the total number of detected scattering events in a given thickness over a greater energy interval in the collected spectrum. The combined effects of channeling and grazing angle detection are improved detection limit for elements lighter than Si and increased sensitivity to the sample surface. Jackman et al. [79] investigated in detail the application of this technique to the determination of the amounts of O and Si in silicon oxide films, as shown in Figure 24 [79]. RBS [78, 80] is usually performed with H + or He 2+ ions. It can be distinguished from other ion beam analysis techniques by its excellent ability to extract quantitative data. Typical accuracy is between 3% and 5%. This is due to the precise knowledge of the Rutherford scattering cross sections. Because of a lower detection limit (10 -2 to 10 -4 monolayers) and increased sensitivity, RBS is ideally suited for determining the concentration of elements heavier than the
38
KRUG AND BAUMVOL
FIG. 25. RBS spectrum from a film of ZrAlxOy on Si recorded with the use of ion channeling and grazing angle detection. The solid line corresponds to the as-deposited sample; the circles, to the sample after thermal annealing in vacuum; and the triangles, to the sample after thermal annealing in 1802 .
major constituents of the substrate and so has found application in the analysis of alternative dielectrics to SIO2. Its detection limit for light masses is 10 -1 to 10 -2 monolayers. Because primary ions have at least the mass of hydrogen, backscattering from this element is not possible, and so it cannot be detected at all by RBS. Depth resolution in RBS can be less than 2 nm with the use of grazing ion incidence or detection. For samples with thicknesses below depth resolution, no profiling can be done, but RBS can still be used to determine the total amount of a given element. One RBS spectrum collected for a ZrAlxOy film on Si is shown in Figure 25. MEIS [81-83] is usually performed with H + primary ions of sufficiently low energy for an electrostatic energy analyzer to be used before the ion detector, greatly improving energy resolution in comparison with RBS. Not only the energy but also the angular distribution of the scattered ions is usually recorded, allowing crystallographic studies [81, 82, 84]. Isotope-sensitive concentration profiles with depth resolutions of up to 0.3 nm [85] and overall sensitivities of about 1013 cm -2 atoms can be achieved under favorable experimental conditions. This makes MEIS one of the most suitable techniques for the physical characterization of ultrathin dielectric films. Figure 26 shows the nitrogen and oxygen sections of a MEIS spectrum for an ultrathin silicon oxynitride film grown on Si(001) [85]. For each element there is a corresponding peak, with the lighter el-
39
ULTRATHINGATE DIELECTRIC FILMS
oxygen ~
f-o
-o-----o___
" &
't 8
0.0
\
_ 0.5
1.0
1.5
i :
! 2.5 =
2.0
depth, nm
,,
r
9
!
9
I
,
Ir IP
I
nitrogen
i
/
" 9 9
~
,
Proton Energy, keV FIG. 26. Oxygen and nitrogen sections of a MEIS spectrum from an ultrathin silicon oxynitride film
grown on Si(001); simulated profiles are shown in the inset. Reprinted with permission from [85], 9 1996, American Institute of Physics.
ement appearing at lower backscattering energies. The areas under the peaks are proportional to the total amounts of the elements in the probed region of the sample, and the shape of each peak contains information about the depth distribution of the corresponding element. ISS [86] is only sensitive to the first atomic layer of the surface. The technique is ideal for certain types of analysis where unique depth resolution, virtually nondestructive analysis, and general ease of interpretation are important requirements. As in MEIS, an energy analyzer is used before the ion detector, yielding high energy resolution (Fig. 27). A drawback of LEIS is that it suffers from complications due to multiple scattering. Scattering cross section increases slowly with target mass; a factor of 10 covers most atomic species. The cross section for the detection of oxygen or carbon is about a factor of 10 lower than for heavy
40
KRUG AND BAUMVOL
LEIS
0
a
1~
t.-
300
350
400
450
Energy (eV) FIG. 27. ISS (LEIS) spectra taken after oxidation of Si (b) at 1080 K for 100 min under ~10 -3 Torr of 1802 and (a) sequential annealing at 1120 K for 120 min under ~10 -1 Torr of 1602. The probing ion beam was He+ at 1.0 keV. Reprinted with permission from [82], 9 1995, American Physical Society.
atoms. The detection limit in ISS is about 10 - 4 to 10 -3 atoms per monolayer, and is better for heavy atoms on a light substrate. In ERD [87] one determines the yield and energy not of scattered primary ions (as in RBS, MEIS, and ISS), but of particles ejected from the surface region of samples because of collision with high-energy, relatively heavy primary ions (C n+, O n+, Si n+, or others). A consequence of the conservation laws is that the energy of a target atom after collision with a projectile contains the same kind of information as that of the scattered particle. ERD is unique in allowing hydrogen detection and depth profiling. Depth resolution is about 10 nm, so the same situation as observed for RBS appears in ultrathin film analysismif the film thickness is less than the depth resolution of the technique, the content of a given element in the sample can still be determined. Figure 28 [88] shows a sample ERD spectrum. 1.4.2.7. Nuclear Reaction Techniques
Charged particles (mainly protons and deuterons) accelerated in the 0.1-2 MeV range can induce nuclear reactions on light nuclides, with potential use in the determination of overall near-surface nuclide amounts. This is the basis of nuclear reaction analysis (NRA). An ion beam is directed to a sample to induce a nuclear reaction, and reaction products are collected with a suitable detector (semiconductor surface barrier detectors for particles, scintillator detectors for gamma rays). The number of reaction products collected for a given ion fluence is
41
ULTRATHIN GATE DIELECTRICFILMS
Si3N4(H) Si3N4(D) c-Si
H nitride/Si inte "face
H
D
D
surface nitride/Si interface
surface 0
as deposited
-u
o o g 3 rnin lO00~
E FIG. 28. ERD spectrum (recoil yield vs. recoil energy) of a LPCVD Si3N4 layer structure. The top
layer (60 nm) was deposited with the use of NH 3, and the bottom layer (95 nm), with the use of ND3 (D stands for 2H). Top spectrum: as-deposited. Bottom: after annealing for 3 min at 1000~ From the raw spectra, interdiffusion of H and D across the original H/D interface is clearly observed. Reprinted with permission from [88], 9 1992, Elsevier Science.
converted to nuclide c o n c e n t r a t i o n with the use of standard samples or tabulated cross sections. S o m e particularly useful reactions are 160(d,p)170, 180(p,ot)lSN, 14N(d,oto)13C, and 15N(p,ct~/)laC. (These are shorthand notations for reactions like 160 + d ~ 170 + p; p indicates p r o t o n and d deuteron.) As the projectiles lose e n e r g y traversing matter and reaction probability is a function of ion
42
KRUGANDBAUMVOL
energy, analysis is preferentially done in the plateau regions of the cross section curves, respectively around 810, 1000, 1450, and 760 keV [89]. The fact that NRA is nuclide-specific has been of great advantage for isotopic tracing experiments in ultrathin dielectric films [65]. Depending on the reaction used, the sensitivity achieved is better than 1014 cm -2, with about 3% accuracy. NRA can yield nuclide depth profiles if used in combination with chemical etchback. In this technique, a sample is analyzed for the amount of a given nuclide, then a surface layer is chemically etched away and the sample is analyzed again, and so on. A plot of the amount of a nuclide in a film as a function of the total number of atoms in the film (film thickness) yields a depth profile through differentiation. The etch rate of SiO2 films in HF solutions is well known. Etchback combined with NRA can yield depth profiles in the nanometer depth resolution range. Chemical etch of oxynitride films is less homogeneous, resulting in degraded depth resolution. Some of the materials proposed as alternative to SiO2 can be etched by HF, such as A1203, but the process is much less understood. When the cross section curve for a nuclear reaction as a function of projectile energy presents a narrow resonance, the measured yield curve of emitted reaction products (charged particles or gamma rays) as a function of ion beam energy in the vicinity of the resonance energy may give valuable information on the concentration profile of the probed nuclide. This constitutes narrow nuclear resonance profiling (NNRP). Interpretation of experimental data with the use of the stochastic theory of energy loss for ions in matter can lead to depth resolutions of up to 1 nm, with sensitivities of about 1013 cm -2 atoms [89, 90]. Useful reactions are 180(p,c015N at 151 keV, 15N(p,oty)12C at 429 keV, 29Si(p,y)3~ at 417 keV, 27Al(p,y)28Si at 405 keV, and 1H(15N,ot),)lZc at 6.40 MeV. The principle of narrow nuclear resonance profiling is illustrated in Figure 29. If a sample is bombarded with projectiles at the resonance energy, the reaction product yield is proportional to the probed nuclide concentration on the surface of the sample. If the beam energy is raised, the yield from the surface tends to vanish as the resonance energy is reached only after the beam has lost part of its energy by inelastic interactions with the sample. Then the reaction yield is proportional to the nuclide concentration at a given depth, roughly the excess energy of the incident beam divided by the average ion energy loss per unit length. The higher the energy of the beam above the resonance energy, the deeper the probed region in the sample. At the heart of NNRP, high depth resolution is a consequence of the narrow resonance acting as an extremely high-resolution energy filter. A key aspect in NNRP is that the reaction product yield versus beam energy curve (called the excitation curve) is not the probed nuclide concentration profile itself, but is related to it by the integral transform [90],
N(-Eo) --
f0 ~
C(x)qo(x; -Eo ) dx
(2)
ULTRATHIN GATE DIELECTRIC FILMS
E=E.
p ~1d ~1 ,, ,, ,,
C/,, ~ ...~r
~ ~ ~ ~1
43
II ~
E=E R .
.
E>ER .
.
.
r Y, ...k "" FIG. 29. Principle of narrow nuclear resonance depth profiling, p and d represent protons or deuterons in an incoming ion beam, and et and V represent detectable nuclear reaction products. E is the ion beam energy, and ER is the energy of the resonance.
in which N (E 0) is the number of detected reaction products as a function of beam energy (the experimental excitation curve), C (x) is the nuclide concentration profile, and q0(x; E0) dx is the energy loss spectrum at depth x (the probability that an incoming particle produces a detected event in the vicinity dx of x for unit concentration). Through the last factor, the width of which sets the depth resolution of the method, the excitation curve depends on the resonance shape and width, on the ion beam energy spread (an instrumental function), and on the incoming ion slowing down process. This is illustrated for an arbitrary profile in Figure 30 [89]. In the case of light projectiles, straggling (i.e., additional energy spread due to the slowing down process) dominates the interpretation of the excitation curve. Real excitation curves and the corresponding depth profiles are presented in Figure 31 [91]. The stochastic theory of energy loss as implemented in the Spaces program [92] has been used to calculate and accurately interpret experimental data. Nuclide concentration profiles are assigned on an iterative basis, by successive calculation of a theoretical excitation curve for a guessed profile followed by comparison with experimental data. The process is repeated with different guessed profiles until satisfactory agreement is achieved. Resonance widths are often between 50 and 150 eV for proton energies from 300 to 1200 keV, with comparable instrumental beam energy spreads. This leads to near-surface depth resolutions of a few nanometers, which may be improved with the use of grazing incidence geometry [89]. Under such modified conditions, increased trajectory of incoming and outgoing (when applicable) particles
44
KRUG AND BAUMVOL
lsohbd
~dhtion Curve
Concentration Curve
Resonance
lc
N,E.,
t'o_cR -_~:o.~ d~
I/
ER
N (~)
ccz)
-
E
z,
~
~'
z
.
.
.
.
.
.
.
ER
b
) q,(~: j [, ) d=
...,
-
E.o
c
FIG. 30. Relation among (a) resonance peak, (b) nuclide concentration profile and energy loss spectrum, and (c) excitation curve. Reprinted with permission from [90], 9 1982, Elsevier Science.
1200 9
2~
..............
9
800
o
400
0
0.0
2.0
N -
4.0
6.0
Na [keV]
FIG. 31. Experimental (points) and simulated (lines) excitation curves for the nuclear reaction 180(p,c~)15N around the resonance energy of 151 keV for an Si1602 film annealed in 15N180 for different times. The inset shows the 180 profiles used in the simulations. Reprinted with permission from [91], 9 1998, American Institute of Physics.
results in improved depth resolution, which may go down to 1 nm. The ultimate resolution is limited by energy straggling and angular multiple scattering processes.
ULTRATHIN GATE DIELECTRIC FILMS
45
1.5. Hydrogen and Ultrathin Gate Dielectric Films Hydrogenous species play a key role in the performance of MIS devices. This section addresses aspects relative to hydrogenous species in gate dielectric materials and at the insulator-semiconductor interface. Specifically, the identity and location of such species in the SiO2-Si system is addressed, and the so-called giant isotope effect [93] is presented and discussed. Issues concerning hydrogen have been much less explored in other dielectric materials. Hydrogen is ubiquitous in thin and ultrathin silicon oxide films on silicon. It is present at significant concentrations even in thermal oxides grown in dry, nominally pure oxygen. It is also present in other dielectrics, especially when deposited by CVD and its variants from hydrogenated precursors. The relatively high background level of hydrogen-containing molecules like H2, H20, Si2H2, NH3, HF, and others in semiconductor processing ambients allied to high mobility and significant reactivity also accounts for a significant amount of hydrogen in devices. Whereas other impurities (e.g., alkali or other metals) have largely been eliminated in modem semiconductor fabrication, hydrogen remains. Hydrogen has both beneficial and detrimental effects in semiconductor devices. As for the former, electrical characterization of the MOS structure and electron paramagnetic resonance (EPR) [94] have shown that hydrogen acts as a passivant for SiO2-Si interfaces. It ties to silicon dangling bonds, passivating the charge traps existing therein [95]: Si- + H ~ Si-H
(3)
(Such paramagnetic defects as dangling bonds are usually referred to as Pb centers in the literature.) Figure 32 [96] shows that interface charge trap level in dry SiO2 on Si treated with a limitless supply of passivant depends basically on the annealing temperature. Higher temperature causes a greater reduction in Qit, and a sample previously annealed at a higher temperature depassivates at a lower temperature, tending toward the Qit corresponding to the lower temperature. It should be mentioned that Qit from electrical measurements comprises more types of defects than the well-defined Pb center, ideally detected by EPR. Concerning deleterious effects of hydrogen in devices, its involvement in hot-electron-induced degradation and dielectric breakdown of SiO2 films was suggested by a number of experiments, notably the observation of substrate dopant passivation and hydrogen redistribution during hot electron stress and enhanced degradation rate of hydrogen-soaked films. This involves a depassivation reaction, Si-H
> Si- + H
(4)
It was found that the steady-state balance between passivation and depassivation determines the final Pb density [97, 98]. Figure 33 [97] presents illustrative EPR
46
KRUG AND BAUMVOL I
0.5
.,...
I
I
if'?'\
[l'.. ~
~\_\\ t" I ". I' l "
0.3
I
.... 0 .... H2 "",,.,
0.4
I
(~,.
--O--
""0. "'-. .....
,,
480~
"'~ ........
" ' " 0 . .....
....
-
H
"-o
, '.o... ..... o .
0.2
0.1 o
~ ",,, ~"'""'o'-----~""_"Z':~ ~ .. 700~ "" .... O- . . . . . . .
,
0
9---
1
"9
2 tann (h)
3
4
FIG. 32. Passivation of interface traps by atomic H or molecular H2 as a function of annealing time with annealing temperature as a parameter. Reprinted with permission from [96], 9 1988, The Electrochemical Society, Inc.
results. The reaction balance is strongly dependent on temperature, as already shown in Figure 32. The passivation of silicon dangling bonds by hydrogen gave origin to a deliberate operation used in various processing steps in the semiconductor industry. It consists of an annealing in forming gas (10 vol.% H2 in N2 or Ar) aimed at passivating electrical activity at the SiO2-Si interface. It is only after this step that a device-grade interface with a density of electronic states in the low 101~ cm -2 eV -1 is achieved, because Pb centers amount to about 1012 cm -2. Further processing and MOS device operation can break the S i - H bonds, releasing hydrogen and depassivating the interface, with consequent degradation of the electrical characteristics of a device [94, 99]. Hydrogen release during device processing is related to the stability of S i - H bonds upon thermal annealing in different ambients and is one of the reasons for reducing the thermal budget during device processing. Hydrogen release during device operation is promoted by bombardment of the interface with electrons at a few electron-volts deviated from the channel (the so-called hot electrons in light of the correspondence between energy and temperature given by the Boltzmann constant) [97, 100, 101]. It was recently found that replacing hydrogen [(~H)2]
47
ULTRATHIN GATE DIELECTRIC FILMS -
I
I
I
'
l
i
4.0
'
1
(a)
? E 3.0 r~ t~ t-" t-~
o,..
t'Xl v-
o _
2.0
,t"
(c)
o.,.
09
tr
D. I.U
1.0
0
....... J 2.004
,
I .., I 2.002 2.000 EPR g-value
a I 1.998
FIG. 33. Passivation and depassivation of interface traps by atomic hydrogen at 300 K. (a) Pb in asoxidized wafer; (b) after exposure to H; (c) as-oxidized wafer, dessicated in vacuum; (d) dessicated wafer exposed to H. The EPR g-value is inversely proportional to the magnetic field. Reprinted with permission from [97], 9 1993, American Institute of Physics.
with deuterium [(2H)2 or D2 for short] during the final wafer sintering process in forming gas at 450~ greatly reduces hot electron degradation effects in MOS transistors [93, 102-105]. Given increases in hot electron device lifetime by factors of 10-50 [102], this was called a "giant isotope effect." The isotope effect strongly correlates with the amount of D (more precisely, with the ratio of D to H) at the SiO2-Si interface [102]. There is no clear evidence that D substitution has any significant impact on device reliability with respect to oxide breakdown (which is different from the reliability with respect to hot electron degradation). The improved hot electron immunity is believed to be related to the H (D) depassivation from the interface caused by multiple excitations of the S i - H ( D )
48
KRUG AND BAUMVOL
vibrational modes under electrical stress. The depassivation/desorption yield is governed by two competing processes: (i) the excitation rate, which in turn depends on current density and electron transferred energy, and (ii) the rate at which the energy can dissipate by coupling to Si lattice phonons. Assuming that the lifetime is controlled by the S i - H ( S i - D ) bending modes, and taking into account the vibrational frequencies of the bending modes (650 cm -1 for S i - H and 460 cm -1 for S i - D ) , one notices that the frequency for the S i - D bending mode is close to the frequency of the Si bulk TO phonon state (463 cm -1). Therefore, the S i - D bending mode is expected to be coupled to the Si bulk phonon, resulting in an efficient channel for deexcitation. This enables more efficient energy dissipation of the excited S i - D bond. The result was obtained from first-principles calculations [106], which showed no effect of isotopic substitution on thermal desorption. This indicates that D is as susceptible as H with regard to loss due to the processing thermal budget. Such a result should be due to the simultaneous excitation of Si--H(D) bonds and the crystalline silicon substrate. In addition to pure loss, exchange of H for D in successive processing steps is a major concern. Deuterated MOS devices can be produced in several ways. Annealings in De after the skeleton MOS has been built are the most common and economical [93, 103, 105]. Another approach is to use deuterated precursors when depositing an interlayer dielectric on top of the MOS structure [ 104]. Wet oxide growth with the use of D20 has also been performed [ 107]. As the giant isotope effect is actually due to the crystalline silicon substrate, it can be expected to hold for insulating films other than SiO2. Although it is the most important mode from the point of view of electrical performance, it has been shown that S i - H is not the only bonding mode of H in the MOS system. De was used [108] to mimic the behavior of Ha interacting with dry thermal SiOa on Si(001), and two distinguished configurations were identified: one, hypothesized to involve S i - D bonding, produced D uptake at 300~ and above followed by release at 600~ the other, identified as O - D , formed readily at 100~ and dissociated at about 800~ Evidence indicated that incorporation is due to interactions with preexisting defect sites rather than chemical reactions involving breaking of S i - O bonds. D was so seen to incorporate mainly at the film surface and interface regions. Detailed studies indicate that only a small portion of the incorporated H (D) is used to passivate the interface dangling bonds. Most of the H (D) atoms are found to be bonded to Si, O, or H (D) (so remaining in molecular form) close to (but not exactly at) the SiO2-Si interface. This will later (Section 1.6) be shown as a transition region characterized by the presence of substoichiometric oxide. The distribution of H in ultrathin SiOa films on Si prepared by different methods is well illustrated in Figures 34 [109] and 35 [110] as determined from SIMS and etchback NRA, respectively. Figure 36 [ 111 ], independently drawn from NNRP data, summarizes the results. It is worth noting
49
ULTRATHIN GATE DIELECTRIC FILMS , _ .~ 10xlOt~
E
D20/N21atm900C (PD O =20T~
:~
5x10
I
-
~
1~-
f
Si
--o--
~
TM
4.6n'"m
"--v.-- 10.9nm
~
z
o ,,..,
.m.
g
SiO2
~ij~
--o--18.4nm
u~
- -
B.G.
o Q
0
(T" . . . . . . . . . . .
-
-2s
i
i
-20
-15
,
t
,,
I
-10
,
, i,
I
!
i,
n
"
10
15
-5
,_
20
Distance from Si021Si Interface Into]
FIG. 34. Deuterium profiles determined by SIMS in thermally grown wet (D20) silicon oxide films
of various thicknesses. Reprinted with permission from [109].
I01SQ
0
l013
1
....
0
T
~
2 3 4 D e p t h (nm)
-
"'
5
~+o
6
~'s
e t c h i n g time (s)
0
1013
--"
0
1012,
25
(b)
g
E
20
0
2 -|
5
3 ,
10
4
15
etching
5
9 time
6
. , ..... , ........ 20 25 30 (s)
FIG. 35. Deuterium profile determined by etch-back NRA in thermally grown dry silicon oxide (a) af-
ter annealing in deuterated forming gas (a mixture of D 2 and N2) for 45 min at 450~ and (b) after additional annealing in a vacuum for 30 rain at 450~ Reprinted with permission from [110], 9 1998, American Institute of Physics.
$0
KRUG AND BAUMVOL
FIG. 36. Hydrogen concentration in the different characteristic regions of the SiO2-Si structure. Reprinted with permission from [ 111 ], 9 1996, The Electrochemical Society, Inc.
that from such physical characterization data, ultrathin (<6 nm thick) SiO2 films do not qualify as "bulk oxide." Hydrogen-related issues have been studied to a far lesser extent in systems other than SiO2-Si. Information on silicon oxynitride films deposited on silicon by CVD is available [112, 113]. Although the data presented were originally obtained for films above the ultrathin limit, marked features are expected to hold. Hydrogen incorporated into deposited or grown silicon oxynitride films plays a role in their physical, chemical, and electrical stability. In both wet oxidation and nitridation of the S i - O - N system, hydrogen stabilizes intermediate reaction products, allowing multistep reactions to proceed. Interruption of the process resuits in incorporation of hydrogenated intermediates in the whole film thickness. The presence of hydrogen in these materials is usually associated with deviations from the "ideal" amorphous network structure, and a relation between defects and hydrogen is often emphasized. Most importantly, the presence of hydrogen in these materials is a major cause of their instability, because hydrogen can migrate into and out of the films at relatively low temperatures, which may result in detrimental macroscopic and microscopic defects. During CVD of silicon oxynitride, hydrogen is incorporated into both N - H and Si--H configurations to concentrations of up to 10 at.%. The amount of incorporated hydrogen depends on both deposition temperature and the oxygento-nitrogen ratio in the films. Generally speaking, the higher the deposition temperature, the lower the hydrogen amount. Hydrogen desorption and overall reactivity of the films increase for O/N > 0.5. Samples containing both Si--H and N - H configurations in significant amounts lost hydrogen upon thermal annealings in vacuum or inert gas ambients above the deposition temperature. This was ascribed to a cross-linking effect, S i - H + N - H ~ S i - N + H2
(5)
51
ULTRATHIN GATE DIELECTRIC FILMS
..
r Q)
lOO
E
4) > O =._. t3.
E
.=.
=~
E
lO
_
Analytical Model
_J
1 =e~
01o
J
0,2
J
0.4
t
,,
0.6
I
0.8
,
1.0
Deuterium Fraction
Device lifetime improvementwith regard to hot electron degradation as a function of deuterium fraction at the SiO2-Si interface from experimental SIMS data and according to a simple analytical model. Reprinted with permission from [114], 9 1999, IEEE. FIG. 37.
and S i - H + S i - H ~ S i - S i + H2
(6)
with blistering and/or cracking of the films. The process is reversible; i.e., hydrogen uptake occurs if a sample is exposed to a hydrogen-rich ambient at elevated temperature. The general picture emerging from considerations of hydrogen-related issues in ultrathin dielectrics is as follows. Most of the studies performed so far focus on the SiO2-Si system. In this case hydrogen is desirable for passivating electrically active defects at the interface. The release of such hydrogen is of major concern with regard to device stability. Although most of the hydrogen in the SiO2-Si system is not exactly at the interface, there it plays by far its most important role. Significant improvement of hot electron device lifetime has been foreseen upon substitution of deuterium for hydrogen at the SiO2-Si interface (Fig. 37) [114]. Such an effect, however, is not expected to overcome the fundamental roadblocks for oxide scaling (Section 1.6). Hydrogen in CVD silicon oxynitride is reminiscent of the chemistry of film deposition. Further reactions involving hydrogen can lead to severe mechanical damage. Analysis of both the SiO2-Si and SiOxNy-Si systems showed significant but distinct roles for hydrogen. Silicon dangling bonds at the interface are most probably passivated when other insulating films are used, as annealings in forming gas are routinely seen to improve their electrical quality. However, no general rule can be offered for deeper considerations.
52
KRUG AND BAUMVOL
1.6. Silicon Oxide Gate Dielectric Films The whole of planar electronics processing and the modem IC industry have been made possible by the unique properties of silicon dioxide: the only oxide of a single semiconductor that is stable in water and at elevated temperatures, is an excellent electrical insulator, is a mask to common diffusing species, and is capable of forming a nearly perfect electrical interface with its substrate. This section presents characteristics of ultrathin SiO2 films as gate dielectrics from electrical and physicochemical viewpoints. The ultrathin regime is generally assumed to be where the oxide thickness is less than around 4 nm, but is actually defined by a significant change in behavior as compared with thicker films and so varies from about 7 to 3 nm, depending on the characteristic under consideration. 1.6.1. ELECTRICAL CHARACTERISTICS Thinning the gate SiO2 plays an important role in the scaling scheme of MOSFETs [115]. It can suppress short-channel effects by controlling the channel potential through the thinner gate oxide. It can also provide higher drain current because of the larger number of carriers in the channel due to the larger capacitance of the gate SiO2 film. High drain current drive under low power consumption depends on drain voltage reduction. Without thinning of the gate dielectrics, improvement of the drain current drive cannot be expected. Now, further thinning of the gate SiO2 is close to its limit and becomes the most critical issue for the development of next-generation CMOS. In this section, electrical limitations to further thinning of SiO2 are presented and discussed, namely directtunneling leakage current, dielectric breakdown, poly-Si gate electrode depletion and inversion layer quantization, and boron penetration.
1.6.1.1. Direct-Tunneling Leakage Current It is well known that as the gate oxide thickness is scaled down to 3 nm and below, the current density at working bias conditions becomes substantial and increases exponentially with decreasing thickness. In Figure 38 the current density is shown as a function of applied gate bias for a series of devices with oxide thicknesses ranging from 3.5 to 1.4 nm. At a gate bias of 1.5 V the current density increases by 11 orders of magnitude for a thickness decrease of a factor of 2.5 [ 12]. The conduction mechanism in this regime is direct quantum mechanical tunneling [ 116, 117]. It has been shown [ 118] that the direct-tunneling gate current does not affect the MOSFET operation down to a gate oxide thickness of 1.5 nm for MOSFETs with gates less than 1 /zm long. This is due to the fact that the tunneling gate current is, in fact, negligibly small compared with the large drain current provided by short-channel MOSFETs. Thanks to the large capacitance value of the
53
ULTRATHIN GATE DIELECTRIC FILMS l
14~
o,t c)
< v
9, . . ,
.,..,
10-3
k~ C)
zo
/~
-
23
~
-
z8/~
-
35
10 -6
-
A
(:I30
10 - 9 r I
.
I
1
1
Gate
L,.
J
_
1
2
Voltage
.,
I
J
:3
(V)
FIG. 38. Current density versus gate voltage for a series of MOS-C with gate dielectric films ranging from 1.4 to 3.5 nm. The SiO2 films were grown at either 700~ or 750~ in dry 02. Reprinted with permission from [12], 9 1996, The Electrochemical Society, Inc.
direct-tunneling oxide, extremely high performance (i.e., high drain current and fast switching) has been reported [ 119]. Even though the gate leakage current for individual transistors may not be a problem for short-channel MOSFETs, the total gate current for an entire chip will become a big problem for low-power applications [118, 120]. If one assumes that the total active gate area per chip is on the order of 0.1 cm 2 for future generation technologies, the maximum tolerable tunneling current will be about 1-10 Acm -2 [121]. This figure is to be taken at a gate bias of 1 V. The minimum SiO2 film thickness needed to meet this criterion as the gate dielectric will be around 2 nm, as shown in Figure 39. To maintain device performance, it is projected that the oxide field during normal operation will stay around 5 MV cm-] and that the minimum supply (drain) voltage will be around 1 V. Partial use of direct-tunneling gate oxide MOSFETs in a chip is one solution. In situ cleaning of HF gas followed by UV C1 before the RTO is reported to be very effective in keeping the uniformity of the SiO2 film and thus in suppressing the gate leakage current. In that case, 1.4 nm is the limit of thinning [ 120]. It is also reported that an epitaxially grown Si layer reduces tunneling leakage, presumably because of the improvement of the quality of the Si surface layer [ 122]. The direct-tunneling gate leakage component at high gate bias and low drain voltage is significant in the MOSFET characteristics when the gate oxide film
54
KRUG AND BAUMVOL
1E+6 1 E+5 1E+4 1E+3 V .~ 1E+2 9N 1E+1 ~ 1E+O
"-9 Data - - Model
~
~ ~
E I...,
~
tox(nm) 1.0
1.5_..
1E-1
11
1E-2 1E-3
1
2.
1E-4
(,5 1E-5 (9
1 -6 1 -7 1 E-8
3,6
0
1
2
3
G a t e Voltage (V) FIG. 39. Gate leakage current as a function of gate voltage for a series of oxide thicknesses. One can see that somewhere between 1.5 and 2.0 nm the gate leakage current density is higher than 1 A cm - 2 at a gate voltage of 1 V, which may be too high for many applications. Reprinted with permission from [6], 9 1999, IEEE.
thickness becomes 1.3 nm, even with an ultra-small gate length of 40 nm [ 119]. Because of drain-to-gate, source-to-gate, and channel-to-gate tunneling paths, the drain current reduces with thinning of the gate oxide beyond 1.3 nm [ 123]. Thus, 1.3 nm would be the limit of the gate SiO2 thinning in terms of d.c. characteristics of MOSFETs. Even if direct-tunneling gate leakage could be suppressed by introducing high-k dielectrics (Section 1.8), the increase in performance is expected to reach its limit at a gate insulator film thickness somewhere around the equivalent to 1-0.5 nm of SiO2. This is in terms of capacitance, because of the channel (which is an inversion layer at the silicon surface) and gate electrode capacitances connected in series with that of the gate dielectric [ 115]. 1.6.1.2. Breakdown Characteristics
Reliability as expressed by the time to dielectric breakdown is one of the biggest concerns together with the total gate leakage in a chip [124]. It has been found that the minimum reliable gate oxide film thickness is about 2.6 nm under a supply (drain) voltage of 1.0 V [63]. This can possibly be improved by improving the SiO2 thickness uniformity, bringing the limit to 1.6 nm. On the other hand, a model has been presented that predicts almost infinite time to dielectric break-
ULTRATHIN GATE DIELECTRIC FILMS
55
down under low supply voltage, which is the case in ULSI [ 125]. Thus, reliability is still controversial for ultrathin SiO2. Different issues are involved, such as the nature of dielectric breakdown and the effects of stressing temperature and polarity, oxide film thickness, hot-carrier degradation, and device geometry. The discussion includes whether widely accepted methods used for thin oxide characterization are meaningful in the ultrathin film regime. In ultrathin oxides tested at low voltages, a phenomenon called soft breakdown occurs [ 126]. Unlike conventional or hard breakdown, which results in large changes in the resistivity of the oxide layer, soft breakdown results in very small changes in resistivity and large changes in the level of current or voltage noise. Soft breakdown can be reliably detected with the use of current or voltage noise. The occurrence of soft breakdown is characteristic of SiO2 films thinner than 6 nm. Its occurrence complicates oxide reliability evaluation. Figure 40 shows typical VG-t curves generated during charge-to-breakdown measurements using constant current stress, which is a well-known and widely accepted method for evaluating oxide reliability for various gate oxide thicknesses. Whereas the postbreakdown voltage after hard breakdown is around 1 V or less, the voltage after soft breakdown can be more than 1 V in magnitude and represents a characteristic "noisy" behavior. Such behavior could be ascribed to on/off switching events of one or more local conduction spots [ 127]. Trends in operating voltage, oxide thickness, and device area indicate that soft breakdown will occur in future device operation. Devices that undergo soft breakdown, for the most part, will continue to function. At some point in time after the initial soft breakdown, the leakage may become too high for the device to operate well. The oxide breakdown characteristics show strong thickness dependence, as evidenced by Figure 40. For the thickest oxide, only hard breakdown is observed, whereas both soft and hard breakdown events are induced in oxide films with intermediate thickness. For ultrathin oxide, soft breakdown dominates breakdown events. For sub-2-nm oxide films, the voltage drop after soft breakdown is very small because of the extremely large direct-tunneling current, and oxide breakdown becomes very difficult to detect from VG-t curves. Stressing temperature has a significant effect on ultrathin oxide QBD or, more specifically, on the difference between thin and ultrathin oxide films with regard to QBD [53, 128]. This is shown in Figure 41. At room temperature, it is found that the QBD under constant current density stressing of an oxide film of thickness 2.6 nm is about three orders of magnitude higher than that of thicker oxides. Such behavior indicates that ultrathin oxides show higher tolerance to direct-tunneling currents because negligible energy is deposited in the oxide layer. However, when the temperature is raised, QBD of a 2.6-nm-thick oxide is only about one order of magnitude higher than that of thicker films. This implies a significant temperature acceleration effect for ultrathin oxide breakdown under direct-tunneling stress. It is not clear [10] whether the temperature acceleration of QBD is dominated by
56
KRUG AND BAUMVOL f//
-12
. . . . .
, ~ Tox=8.6nm (hard-breakdown)
-11
jg=. 0.2A/cmz
IJW_--20*20ttm 2
-10
,t,,,t
~ Tox o =4'2nm {hard-breakdown)
o
X=4.2nm(soft-breakdown)
-7 -6
'~
-5
r
-4
,~Tox=3nm
,Tox=2.Snm
-2 I 0
(soft-breakdown)
0
"--.
.
.
100
.
200
//
'
'
'
65000 65500 66000 66500 67000
Time (see) FIG. 40. Typical VG-t curves for constant-current stressing measurements. The oxide thickness ranges from 8.6 to 2.5 nm. Soft breakdown occurs when it is scaled to 4.2 nm and dominates exclusively when it is below 3 nm. Reprinted with permission from [53], 9 1993, Elsevier Science.
NBD o r egen (Section 1.4.1.2). This may be another reason for preferring low thermal budget processing of devices with ultrathin gate oxides. Figure 41 incidentally shows that QBD in the ultrathin film regime increases with decreasing oxide thickness. In this respect, Figure 42 [ 124] shows QBD versus gate bias data with oxide film thickness as a parameter from measurements using constant-voltage stressing; QBD is clearly seen to decrease with decreasing oxide thickness for stressing at any fixed gate voltage. Concerning the apparent contradiction arising from a qualitative comparison of Figures 41 and 42, two aspects should be mentioned. One is that once different stressing conditions are used in the measurements, no direct comparison should be attempted at all. Under a theory of dielectric breakdown that is equally accurate in describing constantcurrent and constant-voltage stressing, one should expect that extrapolation of the data from both graphs to actual operating conditions would result in about the same QBD. The other is that irrespective of the fact that NBD actually decreases with decreasing film thickness, serious reliability concerns appear after the measured QBD of individual test devices is extrapolated to the QBD of a whole chip, as
57
ULTRATHIN GATE DIELECTRIC FILMS 10 s
10 s
"- -, ;
,
!
10 ~ r
103
O
~
o
102
l,d
s6ooo Time
(~c)
6 101 r~ 1 0 ~ J= r,j
....o
~ 104 10-2
_ IJW
=
Solid : 25 OC Open:lSO ~
20 X 20 pmz
J = - 0.2 AJ'cmz 10.3
2
3
4
5
6
7
8
9
Oxide Thickness (nm) FIG. 41. 50% QBD as a function of oxide thickness measured at 25~ (solid symbols) and 180~ (open symbols) under gate injection polarity at a constant current of - 0 . 2 A cm -2. The inset shows typical VG-t curves. Reprinted with permission from [53], 9 1999, Elsevier Science.
the Weibull slope/3 (Section 1.4.1.2) definitely decreases with decreasing oxide thickness. The polarity dependence of QBO, which is the QBD difference between gate injection (VG < 0) and substrate injection (VG > 0) stressing, is shown in Figure 43. It has been well documented that the polarity dependence increases with decreasing oxide thickness for oxides thicker than 4 nm. This is ascribed to the different properties of the polysilicon-SiO2 and SiO2-Si interfaces. As shown in Figure 43, as oxide is further scaled down, the polarity dependence becomes even more dramatic. This is mainly due to the rapid rise in QBD under substrate injection polarity as oxide is thinned down. Hot carrier-induced oxide degradation has also received much attention for scaled oxides. Figure 44 shows hot carrier degradation results for 2.5 and 4.2 nm thick oxide films. The hot carrier stress was performed with constant drain voltages of 3 V for the 2.5 nm oxide and 4 V for the 4.2 nm oxide, with appropriate gate bias to ensure maximum substrate current injection. Results confirm that the degradation in threshold voltage is much smaller for the thinner oxide. Thus the ultrathin gate oxide does exhibits higher hot carrier resistance, despite the fact that
58
KRUG AND BAUMVOL 10
6
\~
' r~
<3 nm\
10 ~
104 .-. E
I
'
\ \
i
"
'
'
\ \
kl\ \ 5 nm ~ \ \ ~\ \ \ '~. \~1' i ~
10' O'
0
......
&,t,
I
"
* S.O.mn-FET|
~
5.0 nm p-FET-,I
~' 4' III
3.2 nm n-FET| 2.7nmn-FETJ 2.5nmn-FET 2,2 nm rt-FET ,~
10'"
o
10 ~ "
.,~
10" - room t e m p e r a t u r lO-Z
!
IP"
5xl O%m 2 2
J
~_
.'U. ,.f,t:~ ...
V .~\
t
4
&
~'"""
,
6
8
10
Vo (V) 10 ~2
N,
N~"~
10 ~e
"'-,.. \'~ "~
room temperature
5xlO"cm 2 n-FET
10 '6 ,,--,,,,
o
10 '3
~I0 o
to
"\2
"X.
" ,",.,",."2,:s',,a~ 3.2
\
"
=N I(JxPg)
"..,
10 ~
~'~ 10' 10'
....
10 "~ 10 "~ 0
1
2
3
:::::::"vg (V)
.
4
',,
5
.
6
7
FIG. 42. Top: QBD data and model calculation as a function of gate voltage for devices with ultrathin
gate oxides. Open symbols are p-FETs; filled symbols are n-FETs. Bottom: tBD model calculation. Oxide thicknesses from classical C - V extrapolation. Reprinted with permission from [124], 9 2000, The Electrochemical Society, Inc.
it is biased under a higher electric field stress due to a not proportionally scaled drain voltage. As described above, the evaluation of ultrathin oxide reliability becomes much more complicated as the oxide is scaled down. The choice of stress condition (constant current, constant voltage, or constant field) is very important for com-
59
ULTRATHIN GATE DIELECTRIC FILMS
10s
J = +1 A/era z -o-
10s
..--,
t0
j =-1 AJcm z
4
..~ 103 r o "~ 102
m
101
o v~ 10-I
10 .2
--- 50 X 50 ~m 2
10"3
,
2
,
,
,
I
3
h
.
.
,
I
.
.
.
.
4
l
5
. . . .
1
,
,
,
6
,
1
7
.
.
.
.
I
. . . . .
8
Oxide Thickness (nm) FIG. 43. 50% QBD measured at 25~ as a function of oxide thickness, both under substrate injection (+1 A cm - 2 , solid symbols) and gate injection ( - 1 A cm - 2 , open symbols). Reprinted with
permission from [53], 9 1999, Elsevier Science.
parison of the different oxide thicknesses. In addition, several recent studies have pointed out that oxide breakdown is a strong function of device geometry. As such, the conventional use and its interpretation of QBD for comparing different MOS processes may lead to erroneous conclusions. By the same token, traditional use of large-area samples (i.e., capacitors) for evaluating oxide reliability may also lead to an erroneous conclusion for device-level applications. According to the percolation model for oxide breakdown [62, 63,129, 130], the critical concentration of defects for breakdown decreases rapidly with decreasing oxide thickness. It was experimentally measured that whereas approximately 10 le cm -e defects are produced before a 6-nm SiOe film breaks down, for a 2-3 nm film the value is about five orders of magnitude lower, i.e., oxide fails much faster [63]. A systematic study of the parameters NBD, egen, and/~ (Section 1.4.1.2) led to the projection that for near-future generation devices gate oxides thinner than approximately 2 nm will not pass the reliability lifetime requirement of at least 10 years of chip operation before gate oxide breakdown [63].
60
KRUG AND BAUMVOL
50 45 .5
"~
~-~i
'
'"'
'
' ,,,~'r
w.T
Tox=25'-'A ..... o.~.... T o x = 42 A
~,,,
9 ,
'
,
~ , i,w
.,
'~ '" S t r e s s @ lsub,ma x
40
~K"
35 30 o~
A ~
20
o.
.A 9
.o
.. o~
10 5
A..,..,..A" ,,,,di.'"'-~
101
~
,
A
A" 9..... 9 ........ e . - O ~ ..... e . o . . e . . o e
~--,.~1 Ip qlr
.
10 2
,
, -
, ,,,,I
. . . . . . . . .
10 3
!
10 4
Stress time (see) FIG. 44. Threshold voltage shift after hot carrier stressing at maximum substrate current injection
for devices with 2.5- and 4.2-nm-thick gate oxides. Reprinted with permission from [53], 9 1999, Elsevier Science.
Even for very uniform films, the value of/3 decreases with oxide thickness, reaching the limiting value of unity at approximately 2 nm. Regardless of uncertainties in lifetime extrapolation, it is very clear that the combined effect of the increasing leakage current and decreasing NBD and/3 on oxide breakdown may limit the use of ultrathin SiO2 films in the 1.5-2 nm range. This may happen before the oxide scaling reaches the leakage current limit. The basic relationship for the calculation of charge to breakdown (QBD -NBD/Pgen)has been shown to be a valid description of the breakdown of silicon oxide in the ultrathin regime [63, 130, 131]. However, QBD tests have been criticized as a tool for evaluating ultrathin oxide reliability [132]. The constant voltage stressing (CVS) method, which represents a more realistic situation for practical applications, has been presented as possibly more suitable for evaluating ultrathin oxide reliability [133]. Figure 45 illustrates the time-dependent dielectric breakdown (TDDB) characteristics for various oxide thicknesses; tBD tests under different oxide voltages at high stressing fields are often employed for predicting the oxide lifetime under a normal operating field (e.g., 5 MV cm- 1). As shown in
61
ULTRATHIN GATE D I E L E C T R I C FILMS
109 108
!
'
,~
I
q-1 ~ :
""'~":
t
"~
.
'--|
"~
'
'
'
I
.
i
,
.
...........A ; e a : 2 0 x 2 0 ~ m ;
10 7 r~
~ 105 10 4
i,
03
r
0 ~:~
_
G,)
i
~
02
[-,4
101 10 0
10-1
"
2,
~.
,
,
' 5
, ~,
,
' 10
. . . .
J ~-~:'t 15
.
20
.
.
.
25
Oxide electric field, Eox(MV/cm) Time-dependentdielectric breakdown (TDDB) versus oxide electric field for various oxide thicknesses. (In the absence of charges in the oxide, the electric field is constant and equal to the gate voltage divided by the film thickness.) Reprinted with permissionfrom [53], 9 1996,ElsevierScience.
FIG. 45.
Figure 45, TDDB improves with decreasing oxide thickness. This can be ascribed to less trapped charges as well as reduced interface state generation after electrical stress as the oxide is scaled to the direct-tunneling regime. By extrapolating the data from Figure 45, an electric field of over 9 MV cm-1 at room temperature is projected for a 10-year lifetime. It is important to recall that oxide lifetime is strongly dependent on gate area. Whereas the total gate area on a chip is on the order of 0.1 cm 2 for future generation technologies, the projected lifetime from Figure 45 may be overestimated. Perhaps the clearest expression of the reliability concern for ultrathin gate oxides is Figure 46, which shows the maximum operating voltage for an oxide, so that no more than one device out of 10,000 will fail in a chip (a standard 0.01% percentile) within the 10-year lifetime as a function of oxide film thickness [ 134]. The results are scaled to a chip area of 0.1 cm 2. The solid line with open symbols corresponds to specifications in the Semiconductor Industry Association's International Technology Roadmap for Semiconductors (SIA ITRS) [9], which take into account requirements of power dissipation and circuit speed for successive technology generations. Projections intersecting the SIA roadmap [63, 135] im-
62
KRUG AND BAUMVOL
3.5
!
,,7
3.0 03
// s ~ T
2.0
0 > "-" 1.5
>
0.5 O
s
I
,r
__!
!
Proj. (CV)[63]Proj. (QM)[63] - - Proj. [135] o---.o SIA roadmap e---e
, 0 0
I
_Il/_I "
~ _ - - 9- - . _
1.0
Z
:;1"
.~
2.5
!
=
,
.
Measurement
A - ; - & T l l e o r y I~imit
,
_
1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0
oxide thickness
(nm)
FIG. 46. Maximum device operating voltage as a function of gate oxide thickness from different sources. Reprinted with permission from [ 134], 9 2000, The Electrochemical Society, Inc.
ply that ultrathin oxides cannot be made sufficiently reliable. As shown, however, there are also optimistic projections, based on higher than anticipated Weibull slopes fl and breakdown acceleration factors due to stressing at voltages above operation conditions [ 134]. Thus it is clear that there is no single analysis leading to the limiting gate oxide thickness for application in microelectronic devices, as judged from oxide reliability.
1.6.1.3. PolysiliconDepletionEffects As CMOS device dimensions are scaled down at a different rate compared with the gate bias, the electric field at the silicon surface continues to increase. One of the consequences is that while the silicon substrate is in inversion (when the MOSFET is turned on) the poly-Si gate may begin to be depleted of carriers [ 12, 53]. Such a poly-Si depletion effect is caused by insufficient active dopant concentration near the polysilicon-SiO2 interface. Moreover, high surface fields reveal quantization of the silicon inversion layer. Poly-Si depletion and quantization of the silicon inversion layer are additional complications for the continued scaling of gate SiO2. Their combined effect is shown in Figure 47, where normalized capacitance is shown as a function of gate bias for three different dielectric thicknesses: 2, 3, and 4 nm. For these simulations (based on a 1-D self-consistent solution of Schr6dinger and Poisson equations) the
63
ULTRATHIN GATE DIELECTRIC FILMS 0
.
0 (.,1
0.4
.
.
.
dox = 4.0 nm "'''
_
X
.
...
0.8 0.6
.
'. \
.... .
\' --
3.0 nm
"'~,
~
-
~:~
_
~: I'
"'--
I!
.
2.0 nm -
I: l:
--
|'
_
i' Io
0.2
,
00. ~ , , , , l " ,
-4
-5
....
l~,,,,I,
-2
--
li
"''-, -I
....
NA(Sl) = 2 x1017 cm-3 ND(I~) = 5x1019 cm-S I,,,L,I,~,,,I,,,,,
1 2 Gate Voltage (V)
3
FIG. 47. Normalized capacitance as a function of gate bias calculated for an n-FET with different gate dielectric thicknesses, taking poly-Si depletion and inversion layer quantization into account. Reprinted with permission from [12], 9 1996, The Electrochemical Society, Inc.
poly-Si and substrate doping were 5 x 1019 and 2 x 1017 cm -3, respectively. On the inversion side of the C - V curve, the maximum capacitance drops from a little over 80% of the dielectric capacitance for the 4.0 nm film to less than 70% for the 2.0 nm film. This trend indicates that the drain current gained by using a thinner oxide is partly compensated for by poly-Si depletion and inversion layer quantization. A solution to this problem would be to increase the gate dopant implant dose and/or the thermal budget for the gate dopant activation. This, however, would put at risk the steepness of dopant profiles required by deep submicron technologies (i.e., CMOS devices with a channel length less than 0.2 #m). Alternatives proposed at the research level to reduce or eliminate poly-Si depletion effects include poly-Sil-xGex, TiN, or WNx as gate electrode materials. 1.6.1.4. Boron Penetration
For deep-submicron CMOS technologies, p+-polysilicon (poly-Si heavily doped with boron) gates are indispensable for p-channel devices, as they offer superior
64
KRUG AND BAUMVOL
short-channel behavior. However, boron diffusion from the gate into the thin gate oxide and the underlying channel region causes p-channel devices to display flatband voltage shift, threshold voltage instability, drain current reduction, and gate oxide degradation, to mention a few [53]. Boron penetration becomes more severe with increasing temperature, thus constituting one of the major drives for a lower thermal budget in CMOS processing. The situation is more critical for devices with ultrathin gate oxides, because the thermal budget must be sufficient to achieve adequate gate dopant activation to avoid performance loss caused by gate (poly-Si) depletion. Fluorine and hydrogen enhance boron diffusion and so their levels must be kept as low as possible in the process flow. Alternatives to reducing boron penetration include the use of gate materials such as ot-Si, stacked poly-Si layers, or poly-Sil-xGex. Resistance to boron penetration has also been achieved by nitridation of the gate SiO2 film (Section 1.7). 1.6.2. PHYSICOCHEMICAL CHARACTERISTICS AND THE SILICON OXIDATION PROCESS
This section presents physicochemical characteristics of ultrathin silicon oxide films on silicon and discusses the silicon oxidation process. Discussion is limited to thermal growth in dry 02, as oxide films prepared by such processes present superior quality. Emphasis is placed on understanding the interaction between device characteristics and the electrical and chemical properties of the oxide layer. These are all intimately related to the oxide growth process, although the details of this relationship are not always clear. The discussion on silicon oxidation is divided into oxidation kinetics, oxide composition and structure, and oxidation mechanisms. Oxidation kinetics deals with the quantitative prediction of the thickness of oxide grown at a given temperature and pressure for a given length of time, so it is of primary practical concem. Experimental kinetics data and both compositional and structural information obtained from ultrathin SiO2 films on Si have contributed much to the development of models for the mechanistic description of silicon oxidation. Insight into silicon oxidation mechanisms leads to control of the characteristics of thermally grown oxide, which is the ultimate need for technological applications. 1.6.2.1. Silicon Oxidation Kinetics
In 1965, Deal and Grove published a seminal paper [33] in which they presented results on silicon (dry and wet) oxidation and proposed a model to describe the growth kinetics of oxide films. The model of Deal and Grove considers diffusion of an oxidant species through a silicon oxide film from the surface toward the SiO2-Si interface. The process is described using constant diffusivity and assuming (i) a steady-state regime, where the gradient of the oxidant species is constant
65
ULTRATHIN GATE DIELECTRIC FILMS
0.061
I
I
I
I
I "~
o.oi. -<
I
o,o F. ~" I
I
" r "" "~" "~
001'
0
20
40 60 80 I O0 OXIDATION TIME (hours)
120
FIG. 48. Oxidation kinetics of Si in dry 0 2 at 700~
The solid line is for guidance through the experimental data. The dashed line represents the linear-parabolic growth law of Deal and Grove. Reprinted with permission from [33], 9 1965, American Institute of Physics.
over the oxide film, and (ii) reaction between the oxidant species and Si at a sharp oxide-Si interface. The result is a well-known linear-parabolic growth law [33]. Interestingly, Deal and Grove noted that the initial oxidation regime was anomalously fast, and their model could not be successfully applied to oxides thinner than about 30 nm (Fig. 48). It is precisely this "anomalous regime" that is now used and studied. Description of the growth kinetics in the lower thickness range was addressed by many authors, within the framework of Deal and Grove, by adding new terms to the linear-parabolic expression. Although they fit experimental data and so provided useful analytical expressions capable of reproducing the whole growth thickness interval, the extra terms added to the law of Deal and Grove did not have a well-defined physical meaning, even though their dependence on some processing parameters, like temperature, for instance, could be explored. The solutions were obtained by either assuming a nearly steady state and/or a sharp interface [ 136], similar to the solution of Deal and Grove, or assuming variable diffusivities or reaction rates [ 136-143]. The thermal growth of silicon oxide films on Si has recently been modeled as a dynamical system, assuming only that it is basically a reaction-diffusion phenomenon with constant reaction rate and diffusivity [ 144]. Because the steadystate regime was not imposed, an initial oxide thickness was not required. Moreover, the structure of the interface between the oxide film and silicon was obtained as a result rather than given as an assumption of the model. The formu-
66
KRUG AND BAUMVOL
20
(a)
E r"
v15 t" "~ O 10 c-. , - - .
-~ x
5
[] T=800"C V 9 T=950~ O T-850~ O 9 T.1000~C /N T = 900'C ....... theory
~
O
50
20
150
200
,
rl T=1200"CPc~=0.140 atm 0 T,,1100"Cpa=,-0.140aim /k T-IO00'C p<~,,0.140 aim ~ _ T=1050"Cpc==0.080 aim T=1050"C p~ .,.0.040atrn theory
E
c- 15 c....~ 10 o j::: .
100
t(min)
i
t"-
5
(b)
9 0,'
0
5O
100
t(s)
150
200
FIG. 49. Calculated (line) and experimental (symbols) silicon oxidation kinetics for (a) conventional
furnace processing under 1 atm of 02 and (b) rapid thermal processing. Reprinted with permission from [144], 9 2000, American Physical Society.
lation is based on a system of coupled partial differential equations used to model the time and space dependence of the volume density of Si and of the oxidizing species (assumed to be 02). The volume density of SiOe does not appear explicitly in the equations, but is in direct connection with that of Si. Figure 49 shows
67
ULTRATHIN GATE DIELECTRIC FILMS
1.0 ~
O
4-a
o O
'
Si
1
0.5
0
Z
0.0
10 D e p t h ( a.u. )
20
FIG. 50. Calculated normalized Si and 02 (taken as the oxidant species) profiles in the solid phase at
a given temperature and 02 pressure, for different oxidation times. The solid, dashed, and dot-dashed lines represent, respectively, the profiles at increasing oxidation times. According to the model of Deal and Grove, 02 profiles would be straight lines and Si profiles would be step-like. Reprinted with permission from [ 144], 9 2000, American Physical Society.
the good agreement between growth kinetics calculated from this model and experimental data in the sub-20 nm film thickness regime. Kinetic curves taken at different temperatures, under different 02 partial pressures and for different periods of time, collapsed to a single curve because of the symmetry in the form of the reaction--diffusion model equations together with initial and boundary conditions. Finally, the model yielded a varying gradient for the oxidizing species in the oxide film and a graded interface between SiO2 and Si, as shown in Figure 50, contrasting with the assumptions made by Deal and Grove. Experimental evidence of a graded interface between SiO2 and Si is shown below. It was taken together with the agreement between experimental and calculated kinetics shown in Figure 49 to validate the proposed model. Practical application of the dimensionless single oxidation kinetics curve obtained from the model (in the sense of predicting the thickness of an oxide film grown on Si) requires knowledge of the diffusivity of the oxidant species in the oxide film and of the reaction rate between the oxidant species and Si under given experimental conditions (temperature, 02 pressure, etc.). Both parameters were assumed to be constant during the oxidation process in the original formulation of the model; values extracted from curve fitting (as in Fig. 49) are presented in the original work [144]. Finally, it should be noted that the linear-parabolic law of Deal and Grove was obtained as an asymptotic solution of the reaction-diffusion equations.
68
KRUG AND BAUMVOL
Independently of the physical model or fitting expression used to represent the kinetics of thermal oxidation of Si, one should be aware that in the thickness range 0-6 nm the actual result is strongly dependent on processing conditions, like silicon wafer cleaning, substrate orientation, rapid or conventional thermal processing, water vapor content, and others. This is a major concern for those seeking predictive power. Also of relevance to the modeling of silicon oxidation kinetics is the fact that accurate determination of oxide thickness is difficult in the ultrathin regime. As gate oxide thickness shrinks below 5 nm, "physical" measurements of oxide thickness (as monitored by techniques such as cross-sectional transmission electron microscopy (XTEM), X-ray photoelectron spectroscopy (XPS), ellipsometry) differ from each other (depending on the parameters used; e.g., photoelectron mean free path in XPS and refractive index in ellipsometry) and are slightly different from the "electrical" thickness (as measured by C - V and other electrical tools). The electrical thickness is generally larger because of poly-Si depletion and quantum effects in the silicon substrate. Measured values usually differ by 0.2-0.5 nm, but the difference can be as high as 1 nm.
1.6.2.2. Composition and Structure Essentially all thermally grown (and deposited) oxides in semiconductor processing are amorphous. In special circumstances, notably when the silicon surface is fiat on an atomic scale, it appears possible to grow a crystalline layer, rather than an amorphous layer, though the precise crystalline form is in doubt. Oxide structure, especially in the near interfacial region, appears to be one of the critical parameters that determines device performance. The electrical reliability of the SiO2-Si(001) system, as compared with SiO2-Si(111), is caused by the difference in oxide quality or oxide structure (even when the interface microroughness is of the same level). Si(001) substrates are preferred in manufacturing lines for yielding reduced parasitic charge and density of electronic states at the SiO2-Si interface, a result that has been confirmed for ultrathin oxide films [145]. Hattori [ 146] describes in detail the chemical changes occurring during interface formation between SiO2 and Si(001) or Si(111). It has been shown experimentally that thin thermal oxides are strained in a zone that extends 1.5 to 3 nm away from the dielectric-semiconductor interface and differs from bulk SiO2 [147]. It appears that oxidation carried out at high temperatures leads to an increase in thermal stresses in the underlying semiconductor and to a degradation of its properties. The amount of microdefects propagating from the Si substrate into silicon dioxide during oxidation decreases for thin oxides. Thus, in MOS-C testing devices, thin oxides display a better reliability than thick oxides, and their breakdown field is higher.
69
ULTRATHIN GATE DIELECTRICFILMS I
'
-'I
I
'~
l
l
I
1
I
Si 2P312
1
fi ~,
ox~e
hP = 130 eV
A
tt
,.,.,.
=,..i
......
__ __
l
1
-7
-6
Si(1
!
~
1
t
,
I
I
I
1 1)
.
!
I
-5 -.4 -3 -2 - 1 0 1 Iritial-State Energy (eV relative to bulk Si21:)31~)
2
3
FIG. 51. Si 2p photoelectron spectra for 0.5-nm-thick silicon oxide films on Si(001) and Si(lll).
Reprinted with permission from [148], 9 1988, American Physical Society.
Compositional characterization of ultrathin silicon oxide films indicates that stoichiometric SiO2 is predominant in the "bulk" and surface of films with thicknesses above 2 to 5 nm. For thinner films or near the interface between silicon oxide films of any thickness and silicon, nonstoichiometric (oxygen-deficient) oxides exist, helping to accommodate the transition between materials. Figure 51 [148] shows Si 2p photoelectron spectra for 0.5 nm-thick silicon oxide films thermally grown in dry 02 on reconstructed Si(001) and Si(111) surfaces, indicating intermediate oxidation states for Si. The absolute intensities of the
70
KRUG AND BAUMVOL
FIG. 52. Measured areal densities of Si and O from channeled RBS for oxides grown on Si(001) under different conditions. The straight line fits to the data assume stoichiometric SiO2 and indicate slightly different values of Si excess for different growth parameters. Reprinted with permission from [79], 9 1980, Elsevier Science.
peaks corresponding to intermediate oxidation states decrease with increasing film thickness. The existence of intermediate oxidation states at the oxide surface was ruled out by these studies. Observation of intermediate oxidation states in reconstructed surfaces [obtained by long-lasting thermal annealing of the clean (native oxide free) crystalline silicon substrates in ultrahigh vacuum] sets a lower limit for the thickness of the suboxide region. In a nonreconstructed Si surface, not only do the relative intensifies of the different oxidation states change; the distance from the oxide-silicon interface at which they subsist changes as well. In addition to depending on the quality of the Si substrate, the amount of intermediate oxidation states depends on oxidation conditions. Structural transition layers are formed on both sides of the SiO2-Si interface to relax stress originating from lattice mismatch and different thermal expansion coefficients. They include deviations of the S i - O - S i bond angle from that of bulk SiO2, Si interstitials and precipitates, oxide microcrystals, and others. It has been found that SiO2-Si interface microroughness, which reflects initial silicon surface flatness, is one of the important parameters determining the electrical properties of the SiO2-Si system, such as maximum breakdown field, charge to breakdown, and carrier mobility. A general denomination of "intermediate layer" has been used for the adaptation region. Its thickness was determined by various techniques, many of them model-dependent and relying on simulations. Figure 52 illustrates the results obtained with high-energy ion scattering (or Rutherford backscattering, RBS) under channeling of the ion beam into the crystalline sil-
ULTRATHIN GATE DIELECTRIC FILMS
71
icon substrate. Linear fits to the data indicate (i) SiO2 stoichiometry (from the slope) and (ii) excess of Si at the SiO2-Si interface (from the line intercepts at null oxygen content). Such an excess corresponds to a silicon layer not aligned to the single-crystalline substrate. Its thickness was determined to be between 2 and 4 nm for oxides produced in conventional furnaces [79] and 1 to 2 nm for rapid thermal oxides, depending on the Si wafer cleaning procedure [31]. The thickness of the transition region was also determined by MEIS. Figure 53 indicates a graded interface between SiO2 and Si. The thickness estimated for the compositional transition region from MEIS experiments was 1.2 • 0.4 nm[ 149]. Experiments using grazing-incidence X-ray diffraction from a synchrotron source yielded transition regions with thicknesses of up to 7 nm [ 150]. It is well timed to compare such findings with the picture incidentally provided by Figure 36. The SiO2-Si interface has also received much attention from a theoretical approach [151-157]. In summary, it is generally agreed that there is a transition region (of altered structure and stoichiometry) between crystalline silicon and SiO2. However, the thickness of the region has been reported to vary from 0.5 to 3 nm (or even 7 nm). Although such a large scatter can be attributed to differences in oxidation procedure and oxide thickness, more important factors are that the width of the transition region depends strongly on both the probing technique and the definition of the transition region. Despite extensive work, neither the atomicscale structure nor the composition (or gradient) in the transition region is well understood---currently, there is no universally accepted modell 1.6.2.3. Growth Mechanisms
Oxide films grown in pure oxygen and in steam have different chemical, mechanical, and electrical properties. Silicon oxide grown in steam is actually SiO2 doped with water to some variable degree. For oxidation in "dry" oxygen (i.e., containing up to a few ppm of H20), the stoichiometric chemical reaction producing a silicon oxide film is Si(solid) + O2 --+ SiO2(solid)
(7)
The equation describes the overall reaction between oxygen and silicon; there may be elementary reactions in which intermediate species are produced during the oxidation process. Because the silicon surface is highly reactive, a layer of oxide rapidly forms on exposure to an oxidant gas. The rate of oxide growth is limited by the availability of the reactants, namely, oxidant molecules and S i - S i bonds. However, as the oxide film becomes thicker, its rate of formation becomes diffusion-limited because the silicon and the oxidizing ambient are separated by the oxide layer. Silicon oxidation thus raises a whole series of questions [ 158, 159], such as where oxidation
72
KRUG AND BAUMVOL
**r
E
78
79
80
8~ .
8'2 .
.
a)
*
83
~
.
8 b)
Depth. n
72 _>, -~ 1.00. I:::
"O
~
0.75.
"~
0.50.
0
z
73
74 75 76 77 78 Proton Energy, keV
d)
79
" .....
0.25. 0.00
O,pth (A) FIG. 53. (a) Oxygen section of an MEIS spectrum for a 4.5-nm-thick oxide on Si(001) taken with a
scattering angle of 101 ~ (b) As in (a), with a scattering angle of 138 ~ (c) Oxygen profile producing the simulations shown as solid lines in (a) and (b). (d) Silicon profile obtained from the corresponding section of the spectra [82, 149]. Parts (a)-(c) reprinted with permission from [82], 9 1995, American Physical Society. Part (d) reprinted with permission from [ 149], 9 1996, Elsevier Science.
ULTRATHIN GATE DIELECTRIC FILMS
73
takes place, what the nature of diffusing species is, how oxidation affects interface structure, and how these phenomena depend on oxidation parameters, such as temperature, pressure, and postoxidation treatment. An overview of the present understanding of silicon oxidation mechanisms is offered in the following. It was not known a priori whether the oxidation proceeded at the SiO2-Si interface or at the gas-SiO2 interface. It has been demonstrated by experiment that the oxidizing species diffuses through the oxide layer and reacts with the silicon when it arrives at the SiO2-Si interface. Evidence for the inward migration of the oxidizing species and reaction at the SiO2-Si interface is given by isotope tracing methods. The basic experiment consists of (i) growing an oxide layer in 02 containing the natural abundance of isotopes (about 99.8 at.% 160 and 0.2 at.% 180) and (ii) continuing the growth in 02 enriched in the 180 isotope. This sequence is preferred because 180 can conveniently be profiled with the use of NNRP (Section 1.4). To illustrate the mechanistic evolution of silicon oxidation with respect to the oxide thickness, Figure 54 shows observed 180 profiles determined by NNRP in SiO2 films originally grown in natural 02 for ranges thicker than 30 nm, between 10 and 30 nm, and thinner than 10 nm [31 ]. In the thickest film, most of the 180 (>95%) is found near the SiO2-Si interface, as proposed in the model of Deal and Grove. In the film of intermediate thickness, 180 is also found near the gas-SiO2 interface. In this region it is distributed according to a complementary error function, a profile typical of diffusing species. In the thinnest film, enrichment of SiO2 in the 180 isotope at the interface with Si is no longer 100% and 180 becomes incorporated in the "bulk" oxide. Figures 55 [ 160] and 56 show extensive isotope intermixing after 1602, 1802 sequential oxidations. Figure 54 provides the basis for a "physical" definition of thick, thin, and ultrathin oxide film. Although the mechanisms responsible for the distinct features in Figure 54c as compared with its counterparts are certainly active during the growth of thicker films, their contribution to oxide growth is increasingly negligible. Continuing with reference to where silicon oxidation takes place, these mechanisms are now explored. The first systematic experimental investigation of isotopic substitution in ultrathin films was made by Rochet et al. [ 161 ]. Growth was promoted in ultra-dry 02 (less than 1 ppm of H20), in the temperature range from 810~ to 1090~ to total film thicknesses from 2 to 7 nm. Oxidations performed in the 1602, 1802 gas sequence showed most (between 98% and 80%) of the 180 incorporated in the near-surface region of the samples. This is illustrated in Figure 57, which shows results from etch-back NRA (Section 1.4). The steep dashed lines in the plots indicate the enhanced incorporation of 180 in the near-surface of the oxide film. Such 180 is found to be incorporated in two different modes, namely either in exchange for 160 originally in the samples or contributing to oxide film growth. This brings two new ideas not contemplated within the framework of the model of Deal and Grove: reaction of oxidizing species not at the SiO2-Si interface, but
74
KRUG AND BAUMVOL
FIG. 54. 180 profiles as determined by NNRP for silicon oxide films of different thicknesses sequentially grown in natural (quoted 160) and 180-enriched 02. The profiles are representative of original (Si1602) oxide films (a) thicker than 30 nm; (b) between 10 and 30 nm; and (c) thinner than 10 nm. Reprinted with permission from [31], 9 1996, Elsevier Science.
near the gas-SiO2 interface and resulting in (i) O exchange and (ii) film growth. Isotopic exchange between the oxygen atoms from the gas phase and those already existent in the oxide film was shown to be present at all temperatures above 800~ At all oxidation temperatures, the contribution to oxide film growth of 180 incorporated near the surface decreases with the increase in the total film thickness. Experiments also showed that the less dry the 02, the less favored is the fixation of 180 in the near-surface of the samples. This could be due to a fastdiffusing species combining H and 180, like H2180. Experiments involving oxide
75
ULTRATHIN GATE DIELECTRIC FILMS
I i ~ i 5 nm . . . .
'"
~8 0
z
z -
-
-
~
Q
, t
5
i I i
i
i
i i e e
'! T
-1
i i i
, I
d
! I
C
g
.~
~r
'*
,
a
I 0
75.5
76.5 77.5 78.5 79.5 Backscattered proton energy (keY)
' I
80.5
FIG. 55. Oxygen section of MEIS spectra for (a) an initial Si1602 film sequentially exposed to 1802
at (b) 800~ 1 Torr, 21.5 h; (c) 800~ 1 Torr, 40 h; (d) 900~ 0.1 Torr, 5 h; (e) 900~ Reprinted with permission from [ 160], 9 1995, American Institute of Physics.
1 Torr, 5 h.
films in the thickness range between 20 and 300 nm are in agreement with such results [ 162, 163]. Figure 58a shows the 180 profile in a silicon oxide film thermally grown on Si in a 1602, 1802 gas sequence, as measured by NNRP (Section 1.4). The nearsurface 18O distribution follows a complementary error function (erfc). Figure 58b shows the 180 profile after a third oxidation step in 160 2. As expected, a region enriched in 160 is found in the near-surface. Also shown is a significant
76
KRUG AND BAUMVOL
1170K, 10 .2 T0rr
1.0 0.8
min (1802)160 min (1602)
0.6 0.4 0.2
~.. ,....
160
-~,80
~.,,.
b U~ r
s
"E3 N
0.8
4 rain (1B02)/300 rain (1802)
0.6 0.4 /
P"
....... "'o."
0,2 ~..~' O
z
~
%.....
0,0
4 min (~802)/
O.8
1860 min (~602)
O.6 0.4 0.2
0.0 ~""""""""~ "........ ""~ ..... -;
....
~'~ 3;0
Depth (A)
"
FIG. 56. Isotope depth distributions from MEIS measurements on silicon oxide films sequentially
grown in 1802 and 1602. Reprinted with permission from [82], 9 1995, American Physical Society.
loss of 180 previously existing close to the gas-oxide interface, accompanied by modification of the original erfc-like profile. Figure 59 shows the experimentally determined erfc-like and final 180 profiles, together with a calculated (labeled "predicted") final profile. The good agreement with experimental data was taken as a confirmation of the hypotheses used in the calculation, namely (i) the defects responsible for near-surface O incorporation can be thought of as diffusing in a semi-infinite medium; (ii) the gas-oxide interface constitutes a permeable interface; and (iii) the transport of the oxidizing species in the near-surface region follows a diffusion-exchange mechanism, related to step-by-step motion of
ULTRATHIN GATE DIELECTRIC FILMS
77
~rilllillililiilliill -
-25
i
I I f 1
2'0
!
"-" 2-0 '7 E u
,,,,
l . , , ~, l , ~ m..~l , . . , , , 20
v
20 -~"
E ~.s
-
O
0
m
D
-
o
-
o
x
~
I0
0 Z -
o
o
"
00,
_"2 •
0 0 5
0-5 -
Z v
_
~ o Z
' '2~0015 I ' ' '
( N~ + N~) x 10Isatoms cm -z
la)
E m
-
E
-~o
_o
-
O
0 _u,
0
0-5
0
00
0
I
!
o o
.
t
l I
0
!
0
, , , ,I t 5 , , ~ 10I ,
,._.. ~-~
-
f
I#1
"0"
-
!
ti
....
5
o
T .... S
-
! ....
10
15
,x
z
0 20
( N ~ + N~) x 101Satoms cm -~
Ib~
FIG. 57. Areal densities of 180 (circles) and of 160 (squares) as a function of the total amount of O from etch-back NRA in silicon oxide films grown at 930~ in ultradry gas. (a) 30 min in 1602 followed by 30 min in 1802; (b) 330 min in 1602 followed by 30 min in 1802. The arrows indicate the gas-oxide interface. The steep dashed lines indicate enhanced 180 incorporation at the sample surface. Reprinted with permission from [161 ], 9 1986, Taylor and Francis.
network oxygen atoms [ 164]. The latter has also been inferred from previous twostep sequential oxidation experiments [ 165]. There is more than one model capable of incorporating the experimental evidence found so far conceming silicon oxidation [ 158]. One picture is the reactive layer model [166]. The idea is that the oxide nearest to the silicon is not fully oxidized, so that species (perhaps 02) that diffuse interstitially through the outer oxide react within this layer, before reaching the silicon itself. Diffusion continues by some other mechanism. The reactive layer is therefore opaque to interstitial 02. The reaction does not have to be at the outside (stoichiometric oxide side) of the reactive layer, but this side will receive the largest flux of interstitial oxidizing species. Figure 60 shows a pictorial sketch of the basic idea announced in the reactive layer model [ 167]. Based on the reactive layer model, Stoneham et al. [ 166] were able to explain the results given in Figure 57 and equivalent data, concluding that the thickness of the reactive layer is between 1.5 and 2.0 nm. From the point of view of experimental evidence and confirmation, the reactive layer model is solidly based on results conceming growth kinetics, structure, composition, and isotope-exchange data. One possibility according to the reactive layer model that has not been addressed in this chapter so far is the mobility of species involving Si. The
78
KRUG AND BAUMVOL 2500
--
i'"
~
'
,'
,
[
'",
~
-r
I'-
'
'' '
'"
9
.
oL_
/~?o
t5oo
u
,
100 ~
2000
o
'
o
s
to
pt.h
iszoz~
[nm]
I000
500
0
2500
5
0
'1'
F., [k~v]
zi
,
'
i
'
(b)
2000
'
~
'
'i'
I0
t
l'~
i
7
9
-- 9
2~ .... o. i
1500
epth o
u
[n
]
1000 500
....
0
--
l
5
z-
i
L
j
~
z. [keY]
.I
10
15
Excitation curves of the 180(p,ot)15N nuclear reaction around the resonance at 151 keV for a silicon oxide film grown in (a) 1602, 1802 sequence and (b) 1602, 1802, 1602 sequence. Corresponding profiles are shown in the insets. Reprinted with permission from [164], 9 1997, American Institute of Physics. FIG. 58.
long-range mobility of Si was rendered very improbable by the initial results on oxygen isotopic substitution. Indeed, direct evidence of Si immobility was given by Si isotopic substitution experiments. Results of a 31Si isotopic substitution experiment with a rather poor depth resolution (approximately 50 nm) [ 168] were
79
ULTRATHIN GATE DIELECTRIC FILMS
FIG. 59. Near-surface 180 profiles from Figure 58 and the predicted (calculated) profile to be com-
pared with Figure 58b. Reprinted with permission from [164], 9 1997, American Institute of Physics.
Oz / 9> /
:-C'//,4 Reactive Layer
Indtvtdual Atoms ~n the oxide move out from the c - S t
/
Stotch,ometr~c con|in uous r a n d o m network
,..SlO z " , ./ / /, , ' / / / / / .
New
Reactive
Volume ._____.._ c o r r e s p o n d i n g to an Si atom to be o x i d i s e d
S,O
Si / oxide i n t e r f a c e moves
_~nt_o__s, [_..c_o i n___- ~ .
I t
~
~ S iO
Oz
This region may c o n t a i n Si c o o r d i n a t e d to S6 atoms as well
Reactive One or Two monolayers of SiO
St
]
as oxygens
corresponding ~ to one S, atom lll=-s~!i
Area
ifriil!i t
FIG. 60. Pictorial sketch of the reactive layer model. A column of silicon atoms is shown both before and after oxidation by one oxygen molecule. Reprinted with permission from [167], 9 1986, Taylor and Francis.
80
KRUG AND BAUMVOL
confirmed by a 29Si isotopic substitution experiment of much better resolution (approximately 0.7 nm near the sample surface) [169]. The profiles of 29Si before and after thermal oxidation in 1802 and of 180 revealed that no Si is lost and that it is immobile during oxide growth, in the sense that it does not diffuse across the growing oxide to react with 02 at the gas-oxide interface. These results do not exclude short-range Si transport from the substrate into the near oxide-silicon interface, as hypothesized in the reactive layer model. It is important to note, however, that Si mobility is not at the basis of the model: "whilst it is convenient to talk of out-diffusion of silicon, it suffices if interstitial oxygen stops at the outside of the reactive layer. Even diffusion through the layer would give an equivalent effect provided it is a vacancy mechanism or one involving exchange" [ 167]. The overall atomic transport picture in the growth of ultrathin oxide films on silicon comes from the relative thicknesses of the different regions relevant to the process: (i) the thickness of the reactive oxide layer, approximately 2 nm from the oxide-silicon interface [ 166]; (ii) the thickness of the oxygen-excess region, approximately 3-4 nm from the gas-oxide interface [170, 171]; and (iii) the oxide film thickness. Experimental findings and models discussed above revealed the atomic transport mechanisms acting in the ultrathin regime. Oxygen either (i) diffuses through the oxide network without interaction (interstitially) to react within the reactive layer or (ii) follows a diffusion-exchange mechanism, related to step-by-step motion of network atoms, and is fixed at the oxygenexcess region. On the basis of atomic transport, the ultrathin oxide film regime is conveniently defined as that at which film thickness becomes comparable to the sum of the reactive layer and the oxygen-excess zone, or equivalently, that at which these two regions overlap. Oxygen diffusing step-by-step in the oxygen-excess zone can then reach the reactive layer to react therein. As a direct consequence, the contribution to film growth of oxygen incorporated near the surface increases. The identity of O-containing species diffusing during silicon oxide growth is now addressed. Atomic transport during thermal oxidation of Si in dry 02 or water vapor was first described by Deal and Grove [33] as steady-state interstitial diffusion of molecular oxygen (02) or water (H20) across the growing oxide and subsequent reaction with Si at a sharp SiO2-Si interface. This has been supported by both experiment and quantum chemical calculations [159]. However, recent experimental results have shown that atomic oxygen is also a possible candidate for the transported species, and it is now claimed that while traditional arguments for molecular oxygen being the transported species are valid for atomic oxygen as well, more recent experimental results support atomic oxygen as the transported species [ 172]. The new results concern (i) the dissociation rate of oxygen molecules at the SiO2 surface compared with the oxidation rate [ 173]; (ii) coupling between the dissociation rate and oxidation kinetics [ 174];
ULTRATHIN GATE DIELECTRIC FILMS
81
and (iii) oxygen exchange at the oxide-silicon interface [175]. As for the stepby-step motion of oxygen atoms in the near surface, peroxyl bridges ( O - O bonds, equivalent to an excess-oxygen interstitial) have been identified as probably being responsible [165]. This is in good agreement with experimental EPR data concerning defects associated with Si depletion or O excess centers (the so-called EX centers) [170, 171]. The depth distribution of EX centers is remarkably similar to that of oxygen found in the near-surface region of an oxide film after the second step of a sequential 1602, 1802 oxidation. Oxygen transport through peroxy bridge defects in silicon oxide has been explored with the use of molecular dynamics and Monte Carlo combined to first-principles calculations [154, 176]. 1.6.3. REMARKS AND LIMITATIONS CONCERNING ULTRATHIN SILICON OXIDE FILMS AS GATE DIELECTRICS Silicon dioxide has remained the gate dielectric of choice because it has close to ideal properties: its dielectric strength is large and the SiO2-Si interface contains very few defects. The scaling method with some modifications has succeeded in downsizing MOSFETs for 30 years, to the 0.18-#m technology node with gate lengths of 0.12 #m. By thinning of the gate oxide to less than 2 nm, various advantages in the MOSFET performance were confirmed. Further downscaling, however, is being simultaneously threatened by different parameters. Among them, gate SiO2 thinning is thought to be the most severe. First, the presence of large quantum mechanical tunneling current is a serious scaling limitation in terms of standby power consumption. Second, breakdown characteristics for ultrathin oxides become even more critical because of the dramatic increase in electric field across the oxide during normal device operation. Third, poly-Si gate depletion effects are known to get worse with oxide scaling, as operating gate voltage normally does not scale proportionally to oxide thickness. Furthermore, as gate oxide thickness decreases, process integration issues emerge as new challenges. Boron penetration from p+-polysilicon gates into the thin gate oxide and the channel region in p-MOSFETs is one of the major concerns for CMOS technologies. It is now well established that ULSI reliability and electrical properties are strongly dependent on the quality of the SiO2-Si interface region. Channel mobility, leakage current, time-dependent breakdown, and hot electron-induced effects have all been correlated with the oxide structure and defects at the SiO2-Si interface. Although the electrical defects are controlled by fabrication conditions, oxidation ambient, etc., relatively little is known about the atomic configuration of these defects, especially for ultrathin oxide films. The mechanism of breakdown of ultrathin dielectrics is also not fully understood. An atomic-scale description of silicon oxidation in the ultrathin oxide film regime is also still to be developed.
82
K R U G AND B A U M V O L
1.7. Silicon Oxynitride Gate Dielectric Films At this time, silicon oxynitrides (SiOxNy or, more accurately, nitrogen-doped SiO2) are the leading candidates for replacing pure SiO2 in ultrathin gate dielectric films [177]. Oxynitride films are of great interest because they retain favorable features of both silicon oxide and silicon nitride while minimizing their drawbacks [ 14]--one takes advantage of the passivating and masking properties of Si3N4 while retaining the excellent electrical properties of the SiO2-Si interface. The three main reasons for the attractiveness of silicon oxynitrides as a replacement for pure SiO2 are (i) very good diffusion barrier properties (particularly against boron penetration from p+-polysilicon gates); (ii) a slightly higher dielectric constant that is reflected in some reduction of leakage current; and (iii) enhanced reliability. Even small amounts of N (1 • 1014 cm -2 or more) in the SiO2 network significantly improve its diffusion barrier properties. The dielectric constant of oxynitrides linearly increases with N content from Esi02 = 3.9 to E S i 3 N 4 - - 7.8 [178]. At first, nitrogen was introduced in thicker gate oxide films [ 179-181 ], increasing their reliability. It was shown [56] that N incorporation results in a reduced defect generation rate (Section 1.4.1.2). Many ultrathin (<3 nm) SiO2 films are now nitrided to reduce hot electron effects, limit boron penetration, and, under certain conditions, prolong device lifetime. Nitrogen incorporation into SiO2, however, can also lead to deleterious effects, depending on the amount and profile. In the following, an overview of ultrathin silicon oxynitride gate dielectric films is presented. Different approaches to silicon oxynitride formation are briefly reviewed, and electrical and physicochemical characteristics of the resulting films are discussed. These characteristics can be strongly dependent on the method of film preparation and on the parameters adopted in a given method. General trends are presented as much as possible. 1.7.1. PREPARATION METHODS In contrast to the case of SiO2, which for gate dielectric application is exclusively thermally grown, many different methods have been developed to produce SiOxNy films (Fig. 61). Nitrogen may be incorporated into SiO2 by either conventional or rapid thermal oxidation/annealing [ 182-195] or chemical and physical deposition [196-201] methods. In both classes the approach can be either nitridation of an ultrathin oxide film or direct growth/deposition of the oxynitride on Si. Thermal methods are particularly attractive because of their similarity to standard silicon oxidation methods, the availability of both single-wafer and batch tools (and low processing cost), and, most importantly, hydrogen-free and damage-free processing [202]. Historically, thermal nitridation of SiO2 in NH3
83
ULTRATHIN GATE DIELECTRIC FILMS Methods for nilrogen incorporation into ultrathin SiO2 films
I
I
Thermal (oxy)nitridation ,.
I
Chemical and physical deposition I _ , ,
! deposition/ ] ,nitridation ~
N ion
JVD
[
I
ALD INi [ bya
ation )mic nitr gen
FIG. 61. Different methods for nitrogen incorporation into ultrathin SiO2 films. Reprinted with per-
mission from [177], 9 1999, IBM Technical Journals.
was studied first, following an approach used to grow Si3N4 on Si. Silicon oxide layers can be nitrided by direct exposure to gaseous NH3 at high temperature (800-1200~ at high or low pressures of ammonia (1 bar, 10 -1 to 10 -6 mbar). Thermal nitridation of silicon oxide in NH3 can be of great interest because it allows simultaneous incorporation of N and D near the oxynitride-Si interface [203]. Nitrous oxide (N20) and later nitric oxide (NO) have also been used in the nitridation of SiO2, with increased benefit to device reliability as compared with processing in NH3 due to the absence of H. It was also found that oxynitrides could be directly grown on Si with the use of N20 and NO. Chemical and physical deposition methods have been used to modify SiO2 mainly with N + and N + as nitriding species, with the use of plasmas or ion implantation in the hyperthermal energy range (Section 1.3.3). Deposition from chemical vapor with the use of a variety of precursors has shown great flexibility at producing oxynitrides in the whole range of possible stoichiometries. Ion beam or plasma nitridation of bare Si followed by oxidation has also been used. Finally, these methods can be applied either alone or in sequence. For different reasons that will be made clear, annealing in 02 ("reoxidation") is particularly frequent after either thermal or physical/chemical nitridation. It becomes evident that there are numerous ways of producing oxynitride films. Next the electrical and physicochemical characteristics of representative samples are used to show the effectiveness of these methods at producing ultrathin films suitable for use as gate dielectrics. 1.7.2. E L E C T R I C A L C H A R A C T E R I S T I C S Nitridation of silica in gaseous ammonia [ 14] introduces a substantial amount of hydrogen in the film, which in turn enhances the density of electron traps, resuits in a fixed-charge buildup, and causes the leakage current to increase. The reoxidation of nitrided SiO2 films has proved effective in reducing the density of incorporated H atoms. It has been investigated as a technique for minimizing
84
KRUG AND BAUMVOL
bulk electron trapping and reducing interface states without affecting other properties. Nitridation of SiO2 in N20 or NO, however, is generally preferred. The obtained films display a greater resistance to high-field stresses (specially to carrier injection) and possess a greater resistance to dielectric breakdown. Electrical properties of NO-grown oxynitrides appear to be better than those of N20-grown films. High-frequency C - V curves reveal that a nitrided oxide film behaves like the original oxide, except for its capacitance in the accumulation mode and for a flatband voltage shift. Enhancement in the film capacitance is explained by an increase in the effective dielectric constant of the nitrided oxide or film growth during nitridation. Increasing the dielectric constant can be advantageous in reducing leakage current as compared with pure SiO2. There is a trade-off involved, however: as the dielectric constant of SiOxNy increases from that of SiO2 (3.9) to that of Si3N4 (7.8), the bandgap decreases from ,~8 eV to "~5 eV. The incorporation of large amounts of N leads to the reduction of boron penetration, but also causes threshold-voltage shifts and degradation of charge carder mobility, which are dependent upon both the nitrogen concentration and profile. This is due at least partially to positive charge that results from the nitrogen incorporation into the SiO2 matrix. The dielectric strength of nitrided oxide films varies from 2 to about 30 MV cm -1, depending on nitridation conditions. On average, it is greater than that for SiO2. Compared with silica films, nitridation modifies, besides fixed oxide charge and flatband voltage, the density of interface states in a complex manner, which depends on the nitrogen concentration at the SiO2-Si interface. At the level of 4 at.% at the SiO2-Si interface, N led to an increase in the density of interface states observed with n+-polysilicon gate and p-type Si substrate [204]. For p+polysilicon gates nitridation of silica is effective in preventing boron diffusion, thus preventing strong electrical degradation of the dielectric-Si interface. A few examples are taken from the recent literature to illustrate these properties of ultrathin silicon oxynitride films intended for use as gate dielectrics. Electrical characteristics are shown first for oxynitride films grown on Si with the use of high-pressure thermal processing in NO [205], then for oxide films nitrided by plasma immersion ion implantation [206], and finally for a silicon nitride/oxide stack produced by CVD [207]. The impact of nitridation by a variety of methods on device characteristics and reliability has been studied by Hook et al. [208]. Figure 62 shows gate leakage current densities of control SiO2 samples and SiOxNy films grown on Si with the use of high-pressure thermal processing in NO (HPNO). Both nitrided MOS-C and MOSFET present an order of magnitude improvement relative to a thermal oxide grown by rapid processing. As the samples presented the same equivalent oxide thickness (EOT, Section 1.4.1.1), the authors attributed such improvement to an increased dielectric constant of the SiOxNy film as compared with SiO2.
85
ULTRATHINGATEDIELECTRICFILMS
9
'
"--
1
'
"7
""'
'
" "
r
i
9
~176176 o
r
,
,",
i
'
" '
'
!
9
'
'-'
i
,7
,
, ~
SiO~ Tox, eq=22.5A t
" ~ o o, HPNO Tox, eq=22A o
10-3
.t
ra~
10-s ~
0-7
(a) 10 .9
. . . .
1
. . . .
-3
-3.5
I
i
J
,
,
-2.5
J
. . . .
-2
!
. . . .
t
-1.5
A
,
-1
.
t
. . . . . . .
-0.5
0
Gate Voltage (V) 102
1
~
'
'
"'T
' "
'
'
I .....
9
'
'
I
;
9
4)- Si02 T~ eq=22"5A o
10~
%
~HPNOT~
'
!
S
eq=22A
/ , d
tA
10 .2
10 4
~
i
10.a
I0s
-4
-2
0
2
4
Gate Voltage (V) FIG. 62. (a) Gate leakage current density comparison between SiO2 and HPNO with the use of n +-
poly NMOS capacitors. (b) As in (a), with the use of n+-poly NMOSFETs. A more than 10-fold reduction is leakage current is observed with SiOxNy as compared with SiO2 in the bias range between 1.5 and 2.5 V. Reprinted with permission from [205], 9 1999, Materials Research Society.
86
KRUG AND BAUMVOL
,~
10z 101 10 ~ 10-1 10-2
20fi, oxide
~ 9~ 10 .3
,00
//I...-~J
/"~'/
f
10 .4
\
10 -5 10 -6
\,oov
(.,9 10-7
10-8 10-9
0
1
2
3
4
5
Gate Voltage FIG. 63. I - V characteristics of gate oxynitrides produced by plasma immersion ion implantation
at the bias voltages shown. The characteristics of a pure SiO2 film are included for comparison. Reprinted with permission from [206], 9 1999, Materials Research Society.
Figure 63 shows I - V characteristics of oxynitride films produced by plasma immersion ion implantation (PIII) of nitrogen into 2.0 nm-thick SiOe films followed by rapid thermal annealing. All nitrided samples present reduced leakage as compared with the control oxide film. Although an increased permittivity of the nitrided dielectrics could be invoked (as before) to explain this result, the authors found that the reductions in tunnel currents were due only to the effective increase in film thickness. Thickness measurements were performed by spectroscopic ellipsometry, and spectra obtained after implantation required the inclusion of an amorphous Si layer at the SiOxNy-Si interface into the model to obtain good fits. Rapid thermal annealing was seen to be essential in repairing such damage to the implanted substrate. High-frequency (1 MHz) C - V characteristics of the oxynitride films produced by PIII after annealing are shown in Figure 64. The increased thickness quoted above appears as reduced capacitance under accumulation. A shift of the C - V curves toward higher gate voltages is noticeable for the low-energy nitrogen plasma implants compared with the unimplanted control oxide. As the implant energy is increased, the C - V curve shifts back toward lower voltages, most likely because of incorporation of nitrogen into the silicon substrate. This nitrogen, contributing with donor states, would make the substrate more n-type. Figure 65 shows C - V and I - V characteristics of gate dielectric films produced by remote plasma-enhanced CVD of Si3N4 on ultrathin SiOe. C - V measurements
87
ULTRATHINGATEDIELECTRICFILMS 1.2e-6 ~
~
-
20A oxide
1.0e-6 ~
~
8.0e-7
,oov
.~ 6.0e-7
400V.
~. 4.0e-7
--'~~
/7
100V
2.0e-7 0.0e+0
9
0.5
,
,
1.0
1.5
2.0
Gate Voltage characteristics of the dielectric films corresponding to Figure 63. Reprinted with permission from [206], 9 1999, Materials Research Society.
FIG. 64. C - V
were used to monitor the suppression of boron diffusion from p+-polysilicon gate electrodes. In Figure 65a, both quasi-static and high-frequency C - V curves for the devices with pure oxide dielectrics are shifted to positive voltages compared with device simulations (not shown), indicating significant penetration of boron into the channel region. Based on the simulations, the relative positions of the C - V curves for the devices with the stacked nitride/oxide dielectrics demonstrate that the top nitride layer is effective in suppressing boron diffusion out of p+polysilicon gates. In addition, as the density of electronic states at the dielectric-Si interface determines the deviation between high- and low-frequency (or, equivalently, quasi-static) C - V curves at the onset of inversion (see labeled area in Fig. 65a), this deviation can be used to compare the electrical quality of the interfaces between the dielectric films and Si. Although for high substrate doping in ultrathin oxide devices the conventional C - V technique is no longer effective for extracting accurate values of interface states, it is clear that the deviations are smaller for the stacked dielectrics, indicating a reduced density of states at the interface. This was attributed to incorporation of N at the SiO2-Si interface during the annealing that followed nitride deposition. Figure 65b compares tunneling current through 100 #m x 100 # m capacitors for 1.6-nm SiO2 and Si3N4/SiO2 stacks with 1.6-nm equivalent oxide thickness. Leakage through the stack is about two orders of magnitude lower at - 1 V. Compared with calculated data, the oxide shows higher leakage due to incorporation of diffusive boron. Figure 66 shows Weibull plots for MIS-Cs subjected to a uniform injection stress of 500 mA cm -2. An improvement of QBD of about an order of
88
KRUG AND BAUMVOL
1.0e-10
-
8.0e-ll
-
(a) \
,
!
\
,
/
6.0e-ll
tL (J A v
il
4.0e-ll
~/~
~
\Oxide'3"0nm
2.0e-ll
0.0o+0
Interface defects :
-2
N/O - t.Snm/2.Snm Tox-eq - 3.0nm .
.
.
s
.
.
.
0
-1
.
,
.
.
.
1
.
,
.
.
2
v g (v) 10:
1.6nm o x i d e , calculated value
10 2 10 ~ 10 0 r
E u
:~
o1 "~
10-1
; . ~
.......... . , ~ - ................ b\ k
10"" NIO ~ 1 .Snm 10.7 nm T o x = 1 .$nrn
1 0 .3 1 0 "4 104
(b)
1 0 "s 1 0 "7
|
-5
-4
!
1
-3
-2
-1
0
Vg (v) FIG. 65. C - V and I - V characteristics of silicon nitride/silicon oxide gate dielectric stacks compared with pure SiO2 of the same EOT. Reprinted with permission from [207], 9 1999, Materials Research Society.
89
ULTRATHIN GATE DIELECTRIC FILMS
o
! w~
-r og m
. m
0
NlO=l.5/2.5nm
Oxide, 3.0nm 950~ d r i v e in for 45 sec
-1
-2
l
t
M
O
m
Ill
O 9
m
II
9
a
-3
-4 -5
10 ~
10 !
Charge-to-breakdown,
102
103
Q BD (C/cm 2 )
FIG. 66. Weibull plots of QBD for capacitors with stacked Si3N4/SiO 2 and pure SiO2 dielectrics with the same EOT under constant current stressing (substrate injection at 500 mA cm-2). Reprinted with permission from [207], 9 1999, Materials Research Society.
magnitude is observed in devices with stacked dielectrics. The difference in reliability between devices with pure oxide and stacked dielectric films was attributed to defects near the dielectric-Si interface associated with the presence of boron. Taking into account both desirable and deleterious effects of nitrogen incorporation into SiO2 gate dielectric films, an "ideal" profile has been devised for MIS devices [202]. This shows nitrogen peaks at the SiO2-Si interface, to improve hot carrier resistance, and at the polysilicon-SiO2 interface, to prevent the penetration of boron from the heavily doped gate electrode. More nitrogen is required at the polysilicon interface, because the boron flux can be very large, depending upon the thermal budget. At the Si interface, only enough nitrogen to improve the hot carrier resistance is needed, as more might also lower the channel mobility. Very little nitrogen in the interior of the dielectric is desired, because a large total amount of incorporated nitrogen might raise the fixed charge. The actual ideal amounts of nitrogen required at each interface are not known, but typical concentrations range from 1 to 5 at.% at each interface [ 10, 202].
90
KRUGANDBAUMVOL 1.7.3. PHYSICOCHEMICAL CHARACTERISTICS AND MECHANISTIC ASPECTS
This section begins with considerations of the thermal nitridation of SiO2 films in NH3. It then moves to the direct growth of oxynitride films or nitridation of SiO2 in NO2 and NO. Finally, hyperthermal and deposition methods are discussed. Particularly for the latter, no attempt is made at a full description, but general trends are presented. 1.7.3.1. Thermal Nitridation of Si02 in NH3 One of the techniques used to produce oxynitride films is nitridation of SiO2 in gaseous NH3. The obtained results depend on the nitridation time and temperature and on the initial oxide thickness. The basic mechanism behind the process is the replacement of O with N atoms coming from the nitriding gas [ 14]. Annealing of SiO2 films in NH3 leads to accumulation of nitrogen in the near-surface and near-interface regions. For long annealing times and high temperatures, nitrogen is also incorporated in the bulk of the oxide films. Along with nitrogen, significant amounts of hydrogen are incorporated. Figure 67 [209] shows the N, O, and Si profiles obtained in typical NH3-nitrided oxides. These profiles were interpreted as resulting from the diffusion and reaction of ammonia-like species (NHx, x = 1,
ia
b
113rn,n I000"C
1hr. 1000 C
N
zIi,
..=,,,.
:3 0
r~..o (KLL)
. ,=,.,.
\
[NO
[KLL]
r
/ S,(LWI
\
\
!
r
Q,;
::3
t
v' ~,
~NIKLL}
0
2
/.
4
i.
9
,,,
I_.
0 2 t,, 6 8 -----.-- sputter t,rne (hrs.l
1
/S~[LVV}
'~'11
~''-
6
l 8
FIG. 67. Auger depth profiling of a 20-nm-thick silicon oxide film nitride in NH3 at 1000~ for 10 and 60 min. Reprinted with permission from [209], 9 1982, American Institute of Physics.
91
ULTRATHIN GATE DIELECTRIC FILMS
0 TM
\- \ I
A I
I
'.~
Etching
time
I
(rain)
FIG. 68. SIMS profiles of a bilayered Si1602/Si1802 thin film (A) before nitridation, (B) after
10 min nitridation in NH 3 at 1100~ and (C) after 30 min of nitridation. Reprinted with permission from [211], 9 1991, Elsevier Science.
2, 3) through the SiO2 film. The reaction occurs preferably in the near-surface region because the water created can escape from the material into the gas ambient or near the interface, from where it oxidizes the silicon substrate. XPS performed with synchrotron radiation confirmed the formation of one monolayer of Si3N4 at the SiO2-Si interface at the initial stages of nitridation [210]. SIMS profiling of 160, 180, and 14N in bilayered 8 i 1 6 0 2 / 8 i 1 8 0 2 thin films nitrided in NH3 indicated that thermal nitridation of the oxide is accomplished by transport of nitrogenous species from the surface to the interface of the films, concomitantly with an autodiffusion of the network oxygen atoms and replacement of the oxygen atoms in the SiO2 network by nitrogenous species (Fig. 68) [211]. The fact that N at the interface is reduced for thicker oxides indicates that the nitridation process in NH3 is limited by the diffusion of NHx species. A nitridation mechanism in two steps has been proposed [212, 213]. It includes diffusion of NHx to interstitial sites that are uniformly occupied, followed by migration to substitutional sites. Nitridation could proceed via the following reaction: 2SiO2(s) + 2NH3(g) ~ Si2N20(s) + 3H20
(8)
92
KRUG AND BAUMVOL
Thin nitrided oxide films display lower oxidation rates than thermal oxides. Resistance to oxidation increases with nitridation time and temperature and, thus, with nitrogen content. The oxidation rate of samples whose surface has been partially etched in HF is found to be practically identical to that of nonetched samples, showing that the nitrogen-rich interfacial layer is the most likely contributor to oxidation resistance [214]. Initial nitridation produces electrically active defects (strained bonds, broken S i - O bonds, possibly accompanied by displaced Si and O and Si dangling bonds) that are all sources of positive charge, i.e., which all produce negative threshold voltage shift. These defects are due to in-diffusion of hydrogenous species. The shift has been correlated to S i - H and S i - O H groups by SIMS and Raman spectroscopy. It is then reduced as Si--N groups form, reducing silicon dangling bonds or redistributing existing defects. After long nitridation, the negative voltage shift is greatly reduced, as the amount of incorporated nitrogen keeps increasing. Oxides nitrided by rapid thermal processing show properties that are similar to those of oxides nitrided in a conventional furnace. However, rapid nitridation makes it possible to minimize the duration of the nitridation step and thus permits one to reduce both strain and impurity redistribution at the SiO2-Si interface. Besides NH3 and disregarding nitrogen oxides (discussed in the next section), gaseous N2 does react, to a slight extent, with silicon at the SiO2-Si interface, but high temperatures (> 1000~ and long nitridation times (several days) must be used to obtain a sizable effect. The binding energy of N2 molecules is fairly high, whereas the standard free energy of the reaction between silicon and nitrogen is low. The fact that nitridation of SiO2 is possible with NH3 but nearly impossible with N2 alone points to a role for hydrogen in breaking the S i - O bonds. Oxide films nitrided in NH3 are often reoxidized. This reoxidation yields insulating films whose properties are half-way between those of silicon oxide and those of nitrided oxide films. During reoxidation, the top nitrided layer is quickly reoxidized, a thin oxide layer grows beneath the interfacial oxynitride layer, and the hydrogen content in the film is much reduced. 1.7.3.2. Thermal Nitridation of Si02 and Direct Oxynitride Growth in N20 and NO One of the drawbacks of nitridation in NH3 is the inevitable formation of hydrogenated species in the film, which leads to high densities of electron traps. Oxynitride films can be grown directly by submitting a Si substrate or an SiO2 film to a gaseous N20 or NO ambient. This process permits better control of the nitridation step and minimizes the amount of incorporated hydrogenous species. The bulk phase diagram of the S i - N - O system is shown in Figure 69 [177, 202]. Four phases appear: Si, SiO2 (cristobolite and tridymite), Si3N4, and Si2N20. The three compound phases have similar structural units: SiO4 tetrahe-
93
ULTRATHIN GATE DIELECTRIC FILMS 2200
si(g)
2000
Si3N4 1800
1600
1400 -25
-20
-15
log( )
-10
-5
FIG. 69. Thermodynamic phase diagram of the Si-N-O system. Based on [249].
dra for SiO2, SiN4 tetrahedra for Si3N4, and slightly distorted SiN30 tetrahedra for Si2N20, implying that the phases can be converted from SiO2 to Si2N20 and finally to Si3N4 by replacing oxygen with nitrogen. However, the nitride and the oxide phases never coexist. They are always separated by Si2N20, which is the only thermodynamically stable and crystalline form of silicon oxynitride. According to chemical equilibrium, N should not incorporate at all into an SiO2 film grown in almost any partial pressure of oxygen, depending upon temperature (Fig. 69). At least two reasons for the presence of nitrogen in SiO2 films processed at the usual temperatures and pressures can be suggested. First, nitrogen atoms may simply be kinetically trapped at the reaction zone near the interface (i.e., the nitrogen is present in a nonequilibrium state, where the rate of the transition to equilibrium is slow and some nitrogen is trapped). Alternatively, the nitrogen at the interface may indeed be thermodynamically stable, because of the presence of free energy terms that are not yet understood. For example, if the nitrogen plays a role in lowering the interfacial strain, there may be a strain free energy contribution when nitrogen is incorporated at the interface. After oxynitridation with N20 or NO,
94
KRUGANDBAUMVOL (ai N0-grown films NO
N incorporation _ . _
I Si,,con I (b) N20-grown films N20
§
profi-'le
N incorporation and removal
,,
[Silicon
Nitrogen [--[.. Oxynitride
b . _
[
I
Silicon
Nitrogen___j
Oxide
profile
Silicon
(c) NO- and N20-annealed SiO2 preoxides NO or N20 N incorporation Oxide Silicon (d) Reoxidation of oxynitrides NO or N20 [ Silic0n i
0 2 reoxidation .-
Nitrogen
Oxide
profile
Silicon
Nitrogen t profile
Oxynitride
_... --. .
Oxide Silicon
Schematic diagram illustrating nitrogen depth distributions for different thermal nitridation sequences. Reprinted with permission from [10], 9 1999, IBM Technical Journals.
FIG. 70.
one typically observes the incorporated nitrogen to be segregated very close to the SiO2-Si interface, consistent with a special, stabilizing role at the interface. The N peak can be shifted to other areas of the film, but the processing required to accomplish this must take into account the metastability of the incorporated nitrogen in the SiO2. Even when nitrogen is implanted into silicon, it tends to migrate to the SiO2-Si interface and be incorporated into the SiO2. Figure 70 is a schematic illustration of the incorporation of N into SiO2 films by thermal processing in NO and N20. It is shown in Figure 70a that the exposure of silicon to NO produces an oxynitride film with an approximately uniform distribution of nitrogen. At a given temperature, the oxidation of Si with NO is essentially a self-limiting growth, because the increasingly N-rich oxide layer acts as a diffusion barrier. As in Figure 70b, when N20 is used there is both incorporation and removal of nitrogen, which results in a profile peaked close to the SiO2-Si interface. The peaked profile results from the reduction of N20 in the gas phase to primarily NO and O radicals. The NO efficiently incorporates the nitro-
ULTRATHIN GATE DIELECTRIC FILMS
95
gen into the film as it grows, while the oxygen radicals remove N from the films, especially at its outer surface. When either N20 or NO is used to anneal a previously grown SiO2 film as in Figure 70c, the N concentration is peaked near the SiO2-Si interface, with NO producing substantially higher N concentrations for a given thermal cycle. Only with the reoxidation in 02 of an oxynitride film can the peak of the nitrogen profile be displaced from the interface, as in Figure 70d. Oxynitridation mechanisms for thermal methods based in N20 and NO, just like those based in NH3, are fairly well understood, as presented in recent reviews [65, 177, 200, 215, 216]. Both N20 and NO promote film growth. The former leads to incorporation of 0.1-1 at.% of N; the latter, to substantially higher amounts [ 184, 190, 191, 217-230]. The reason for this is in the gas phase chemistry of N20. As N20 decomposes when heated to the temperatures at which (oxy)nitridation takes place (>800~ the actual nitriding species is NO, but in an environment containing N2, 02, and atomic oxygen, O. The first is essentially inert; 02 can promote some film growth, but at reduced rates if compared with the continued oxidation of SiO2/Si structures because of the inhibiting effect of nitrogen incorporated into the film; atomic oxygen, in turn, is responsible for the partial removal of nitrogen from the film, as stated above. It has been found [231 ] that in a heated conventional furnace N20 rapidly decomposes into about 60 mol% N2, 30 mol% 02, and 10 mol% NO. Rapid thermal oxynitridation with N20 leads to slightly different results, as the gas decomposes only upon reaching the heated sample surface [223]. The growth kinetics of thermal silicon oxynitride films on Si in NO are selflimited to 2.5 nm at any temperature below 1100~ At the initial stages, NO adsorbs dissociatively on Si(001) as well as in Si(111), forming one monolayer of Si3N4 at the dielectric-Si interface, followed by several monolayers of subnitrides (nitrogen-defective silicon nitrides) and, most probably, suboxides as well. Isotopic substitution studies clearly indicate that NO diffuses toward the oxynitride-Si interface. The presence of one Si3N4 monolayer or even a fraction of a monolayer at the interface largely prevents the migrating NO molecules from reacting with Si atoms of the substrate. So direct thermal growth of silicon oxynitride films on Si in NO proceeds within a very limited atomic transport scenario, because of the diffusion barrier properties of a layer with an appreciable concentration of N at and near the oxynitride-Si interface. Most of the activity consists of replacement of N originally incorporated by O, as well as completion of the suboxide/subnitride network. The degree of replacement depends on processing time, NO pressure, and film thickness as a whole. Silicon oxynitride films can also be produced by thermal nitridation of silicon oxide films. When the nitriding gas is NO, nitrogen is introduced only in the nearinterface region. Contrary to what is observed in the direct thermal growth of oxynitrides in NO, the nitrogen concentration at and near the interface increases with increasing annealing time. No appreciable film growth (thickness increase)
96
KRUG AND BAUMVOL
occurs. XPS analysis indicates that nitrogen is predominantly bonded to Si, as in stoichiometric Si3N4. Only a minor portion of the N atoms presents a S i - N - O bond structure. Nitridation of SiO2 films in N20 leads to similar results, except that significant film growth can occur. This should be due to the presence of O2 as a product of N20 decomposition, as discussed above. Isotopic substitution results [222] indicate that the nitridation of silicon oxide films in NO takes place by two atomic transport mechanisms occurring in parallel, as in the case of dry oxidation of silicon oxide films in O2: (i) NO diffuses through the silica network and reacts at the SiOxNy interface to fix both N and O (this mechanism involves, in fact, a minor fraction of the NO molecules entering the oxynitride network) and (ii) step-by-step motion of network oxygen atoms induced by the presence of network defects leads to incorporation of O in the near-surface. As (ii) involves only O, one can presume that N is released in the form of a nonreacting molecule, like N2. Figure 71 [232] shows SIMS depth profiles for silicon oxynitride samples grown in a rapid furnace at 1050~ for 1 min with the use of (a) N20 or (b) a mixture of NO and O2. Profiles are not reliable within the top 0.5 nm because of the presence of an adventitious carbon surface layer. The film grown in N20 shows a characteristic nitrogen pile-up at the interface with the Si substrate, whereas the other sample has the nitrogen uniformly distributed throughout the oxynitride layer. The latter is typical of oxynitridation with NO. As the samples were prepared by rapid thermal treatment, the result is explained by the decomposition of NeO being restricted to the sample surface, in small amounts, so that a continuous supply of O radicals was available during film growth. The nitrogen and oxygen profiles in a sample nitrided in NO and subsequently annealed in O2 at high pressure and low temperature are shown in Figure 72. This sample corresponds to the I - V characteristics shown in Figure 62. Processing parameters are detailed in the figure. One observes relatively high nitrogen incorporation in the film (>5 at.%) at low processing temperatures. Moreover, the N profile is shifted to the sample surface as compared with the usual feature for an SiOxNy film grown in NO. These are all desirable characteristics for a nitrided gate dielectric. Figure 73 presents in schematic form a sequence devised to produce the ideal nitrogen profile in a gate oxynitride film. It consists of an oxynitridation step of Si in NO followed by oxidation in 02 and repeated oxynitridation in NO. The first step results in an oxynitride film with a given concentration of N at the interface with Si. The second step promotes growth of an underlying oxide film, displacing the N distribution in the direction of the sample surface. The third step introduces N at the new dielectric-silicon interface. One expects to be able to tailor N concentration at the sample surface and interface through the annealing temperature in NO in the first and third steps. The successful result of such an approach is shown in Figure 74.
97
ULTRATHIN GATE DIELECTRIC FILMS
N20 grown oxide
.~
o
4"0 [lO00eV 'i3s"75'deg'rees/
I 100
3.5
4
~ 3.o
~"
o
'~ i
0---
2.0 0
o
1.5
40 o
o
~ 1.0 ~
2O
0.5
0.0
0
10 20 30 40 Depth
50 60
70
(Angstroms)
0 80 90 100
Oxide grown with NO-O~ mixture
,1000eV Cs 75 degree~///~"
4.0
....
100
;g 3.5 r
E o
II
Si 3.0
"
98 0 0
~
0 ---'-'-
.< E 0
C
_o 2.S
60
I ":" "~'" C
.
o 2.0
C 0
,
;
.,
f
~'_o ~IIZ 2
"...
I~ 0
i ~ 40
~ 1.5 C 0 0
e
u o
-9 1 , 0 2o
0.5
0.0
0
10
20
30
40
50 60
70
Depth (Angstroms)
80
90 100
FIG. 71. SIMS depth profiles for silicon oxynitride samples grown in a rapid furnace at 1050~ for 1 min with (a) N 2 0 or (b) a mixture of NO and 0 2. Reprinted with permission from [232], 9 1999, Materials Research Society.
98
KRUG AND BAUMVOL
.~ o 5
[ . ~__.+ 02' 800~
15min
g 4
l
8E3 ~ ~' 6E3 ~ ~
3
4E3
!
2E3
0
20
40 60 Depth (A)
80
0
~
&
FIG. 72. SIMS profile of high-pressure NO oxynitride with subsequent high-pressure 0 2 annealing.
Reprinted with permission from [205], 9 1999, Materials Research Society.
Oxynitridation
N
:looxidation
N I
Reoxynitridation
NO
.0 - 2.0 nm
FIG. 73. Depiction of the process flow for creating the ideal nitrogen profile with NO gas. Reprinted
with permission from [202], 9 1998, Kluwer Academic Publishers.
1.7.4.
HYPERTHERMAL AND D E P O S I T I O N M E T H O D S
Thermal nitridation of SiO2 in NO or N20 generally results in a relatively low concentration of nitrogen in the films, on the order of 1015 cm -2 N atoms [189, 202, 230, 233]. Because nitrogen content increases with temperature, thermal oxynitridation is typically performed at high temperatures (i.e., >800~ Other nitridation methods, such as with the use of energetic nitrogen particles (plasma, nitrogen atoms, or ions) [46, 206, 234-247], can be used. These nitridation methods can be performed at lower temperatures, ,~300-400~ However, low-temperature deposition methods may result in nonequilibrium films, and subsequent thermal processing steps are often required to improve film quality and minimize defects and induced damage [199, 248]. Because the thermodynamics [249, 250] of the SiOxNy system and the kinetics [184, 188, 194, 202, 203,
99
ULTRATHINGATE DIELECTRICFILMS
E (J
4 t NO/O2/NO
/'a--~Qt"~--~'"
interface positions
c:) --9 3 v
x r
o
~176o
Z
2
0
1
2
3
i(a)
4
Distance from the outer surface, nm FIG. 74. MEIS depth profiles for Si(001) samples processed in (a) NO (800~
5 min) followed by 02 (900~ 52 min) with final annealing in NO (900~ 5 min), and (b) NO (800~ 5 min) followed by 02 (900~ 48 min) with final annealing in NO (900~ 40 min). Reprinted with permission from [217], 9 1998, American Institute of Physics.
223, 228, 229, 233] of nitrogen incorporation are rather complex, these different methods produce oxynitride films with different total nitrogen concentrations and depth distributions. From a scientific viewpoint, the addition of N to the S i - O system opens a number of questions concerning microstructure, defects, and reaction mechanisms. At this point it is revealing to consider the nitridation of silicon with hyperthermal ion beams, which has been studied (and modeled) in great detail by Hellman et al. [235]. In this case it was observed that ion energy, ion fluence, and substrate temperature during nitridation all play significant roles in the process. The kinetic energy provided to the ions allows their insertion into the substrate at no cost in thermal energy, which is then used to activate the chemical reaction. Once the reaction is complete (i.e., a stoichiometric phase has been formed) at a depth corresponding to the ion range in the sample, temperature becomes fundamental for the diffusion of nitriding species until a region comprising substoichiometric nitride is reached. Although such results may constitute an interesting parallel to the nitridation of SiO2 films with hyperthermal species, thermodynamic considerations mentioned above should be taken into account, as should the presence of the SiO2-Si interface. Figure 75 shows the nitrogen profiles observed in ultrathin SiO2 films on Si submitted to plasma immersion ion implantation. I - V and C - V characteristics of these films were presented in Figures 63 and 64. The profile observed in an
100
KRUG AND BAUMVOL 5.0E+3
, "~ i
4.5E+3 ~ 3.5E+3
:.: '"
r,~ 3.0E+3 ~
;
0 . ,.,:"::':::!::',
4.0E+3
N concentration
500VPI
"i':"., SiO2-Si Interface
2.5E+3
~ 2.0E+3 "O o
1.5E+3
rm
1.0E+3
5.0E+2 O.OE+O 0
1
2
Depth / Oxide Thickness FIG. 75. Normalized SIMS profiles of the nitrogen introduced into gate oxides upon plasma immersion ion implantation at the bias voltages shown. The profile corresponding to a thermal oxynitride grown in N 2 0 is included for comparison. The quoted oxide thickness is 2 nm. Reprinted with permission from [206], 9 !999, Materials Research Society.
SiOxNy film produced by thermal oxynitridation of Si with N20 is presented for comparison. The samples prepared by Pill clearly show higher N content. Among the plasma implants, the one performed at 25 V bias places more nitrogen in the bulk of the oxide and less at the interface with Si. Nearly 10 times more nitrogen is seen in the sample nitrided at the highest bias; however, the tail of the nitrogen distribution extends through the interface and into the Si substrate, which is not a desirable characteristic but may be tolerable to some extent. SIMS profiles of oxynitride films produced by treating oxide samples with different nitrogen plasma sources (remote plasma or helicon plasma source) are shown in Figure 76 [232]. Resulting nitrogen contents are similar among the films. In the remote plasma sample the nitrogen is concentrated in a sharp surface peak confined to about 1 nm at the sample surface. The helicon source produces nitrogen buried in the oxide substrate. Areal densities extracted from the profiles range from 1 to 3 • 1015 cm -2 N, enough to form up to 0.3 nm of Si3N4. XPS characterization of the nitrogen in plasma samples described above is shown in Figure 77. Both spectra are dominated by N(-Si)3 peaks. Together with high nitrogen content, this indicates the presence of stoichiometric Si3N4 in the helicon plasma sample. In addition to this major peak, the remote plasma sample also presents a peak at 399.7 eV, characteristic of O - N ( - S i ) 2 . The helicon plasma film shows a lower O-N(--Si)2 peak as well as a third peak at 402.8 eV. The relationship between the N ls peak position and the number of N - O bonds has been used to suggest that the 402.8 eV peak is due to ( O - ) 2 N - S i . Thus, the
101
ULTRATHIN GATE DIELECTRIC FILMS
Remote Plasma Oxide s
-..~. - - - N
~i I- I
3x]0'
'
I
/
!
\
o---
:,t-ii t
"'-/,
\
..j
x,
9
=
10
o
z
2
~
/',,, ..."
0 6o
4o '
5xl
\
/
\
"
---- N
~
/
6 4
/
,L i
8
o
N
Q-'-
16
12
o
,
............
la
E
,
Low-N Helicon Plasma Oxide
20
o
2x10'
/
20 D e p t h (A)
--
/
\
Si
"
4xl 04
" \
*X
10
1
3x10 4
'\
I:L
...
~=
u_"
e:
_o
b
-o \
2xi0 4
o C:
v~
.,,. 0
--'--
04
20
30
(b) 40
50
- lx10 4
m
60
Depth (Angstroms)
FIG. 76. SIMS profiles of oxynitride films produced by nitridation of SiO2 (a) with a remote nitrogen plasma and (b) with a helicon plasma source. Reprinted with permission from [232], 9 1999, Materials Research Society.
plasma-nitrided films show more complex bonding, including bonds to oxygen, than that usually exhibited by thermally nitrided samples. To produce oxynitride films with higher nitrogen content than that available from hyperthermal nitridation, deposition methods such as chemical vapor de-
102
KRUG AND BAUMVOL
Remote Plasma Oxide
(a)
N=l.0xlOtSlcmZ
398.1eV
N=3.8x10"" = r; 399.7eV
9
!
|
,,
m
|| .......
-7,
.. -
406
.
.
.
. . . . .
o
,
I
404
!
402
9
-
400
....
ii . . . . . . . . . . . .
398
BindingEnergy(eV)
.
i'-
394
396
Helicon Plasma Oxide .
.
.
.
~ i
N--8"8xl0~=lcma 399.7eV i
N=2"9xlO"/cm= /
4oza.v\
408
406
404
\
402
400
~t
~
398
Binding Energy
t~
396
(eV)
394
i
392
FIG. 77. High-resolution N ls photoelectron spectra taken for ultrathin SiO2 films nitrided (a) with a remote plasma and (b) with a helicon plasma source. Reprinted with permission from [232], 9 1999, Materials Research Society.
position (CVD) [200] with different precursors and its low-pressure (LP) and/or rapid thermal (RT) variants [198] can be used. Jet vapor deposition (JVD) [251] and atomic layer deposition (ALD) [252] can also be considered. In this case, a mechanistic description of film deposition depends on the precursors chosen. The simplest choice, Sill4 and NH3, usually leads to hydrogen incorporation into
103
ULTRATHINGATE DIELECTRIC FILMS
1E+23
nitridei
4 n m SiOz
Si ,
A
u
u 1E+22 E ~ 1E§ c O
~
E+20
c
With 900C RTA
c
o 1E+19
O
......
~, LZ~....._
Without RTA
-"
1E+18 8
17
25
34
42
51
59
Depth (Angstroms) FIG. 78. Nitrogen profiles by SIMS for silicon nitride/silicon oxide (0.8 nm/4.0 nm) gate dielectrics
before and after 30 s, 900~ postdeposition rapid thermal annealing. Reprinted with permission from [207], 9 1999, Materials Research Society.
the oxynitride films. Gases such as N20 and NO have also conveniently replaced NH3 in this case. Figure 78 shows SIMS profiles of N for a silicon nitride/silicon oxide stack before and after a postdeposition rapid thermal annealing. The annealing step is performed to promote chemical and structural relaxation, as well as to reduce the density of electronic states at the dielectric-silicon interface. The annealing drives the N atoms to the SiO2-Si interface, as shown by a distinctive feature in Figure 78 ("tailing" of the nitrogen signal into the oxide alone was reported as an artifact of SIMS). Interfacial nitrogen concentrations achieved in this manner are reported to be much less than one monolayer. Replacing S i - O bonds at the interface, S i - N is believed to relieve interface strain because of the smaller effective size of N atoms and has proved to yield a physically smoother interface. 1.7.5. REMARKS AND LIMITATIONS CONCERNING ULTRATHIN SILICON OXYNITRIDE FILMS AS GATE DIELECTRICS
Silicon oxynitrides present significant advantages over silicon oxide for application as gate dielectrics: increased resistance to boron penetration, reduced leakage current (due to increased dielectric constant), and increased reliability. Such properties combined with a low density of electronic states at the interface with Si make silicon oxynitrides (and nitride/oxide stacks) the materials chosen to replace
104
KRUG AND BAUMVOL
silicon oxide in current state-of-the-art and near-future technologies. A large number of processing schemes have been devised to produce SiOxNy, and a significant knowledge of reaction mechanisms exists. Although there is possibly room for improvements in the current status of silicon oxynitrides as gate dielectrics, limitations of these materials are already evident. As the offered increase in the dielectric constant is only marginal if compared with SiO2, dielectric films cannot be made much thicker than the current ones. If one further considers that nitrogen must be kept away (except for very small beneficial concentrations) from the interface with silicon----otherwise threshold voltage shifts, degraded channel mobility, and increased density of interface states take over--it becomes clear that silicon oxynitrides do not offer a significant margin for continuing device scaling. Such might be the case for another material, however.
1.8. Alternative (High-k) Gate Dielectric Films The search for an alternative to SiO2 as the main material for gate dielectrics constitutes a new and very lively research area [253,254] because the exponential increase in tunnel current with decreasing film thickness is a fundamental limit on the scaling of gate dielectrics [ 10]. Scaling of CMOS suggests that the use of SiO2-based dielectrics appears to be limited to a range somewhere between 2.0 and 1.5 nm. For 2 nm-thick SiO2 films, for instance, leakage current densities can rise to a few amperes per square centimeter [64, 255]. To reduce transistor area while keeping leakage current at acceptable levels and maintaining the same gate capacitance, an alternative gate dielectric film made with a material of higher dielectric constant is required. If such a material can be used then the thickness of the gate dielectric can be increased proportionally to the increase in dielectric permittivity with respect to that of SiO2 (Eox = 3.9). Many materials with higher dielectric constants (commonly referred to as highk materials) have been suggested as replacements for SiO2, like Ta2Os, TiO2, A1203, and many double (e.g., zirconium silicate) and triple (e.g., barium strontium titanate, BST) oxides [256-261]. (As pointed out by Buchanan [10], "the term 'high-k' refers to a material with dielectric constant significantly higher than that of SiO2. The Greek letter E is more commonly used in the semiconductor industry to represent the relative permittivity of a material. The letter k is found more often in the fields of chemistry and physics." Even though the materials are referred to as high-k, in this chapter the symbol E is used for the relative permittivities.) The ITRS roadmap [9] foresees gate dielectrics scaling and materials as indicated in Figure 79. However, a higher dielectric constant than that of SiO2 (high-k) is not sufficient for an alternative dielectric. A large bandgap, comparable to that of SiO2 (9 eV), and a large energy barrier from the conduction
ULTRATHIN GATE DIELECTRIC FILMS
105
FIG. 79. Gate dielectric scaling and materials. The figure shows how (equivalent) oxide thickness shrinks during ULSI device evolution. It also lists dielectric materials and their dielectric constants. Reprinted with permission from [60], 9 2000, Kluwer Academic Publishers.
band to the gate electrode are also mandatory requirements, otherwise leakage current will be unacceptably high despite the higher dielectric constant. This is a rather restrictive requirement, because for most high-k oxides mentioned in Figure 79, as the dielectric constant increases the band energy gap decreases, following approximately an Eg ,~ 1/e 2 law [262], as illustrated for simple dielectric materials in Figure 80. A1203 is one of the very few exceptions to this rule, as its bandgap is comparable to that of SIO2, and therefore this material and double oxides involving aluminum (like ZrAlxOy) are serious candidates for alternative gate dielectrics, even though their dielectric constants are not exceptionally high. Furthermore, it might be desirable as well as necessary to preserve the outstanding benefits of the low density of electronic states at the SiO2-Si interface as compared with other dielectric materials, which has been instrumental so far in the success of this technology. Therefore, an ultrathin interlayer of silicon oxide, nitride, or oxynitride may still be required between the silicon substrate and the high-k dielectric to minimize interface states and/or to be a diffusion barrier between the layers [60, 263]. The interlayer should be very thin--just enough to have a good-quality interface and diffusion barrier, if needed--to minimize the effect of the serial association of the two capacitances, namely that of the interlayer and that of the high-k dielectric. This is illustrated in Figure 81 [256] for the
KRUG AND BAUMVOL
106
~ E g = 20[ 3/(2 + s ) 12
lO /so ~
~"
~II Si3N4
r~ ~
~keSic
It Ta20~
QTi02
,<_ . . . .
2;
o
~
_
_,
8'0
'
20'6; Dielectric constant
"
100
"
I
FIG. 80. Relationship between bandgap and permittivity for several commonly studied gate dielectric
materials and semiconductors. Reprinted with permission from [262], 9 1997, IEEE. 30
T
..., .... ,....., .... ,..,.,....i
25 r
~
. . . . ,." -- ,-. .- , ' . . . ] .
.
.
.
.
.
.
.
.
.
.
.
.
.
.
s,
.
.
.
.
.
.
.
. ........
L .s.,o:...........................................
.~ 1o "g
5 o
0
10
20
30 40 50 60 70 Tantalum oxide thickness
80
90
100
FIG. 81. Effective dielectric constant of the double-layered Ta205/SiO2 structure vs. Ta205 thickness
calculated assuming two capacitors in series with the dielectric constant of silicon oxide and tantalum pentoxide for 1 nm (solid line) and 3 nm (dashed line) of interfacial SiO2. Reprinted with permission from [256], 9 1998, Elsevier Science.
case o f Ta205 films d e p o s i t e d on t h e r m a l l y g r o w n SiO2 interlayers on Si(001). O n e can clearly see that for an electrical e q u i v a l e n t o x i d e thickness o f the stack to be below, for e x a m p l e , 1.5 n m , the thickness o f the i n t e r l a y e r m u s t stay below 1 nm.
107
ULTRATHIN GATE DIELECTRICFILMS
For any candidate material to be an alternative gate dielectric it must be capable of retaining its properties when submitted to thermal annealing [253], which is inherent to further processing steps after gate dielectric deposition, such as dopant activation, contact silicide formation, and others. The primary concern is chemical stability of the gate oxide on silicon: under thermal annealing the gate dielectric must not change its stoichiometry, undergo deleterious reactions with silicon, or phase segregate, and the amorphous candidates must not crystallize. If cations from the gate dielectric react or diffuse into the silicon channel, the electrical properties will suffer. On the other hand, previous investigations have widely demonstrated [257-261 ] that a postdeposition annealing at moderate temperatures, in dry 02 or N20, of several of the above-proposed gate dielectric materials can bring the leakage current and the density of interface states down to well acceptable limits, without a significant lowering of the dielectric constant due to the formation of a SiO2 layer between the alternative dielectric and the Si substrate. Thus, the technologically imperative search for an alternative material to replace SiO2 as a gate dielectric is a complicated task, requiting information on reaction with silicon, oxygen diffusion, stoichiometry, film crystallization, and phase segregation. One clear illustration of the difficulties is given in Figure 82, showing that the use of BST--a triple oxide that can have very high permittivity-as a gate dielectric for CMOS applications may be prevented because of eventual
1000 -
25~
900
800
~:~ 7OO "~
600
n
50O 400 300 200
5o.5
,
51'.o
'
11
'
I
'
I
'
5.5 2.o a2.5 5 .o Percentage of titanium
'
5 .s
'
I
54.0
FIG. 82. Plots of permittivity of (Ba0.7 Sr0.3)TIO3 (BST) produced by metalorganic chemical vapor
deposition (MOCVD) as a function of the (Ba + Sr)/Ti stoichiometry. Reprinted with permission from [253], 9 2000, Macmillan.
108
KRUG AND BAUMVOL
minor changes in stoichiometry during thermal annealing in vacuum or in oxygen, leading to a very high decrease in the permittivity. Nevertheless, improvements in device electrical characteristics are being reported for capacitors and transistors made with dielectrics alternative to SIO2. A few illustrations are given in the next section. 1.8.1. ELECTRICAL CHARACTERISTICS Different aspects determine the electrical characteristics of high-k dielectrics on Si; the most important ones concern the deposition process (method, substrate temperature, and chemical precursors), intermediate layer nature and thickness (intentionally grown or not), and postdeposition annealing. Other factors also may be decisive, such as the nature of the gate electrode (poly-Si, A1, Au, etc.). Figure 83 shows the I - V characteristics of capacitors made with 9 and 12 nm Ta205 films deposited by rapid thermal chemical vapor deposition (RTCVD) on Si(001) substrates in which 1.5 nm intermediate silicon nitride layers were thermally grown by rapid thermal nitridation (RTN) in NH3 [263]. There is a noteworthy reduction in leakage current as compared with capacitors made with SiO2 of the same equivalent thickness (EOT) [255]. Figure 84 shows I - V and C - V characteristics for MIS devices made with Ta205 films and approximately 0.5 nm silicon oxide or nitride intermediate layers deposited by plasma-enhanced chemical vapor deposition (PECVD) methods [264]. The C - V data for these Ta205
lO -~ lO 4
AI/TaaOslSlNIP-Sl
Gate Injection
10 a 104 , ~ 104 r162 104
4., C L " U
400'C
10 "T 1 04 104 I 0.1. 10 "11 I0 "n 10"" 10 "14
270(3
o
.1
-2 -3 Gate Voltage
Area: l.TXl04 r 3 EOT: 2.Snm SIN: 1.5nm TuaOs: 9am (V)
-4
-5
FIG. 83. I - V characteristics of an A1/Ta205/Si3N4/Si capacitor where the Si3N4 is an ultrathin buffer layer in each sample. Reprinted with permission from [263], 9 2000, The Electrochemical Society, Inc.
109
ULTRATHIN GATE DIELECTRIC FILMS
300
NMOS
250
Ta=Os_SiOz
(b)
Alloy
250
- , , ~ , ~ ~z~
A
300
IT,,o,] PMOS
NMOS
E 200
=200
0 6
.~e~./(1)
"~~
/(2)
150
u 150
t
o..
= 100 o
r
O) - - e - (H)- - o - -
100
(iii)
0
,
,
-3.0
103 102 ~ 101 U
.
.
. . . . .
,
-2.0
i
.
.
-1.0
,
1. . . . . . .
i
0.0
1.0
.
Gate Voltage, V o (V)
.
.
-
-
I
J.,,
(6)~,
~
/
-
-
-
NMOS
PMOS
~E 101 u lo 0 .,=
-fi,v (41..,,,..:~,.
(1)(2)(3)
~
(n --e-(i~)--o-(ill)
;;% "'~
"-
.:, ,o,
9 ,=
j
.
.
.
.
-1.0
.
t
0.0
r
" 9
,o %
i
-2.0
-
9 ,
-1.0
.
.
~-,~-
0.0
.
.
i
. . . .
1.0
Gate Voltage, Vg (V)
2.0
,
9
2.o
1.0
[Ta2Os-SiO2 [_ Alloy AIIo
NMOS
PMO$ . . ' r
~ t ~~ /(1)
;2) %~(3)
10.2
104
(i) --e-(ii)
~
(ill)- - t - -
10-5
9
9149 ,
,
Gate Voltage, Vg (V)
10-3
-%
9 == 9 =o 9 o
10-5 -3.0
,
-2.0
102 (d)
1 0 -2
= 10-3 ID L. Lo 10 .4
~
-3.0
103
10 "I
10~
0
2.0
(o)
100
~
-
PMOS
50
50
"3
( 4 ) ~
(3)
10-6
-3.0
~e ~o
.,~
;o i=o
,:.:o
-
Ib
,,= -o .=
.......... -2.0 -1.0
". . . . . . . . 0.0 1.0
Gate Voltage, Vg (V)
2.0
FIG. 84. C - V data for NMOS and PMOS capacitors. The solid circles are for direct deposition
on HF-last Si, the open circles for remote plasma-assisted oxidized (RPAO), and the solid squares for RPAO/remote plasma-assisted nitrided interfaces. (a) Ta20 5 dielectrics with the following EOT: (1) 1.09 nm, (2) 1.20 nm, (3) 1.17 nm, (4) 1.19 nm, (5) 1.30 nm, and (6) 1.29 nm. (b) Ta silicate alloys: (1) 1.40 nm, (2) 1.35 nm, (3) 1.33 nm, (4) 1.45 nm, (5) 1.51 nm, and (6) 1.58 nm. The areas of the devices are all the same, 10 - 4 cm -2. (c) and (d) show I - V data, respectively, for the MOS-C devices in (a) and (b). Reprinted with permission from [264], 9 2000, The Electrochemical Society, Inc.
110
KRUG AND BAUMVOL
devices with plasma-processed interfaces (oxide or nitride intermediate layers) gave no evidence of fixed charge at the dielectric-Si interface or at the internal interface of the stacked structure, whereas devices prepared on HF-last Si displayed negative flatband shifts corresponding to a fixed positive charge of mid1011 cm -e. Interface nitridation produced 10-fold reductions in tunneling current, as it did in combination with SiOe dielectrics. The C - V characteristics of HfSixOy and ZrSixOy films approximately 5 nm thick, deposited by reactive sputtering or reactive e-beam evaporation, are shown in Figure 85 [265]. C - V curves for an Au/Hf6Sie9065/n+-Si structure are shown in Figure 85a, where the silicate film was deposited directly on Si at 500~ (no intentional intermediate layer) and post-deposition annealed at 450~ in forming gas. The largest value of measured capacitance density in accumulation corresponds to E ~ 11 or EOT -- 1.78 nm. The C - V characteristics for an Au/Zr4Si31065/n+-Si structure are shown in Figure 85b, corresponding to EOT 2.08 nm. The results shown in Figure 85 also demonstrate that the Au electrode, with a work function ~B -- 5.3 eV, creates a flatband condition at zero bias. A comparison of the C - V curves with ideal ones indicates that the interface density of electronic states is Dit ~ (1-5) • 10 ll cm -e eV-1, a figure that can be substantially reduced to close to the SiOe values by optimization of the postdeposition annealing. The corresponding I - V characteristics are shown in Figure 86, where one notices that both alternative dielectrics, HfSixOy and ZrSixOy, display leakage currents several orders of magnitude smaller than SiOe films with the same EOT. Devices that were voltage-ramped to hard breakdown showed breakdown fields of EBD > 10 MV cm -1 . C - V characteristics of Al-gate capacitors and 6.5 nm-thick AleO3 films deposited on n-type Si without an intentional intermediate layer are shown in Figure 87. It can be seen that the Dit is rather low. The quasi-static C - V measurements indicate a small d.c. leakage for the larger voltages. By changing the ramp rate, it is verified that this leakage does not distort the quasi-static C - V in the relevant interval - 1 to 0 V. The high-frequency C - V was ramped from - 2 to + 2 V and back. Very little hysteresis was observed in this voltage range. Scanning to larger voltages indicated the occurrence of some electron trapping, evidenced by a flatband shift toward more positive voltages. Finally, I - V characteristics are shown in Figure 88 for 12.5 nm GdeO3 films directly deposited on Si(001) by reactive e-beam evaporation [48]. The as-deposited film is leaky, but a 10-min annealing in Oe at 500~ or 700~ resulted in a dramatic improvement. Estimates of the thickness of the intermediate SiOe layers formed after annealing in Oe obtained from C - V characteristics are shown in Figure 89 [48] along with the average dielectric constants of the films. Electrical characteristics of several other alternative dielectric films are becoming available in the literature, some of them constituting excellent candidates to replace SiOe. Many different illustrations of the effects of the above-mentioned -
-
111
ULTRATHIN GATE DIELECTRIC FILMS
2O
.
~.-1
~
-,
t
,
-r
1
-
'
" " ' ~
'"
}-
--T
~:--
~r
"
.
I
9 "1
"
1-
,
I
"
'
(a)
E
.-::_ oo 2z 10 kHz
15
. ~
"
,,z,4 "
, , # , -
r,,O
c,-
Eb o c-
_~ 10 0
5
-2
-1
0
1
2
Gale Voltage (V)
"E ~6
(b)
::L
~4
- . - loo k . z
.,,f--
t
s
m 10
o
-g
F
8
~,'
i
9
!
=
02 / 500~ -2
-1
0 1 Gate Voltage (V)
9 .-
! 10 rain 2
FIG. 85. C-V curves for thin HfSixOy and ZrSixOy films, with Au electrodes (A --- 1.76 x 10 -4 cm2). (a) 50-,~ Hf6Si29065 film on n+-Si, deposited at 500~ and subsequently annealed in forming gas at 450~ for 30 min. The Cmax/A value in accumulation yields EOT = 17.8 ,&. (b) 50 ,~ Zr4Si31065 film on n+-Si, deposited at 25~ and subsequently annealed in 02 at 600~ for 10 min. The capacitance density in accumulation yields EOT = 20.8 A. These films have some dispersion near zero bias, which indicates the presence of interface traps. Reprinted with permission from [265], 9 2000, American Institute of Physics.
112
KRUG AND BAUMVOL 1 0 .2
E
gr,..3l ~ ,-
!',r
,
I'
'
9
"
+--I
.
.
.
.
l
'
+
"
"
+ Au / HfsSi 90+5 / n + Si 2 10 .3 9 N2: H 2 / 450~ / 30 min 0 -4
I
.
.
.
.
---r-
9 '"~I
Accumulation
.=.-
1 0-5
"~ 10 G t_
o
:i
10.7 10"•
i 0 -2
/.
~
i
.
.
.
.
!
-2
F
'
I
.
-1
.
.
.
.
.
.
.
1
.
~
.
.
.
.
.
,
,
0 1 Gate Voltage (V)
i
'
"
'-
9
I
.
.
.
.
I
1
'
9
9
'
I
1
,
,1
'
,r
2
L Au / Zr 4Si3~Oss / n + Si 1 0-3 E o
;~ 10 -4
.# = 10" 5 O
10-s L_
~ ,o, 10 8
iol ~
t
-2
\ .
.
.
.
I
-1
. . . .
'%.
I
.
0 1 Gate Voltage (V)
2
FIG. 86. I - V curves for films shown in Figure 85. (a) Au/50 ,~ Hf6Si29065/n+-Si. (b) Au/50 ik Zr4Si31065/n+-Si. These films show extremely low leakage currents, which are below 2 x 10 - 6 A cm -2 at 1.0-V gate bias in accumulation. The I - V curves are well behaved and appear nearly symmetrical about zero bias. This suggests nearly equal barrier heights in the two polarities. Reprinted with permission from [265], 9 2000, American Institute of Physics.
aspects like deposition process, intermediate layer, postdeposition annealing, and gate electrode on the electrical characteristics are also being explored. In this section some illustrative examples were given, mainly indicating that physicochemical stability against thermal annealing must be fully understood before
113
ULTRATHIN GATE DIELECTRIC FILMS
0.8
9
'
"
1
I-
8.0nm AI203
i
,'"
on n-type Si (HF last) E
0.6
"-"
0.4
0 U_ ',e, 0
i
,
]
". . . .
~
0 f0
~o ~
0.2 -
1:3..
(.9
.............. 0.0
.......
;--7 . . . . . . . .
9
~
~ I--.
~
-1
~
~
Af gate capacitors: o QS-CV (dV/dt = 0.1 V/sec) . HF-CV (100 kHz)
I
,
..[
0
.
1
Gate bias (V) FIG. 87. Quasi-static (open symbols) and high-frequency (solid symbols) C - V characteristics of an AI/A1203/n-Si structure. Reprinted with permission from [266], 9 2000, American Institute of Physics. '
r
'
i
,
!
i
,
i
i
,
,~e-
'~ .....
eeee ol~176
o .,
10 -2
L~ "~
i
10~
..-..
9
. - - 9
eol"~
-
el,
J
eoe ~
1 0 -4
1 0 "6
C.~ 104 10
"10
Gate Potential (V) FIG. 88. I - V data for a 12.5-nm-thick Gd203 film annealed for 10 min in oxygen: solid line, 700~ dashed line, 500~ dotted line, as-deposited; circles, calculated Fowler-Nordheim current for ideal SiO2 layer 2.05 nm thick. Reprinted with permission from [48], 9 2001, The Electrochemical Society, Inc.
any of these alternative dielectrics can be incorporated into Si-based device technology. One word of caution: the strict requirements of breakdown lifetime will also apply to novel high-k gate dielectrics. Because the breakdown properties are very material-dependent, systematic studies of reliability of the novel high-k materials will be needed to evaluate their applicability. Despite the reduced leakage, some of the new materials may not pass the reliability (lifetime) criterion.
114
KRUG AND BAUMVOL 3.0
,• 2.5 c~ 9
2.0
o
1.5
.O
e,i
o'3
'
|
.
,
.
,
i
| , i (6.8/ (6.6)' ._._.._----. 9 .__----,
(7.0) 1"I ~
(7.3)
(7.5)
(8.6)
1.0-
-
o.si 0.0
. , . , .
.
.
.
.
450 5oo sso 6;o 6;o 760 7;o 8;o 8so Anneal Temp. (~
FIG. 89. C - V analysis estimate of the thickness of the SiO2 interface layer as a function of annealing temperature for 8-nm-thick Gd203 films with (circles) and without (squares) a previous 10-min vacuum anneal at 700~ The anneals were done in oxygen for 10 min. The average dielectric constants are shown in parentheses at the thickness data points. Reprinted with permission from [48], 9 2001, The Electrochemical Society, Inc.
1.8.2.
PHYSICOCHEMICAL
CHARACTERISTICS
The structure and composition of alternative, high-k dielectric films of potential interest as replacements for SiOe that have been investigated so far may have rather different characteristics: (i) they can be amorphous or epitaxial with the c-Si substrate. Amorphous films will most probably be initially used, whereas epitaxial films will constitute a further improvement in latter stages; (ii) stoichiometry and chemical bonds can be different, depending on deposition methods and parameters; (iii) the sharpness of the dielectric-Si interface is a critical aspect, similar to what happens in the case of the SiOe-Si interface; (iv) the as-deposited structures will be submitted to thermal annealing in vacuum, forming gas, or Oe, and the resulting structures and compositions will be a direct consequence of the physicochemical stability of the different thin film materials on Si; (v) atomic transport and chemical reaction may be inhibited by ultrathin, intermediate oxide, nitride, or oxynitride layers. They can be intentionally grown or deposited on the c-Si substrate before high-k film deposition or be present unintentionally, as a result of the formation of silicon oxide on the c-Si surface after cleaning or during high-k film deposition. A few examples are given here with the only aim of illustrating the variety of cases and the need for detailed investigation of the above-mentioned characteristics. Figure 90 shows high-resolution transmission electron microscopy (HRTEM) micrographs of TazOs films deposited by CVD at temperatures below 400~ [258]. Si substrates were cleaned in HF solution before deposition to remove any native oxide, and even so the as-deposited films showed a 2 nm interfacial region with stoichiometry close to SiO2 and 7 nm of amorphous Ta205 on top. Thermal
ULTRATHIN GATE DIELECTRIC FILMS
115
FIG. 90. High-resolution TEM micrographs of tantalum oxide and interfacial region after (a) a lowtemperature plasma anneal and (b) a rapid thermal anneal in oxygen at 800 ~C. Crystalline regions and growth of the interfacial region can be observed after the thermal anneal. Reprinted with permission from [258], 9 1998, American Institute of Physics.
annealings at and above 800~ induced thickening of the SiO2 layer and partial crystallization of the Ta205 layer. A1203 films deposited by atomic layer chemical vapor deposition (ALCVD) on HF-cleaned Si substrates display atomically sharp interfaces and no detectable intermediate SiO2 layer, as shown in Figure 91 [266]. When a 0.5 nm SiO2 layer is intentionally grown on the surface of Si before A1203 deposition, the A1 and Si profiles are as shown in Figure 92 [267]. Thermal annealing in isotopically enriched oxygen (1802) leads to incorporation of 180 and transport of A1 and Si as shown in Figure 92. Angle-resolved X-ray photoelectron spectroscopy (ARXPS) of Si 2p electrons indicates the presence of SiO2 in the near-interface region in the as-deposited samples, and the formation of Si-A1-O compounds in the nearsurface region after thermal annealing in O2, as shown in Figure 93.
116
KRUG AND BAUMVOL
FIG. 91. Cross-sectional HRTEM of an A1203 film deposited on HF-treated Si. Reprinted with per-
mission from [266], 9 2000, American Institute of Physics.
Figure 94 illustrates the dependence of the morphology of T i O 2 films deposited by CVD on the c-Si substrate temperature during deposition [268]. Although they are much thicker than the approximately 5 nm films that will be necessary for FET insulators, these thick films allow relatively easy electron microscopy of the grain structure. At the lowest substrate temperature (~ 170~ completely amorphous films were obtained (Fig. 94a). At 200~ anatase crystals in an amorphous background were observed (Fig. 94b). Increasing the temperature further led to rough anatase films (Fig. 94c). At 500~ the films became considerably smoother (Fig. 94d), with a columnar structure and a grain width of about 10 to 20 nm. Films grown at a substrate temperature above 600~ were primarily rutile. Finally, Figures 95 and 96 show HRTEM images of Hf and Zr silicate films, respectively, deposited on HF-cleaned Si by reactive sputtering or e-beam evaporation [265]. There are no visible intermediate layers, and the films are amorphous with sharp interfaces with the Si substrates. Hf 4f and Zr 3d XPS analyses [265] of these films are shown in Figure 97, indicating the presence of H f - O or Z r - O bonds and no H f - S i or Z r - S i bonds.
117
ULTRATHIN GATE DIELECTRIC FILMS
1 0 0 ~
200 >-
150
f / / %% ~t_\
~ / E ~oo I.'~ E ~- ,,~,? (.9
5O /
20
~
'~_
~,..~ 1.0
2.0
~.- 800~ 30 sJ -~ I , , 4.0 5.0 6.0
3.0
surface ~80 i ;~:> li
(D >" 800 r-.m
._.20
'
1
AI2OJSi02
~
! ; ,~ i' ~~e.~e,.~._
400 -
4 6 8 10 Depth (nm) I"-'E- before '8021 /"~'" 700~ 30 st
I"~ 7oo~ 60 sl
~'~#)-
0.0
<:
2
R%
r I'
1200
0
~,.~:, "~%
.......... "
2
-
.'-....?
t
[Sii/Si
.
.
.
.
:
.
....
. .~ '.: -.- ~ -
- .
-
4 6 8 10 Depth (nm) t "'b"" 700~ 60s I ---~ 800~ 30 s '~'& ~'~. ~ J " ~ ' - Si18021Si
_~~,- , -,~,t~.-~..~....~..~.__---~-.~~_.~_
0.0 1.0 400 - surface 29Si I ~ ~176
"o (1) 300
>.. , m
E 20o E 9 loo
,,e"
;
2.0
~ ~,~ ,o~
r
t f
.~ ~
lOOt
~ ~o~ ~6o~
~t ,,~,Z~ ,7 ~'-' Lt~( ___,~_ ~ ' =
0.0
3.0
4.0
5.0
6.0
-"5-- before ~02 - E - 800~ 30 s --6- Si wafer ,.- ,
~,~o~/s~o~t! E I~
I I
0 L. . . . . . . . . . . I -. 2 / -0 2 4 6 8 10 Depth (nm) I,,
2.0
,
i
4.0
E- E R (keV)
~
,I,
6.0
,
_
8.0
FIG. 92. Excitation curves of the 27Al(p,y)28Si (top), 180(p,ot)15N (center), and 29Si(p,y)30p (bottom) nuclear reactions around resonance energies, with the corresponding profiles in the insets for ultrathin A1203/SiO2/Si structures submitted to rapid thermal annealing in 180 2. One hundred percent of 27A1, 180, and 29Si correspond, respectively, to their concentrations in A120 3, A12180 3, and Si. Excitation curves from a standard Si1802 film and from a virgin Si wafer are shown as a reference to the points on the energy scales corresponding to the nuclides at the sample surface. The arrows in the insets indicate the positions of the interfaces before rapid thermal annealing. Reprinted with permission from [267], 9 2000, American Physical Society.
118
KRUG AND BAUMVOL
AI 2p
Si 2p
/~
45~
,,',, \ be!ore'~o~.______.__.~_
/~
__.,' ~oo~ .
.
.
.
.
.
.
.
30, .
.
.
.
.
~
.
.
.
.
.
.
.
.
.
.
....
65~
e-
e'~O O~
E O O
/~
IX.
70~
_JA~k!EEIL'_~ .
?o
.
.
8o6b-T~-s
.
t . -
80
-
~
I
go
.
.
~
.
.
.
.
.
loo
BindingEnergy(eV)
~1o
FIG. 93. XPS spectra showing the A1 2p and Si 2p photoelectron peak regions for different take-off
angles of AI203/SiO2/Si structures submitted or not to rapid thermal annealing in 1802. Reprinted with permission from [267], 9 2000, American Physical Society.
1.8.3. REMARKS AND PERSPECTIVES CONCERNING ALTERNATIVE (HIGH-k) MATERIALS AS GATE DIELECTRICS
A great deal remains to be learned before identification of the most attractive alternative gate dielectrics [253]. Interesting compositions have been identified, but none has yet been able to overcome all of the associated difficulties. Most certainly, this corresponds to insufficient understanding of the materials in question, the interfaces they form, and the technologies required to produce the sensitive
119
ULTRATHIN GATE DIELECTRIC FILMS
600 nrn
Effect of deposition temperature of TiO2 films grown from titanium nitrate. Deposition temperatures were (a) 170~ (b) 210~ (c) 340~ and (d) 490~ Reprinted with permission from [268], 9 1999, IBM Technical Journals.
FIG. 94.
processing equipment needed for accomplishing these goals. It seems, however, that the issues mentioned above are being addressed systematically, and the resuits of these fundamental investigations represent real progress, as documented in a recent review article [269].
120
KRUG AND BAUMVOL
FIG. 95. TEM cross section of a 50-/~ Hf6Si29065 film with an Au cap (a) as deposited at 500~ and (b) after annealing at 800~ for 30 min in N 2. The silicate film remains amorphous and stable, with no sign of reaction after the anneal. Reprinted with permission from [265], 9 2000, American Institute of Physics.
FIG. 96. TEM cross section of a 50-/~ Zr4Si31065 film with an A1 cap (a) as deposited at 500~ and (b) after annealing at 800~ for 30 min in N2. The silicate film remains amorphous and stable, but the slight contrast difference at the A1 interface indicates a possible reaction. Reprinted with permission from [265], 9 2000, American Institute of Physics.
121
ULTRATHIN GATE DIELECTRIC FILMS
32
_
_
,
.
.
.
.
.
.
.
.
.
,.,,,
.
~
~. 24
=
16
.
.
.
r
H
f
a
i
Hf-Si
~
20
, .
! I
28
""
,
'
s,i
12
(b) 24
22
20 18 16 14 Binding Energy (eV)
12
25 20. "-:'= 15. E, 10c e--
Zr,Si-O
._./'
Jl
fl
5 0
(a)
190 188 186 184 182 180 178 176 Binding Energy (eV) FIG. 97. (Top) Hf 4 f XPS features for (a) thin Hf6Si29065 film, (b) thick HfO2 film, and (c) thick
O-rich HfSi2 film. No evidence of Hf--Si bond formation is observed for the Hf-silicate film. (Inset) Oxygen ls XPS features for (at) thin Hf6Si29065 film, (b t) thick HfO2 film, and (c t) thick O-rich HfSi 2 film. Silicate formation (vs. metal oxide) is confirmed by the O ls feature. (Bottom) XPS data with Zr 3d features of (a) a ZrsSi31064 film and (b) an O-rich ZrSi 2 film. No evidence of Z r - S i bond formation is observed for the Zr-silicate film. Reprinted with permission from [265], 9 2000, American Institute of Physics.
122
KRUG AND BAUMVOL
1.9. Final Remarks Very few areas of human activity have possibly experienced such an accelerated evolution as that of microelectronics. Because of silicon and its formidable oxide--not to mention the MOSFET device---originally large, massive, and expensive machines (which are nonetheless marvels of creation) have become portable and cheap to the point of giving to almost anyone on Earth a computing power never dreamed of by early generations. This astonishing evolution, which has been both pulling and pushing itself for more, is now seriously threatened. The remarkable performance of SiO2 in passivating Si is now limited by such diverse and central issues as leakage current, reliability with respect to dielectric breakdown, and masking capability. Although atomic-scale smoothness and deuterium incorporation into device structures may be of some help, the need for an alternative dielectric has finally become evident. Silicon oxynitrides emerged as potentially useful materials for application in gate dielectric films not very long ago. After significant efforts, much has been learned about them, to the point where they are now state of the art for high-performance applications. Fundamental improvements relative to SIO2, however, are marginal, and a limit to the applicability of oxynitrides has already been foreseen. One can expect them to be in use until 2005 or so. High-k materials for application as gate dielectrics constitute at present a very active research area. From the significant wealth of knowledge already available, it could be said that ZrSiO4, given its thermodynamic stability, is a serious candidate as a substitute for silicon oxynitrides. It could be followed by Ta205 and TiO2, and finally by a single crystal dielectric. Among the speculations, it seems to be that an ultrathin silicon oxynitride layer will continue to provide the interface to silicon, now composing a stack with a high-k material. Finally, it should be said that it is also possible that instead of a continued evolution the next step may be a revolution, like that of solid-state devices about 40 years ago. Revolutionary or not, gate dielectrics will surely take part in its preparation.
Acknowledgments The authors express their gratitude to their working team at UFRGS for conclusive support. Special thanks are due to Prof. F. C. Stedile, Prof. T. D. M. Salgado, Prof. J. Morais, Prof. R. M. C. da Cunha, Prof. H. I. Boudinov, Prof. J. P. de Souza, E. B. O. da Rosa, C. Radtke, R. P. Pezzi, R. Brand,o, and L. Miotti.
ULTRATHIN GATE DIELECTRIC FILMS
123
References 1. R. E Pierret, "Semiconductor Device Fundamentals." Addison-Wesley, Reading, MA, 1996. 2. E. H. Nicollian and J. R. Brews, "MOS (Metal Oxide Semiconductor) Physics and Technology." Wiley, New York, 1982. 3. R. M. Warner, Jr., and B. L. Grung, "MOSFET Theory and Design." Oxford Univ. Press, New York, 1999. 4. J.W. Mayer and S. S. Lau, "Electronic Materials Science: For Integrated Circuits in Si and GaAs." Macmillan, New York, 1988. 5. R. H. Dennard, E H. Gaensslen, H. N. Yu, V. L. Rideout, E. Barsous, and A. R. LeBlanc, IEEE J. Solid-State Circuits SC-9, 256 (1974). 6. Y. Taur, IEEE Spectrum 36, 25 (1999). 7. P.S. Peercy, Nature (London) 406, 1023 (2000). 8. G.E. Moore, Electronics 38, 114 (1965). 9. Semiconductor Industry Association, "International Technology Roadmap for Semiconductors," 1999 edn. International Sematech, Austin, TX, 1999. 10. D.A. Buchanan, IBM J. Res. Dev. 43, 245 (1999). 11. L. Feldman, E. P. Gusev, and E. Garfunkel, in "Fundamental Aspects of Ultrathin Dielectrics on Si-based Devices," NATO Science Series, Vol. 47 (E. Garfunkel, E. P. Gusev, and A. Vul, Eds.), pp. 1-24. Kluwer, Dordrecht, the Netherlands, 1998. 12. D. A. Buchanan and S.-H. Lo, in "The Physics and Chemistry of SiO2 and the SiSiO2 Interface" (H. Z. Massoud, E. H. Poindexter, and C. R. Helms, Eds.), Vol. 3, pp. 3-14. Electrochemical Society, Pennington, NJ, 1996. 13. D.A. Buchanan and S.-H. Lo, Mater Res. Soc. Symp. Proc. 567 (1999). 14. B. Balland and A. Glachant, in "Instabilities in Silicon Devices" (G. Barbottin and A. Vapaille, Eds.), Vol. 3, Chap. 1. Elsevier, Amsterdam, 1999. 15. W. Kern, Ed., "Handbook of Semiconductor Wafer Cleaning Technology." Noyes, Park Ridge, NJ, 1993. 16. W. Kern and D. A. Puotinen, RCA Rev. 31,187 (1970). 17. M. Meuris, P. M. Mertens, A. Opdebeeck, H. E Schmidt, M. Depas, M. M. Heyns, and A. Philipossian, Solid State Technol. 38, 109 (1995). 18. T. Hattori, T. Osaka, A. Okamoto, K. Saga, and H. Kuniyasu, J. Electrochem. Soc. 145, 3278 (1998). 19. T. Ohmi, J. Electrochem. Soc. 143, 2957 (1996). 20. M.M. Heyns, T. Bearda, I. Cornelissen, S. De Gendt, R. Degraeve, G. Groeseneken, C. Kenens, D. M. Knotter, L. M. Loewenstein, P. W. Mertens, S. Mertens, M. Meuris, T. Nigam, M. Schaekers, I. Teerlinck, W. Vandervorst, R. Vos, and K. Wolke, IBM J. Res. Dev. 43, 339 (1999). 21. A. E M. Leenars, J. A. M. Huethorst, and J. J. Van Oekel, Langmuir 6, 1701 (1990). 22. S. Verhaverbeke, M. Meuris, P. W. Mertens, M. M. Heyns, A. Philipossian, D. Gr~if, and A. Schnegg, in "International Electron Devices Meeting Technical Digest," p. 71. New York, 1991. 23. H. E Okorn-Schmidt, IBM J. Res. Dev. 43, 351 (1999).
124
KRUG AND BAUMVOL
24. M. Depas, T. Nigam, K. Kenis, M. M. Heyns, H. Sprey, and R. Wilhelm, in "Proceedings of the 3rd International Symposium on Ultra Clean Processing of Silicon Surfaces" (M. Heyns, M. Meuris, and P. Mertens, Eds.), p. 291. Acco, Leuven, 1996. 25. M. Hirose, M. Hiroshima, T. Y. Asaka, and S. Miyazaki, J. Vac. Sci. Technol., A 12, 1864 (1994). 26. C.J. Sofield and A. M. Stoneham, Semicond. Sci. Technol. 10, 215 (1995). 27. R.I. Hedge, M. A. Chonko, and P. J. Tobin, J. Vac. Sci. Technol., B 14, 3299 (1996). 28. G. Gould and E. A. Irene, J. Electrochem. Soc. 134, 1031 (1987). 29. J.-J. Ganem, S. Rigo, I. Trimaille, and G.-N. Lu, Nucl. Instrum. Methods Phys. Res., Sect. B 64, 784 (1992). 30. P.O. Hahn, M. Grundner, A. Schnegg, and H. Jacob, Appl. Surf. Sci. 39, 436 (1989). 31. E C. Stedile, I. J. R. Baumvol, I. E Oppenheim, I. Trimaille, J.-J. Ganem, and S. Rigo, Nucl. Instrum. Methods Phys. Res., Sect. B 118, 493 (1996). 32. E P. Fehlner, J. Electrochem. Soc. 119, 1723 (1972). 33. B.E. Deal and A. S. Grove, J. Appl. Phys. 36, 3770 (1965). 34. B.E. Deal, J. Electrochem. Soc. 127, 979 (1980). 35. A.C. Adams, T. E. Smith, and C. C. Chang, J. Electrochem. Soc. 127, 1787 (1980). 36. P.J. Caplan, E. H. Poindexter, B. E. Deal, and R. R. Razouk, J. Appl. Phys. 50, 5847 (1979). 37. E.H. Poindexter, P. J. Caplan, and R. R. Razouk, J. Appl. Phys. 52, 879 (1981). 38. H. Z. Massoud, J. D. Plummer, and E. A. Irene, J. Electrochem. Soc. 132, 1745 (1985). 39. H. Z. Massoud, J. D. Plummer, and E. A. Irene, J. Electrochem. Soc. 132, 2685 (1985). 40. H. Z. Massoud, J. D. Plummer, and E. A. Irene, J. Electrochem. Soc. 132, 2693 (1985). 41. L.N. Lie, R. R. Razouk, and B. E. Deal, J. Electrochem. Soc. 129, 2828 (1982). 42. E.H. Nicollian and A. Reisman, J. Electron. Mater 17, 4 (1987). 43. E.A. Irene, J. Appl. Phys. 54, 5416 (1983). 44. H. Fukuda, T. Arakawa, and S. Ohno, IEEE Trans. Electron Devices 39, 127 (1992). 45. W. Ensinger, Nucl. Instrum. Methods Phys. Res., Sect. B 127/128, 796 (1997). 46. R. Kraft, T. P. Schneider, W. W. Dostalik, and S. Hattangady, J. Vac. Sci. Technol., B 15, 967 (1997). 47. J. R. Conrad, J. L. Radtke, R. A. Dodd, E J. Worzala, and N. C. Tran, J. Appl. Phys. 62, 4951 (1987). 48. D. Landheer, J. A. Gupta, G. I. Sproule, J. P. McCaffrey, M. J. Graham, K.-C. Yang, Z.-H. Lu, and W. N. Lennard, J. Electrochem. Soc. 148, G29 (2001). 49. T.P. Ma, IEEE Trans. Electron Devices 45, 680 (1998). 50. T. Suntola, Appl. Surf. Sci. 100/101,391 (1996). 51. R.M. Warner, Jr., and B. L. Grung, "Semiconductor-Device Electronics." Holt, Rinehart and Winston, Philadelphia, 1991. 52. S. Okhonin, A. Ils, D. Bouvet, P. Fazan, G. Guegan, S. Deleonibus, and E Martin, Mater Res. Soc. Symp. Proc. 567, 253-258 (1999). 53. C.-Y. Chang, C.-C. Chen, H.-C. Lin, M.-S. Liang, C.-H. Chien, and T.-Y. Huang, Microelectron. Reliability 39, 553 (1999).
ULTRATHIN GATE DIELECTRIC FILMS
54. 55. 56. 57. 58. 59. 60.
61. 62.
63. 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76.
77. 78. 79.
125
D.J. DiMaria, J. Appl. Phys. 65, 2342 (1989). D.A. Buchanan and D. J. DiMaria, J. Appl. Phys. 67, 7439 (1990). E. Cartier, D. A. Buchanan, and G. J. Dunn, Appl. Phys. Lett. 64, 901 (1994). D.A. Buchanan, D. J. DiMaria, C.-A. Chang, and Y. Taur, Appl. Phys. Lett. 65, 1820 (1994). D.A. Buchanan, J. H. Stathis, E. Cartier, and D. J. DiMaria, Microelectron. Eng. 36, 329 (1997). D.J. DiMaria and J. H. Stathis, Appl. Phys. Lett. 70, 2708 (1997). E. E Gusev, in "Defects in SiO2 and Related Dielectrics: Science and Technology," NATO Science Series II: Mathematics, Physics and Chemistry, Vol. 2 (G. Pacchioni, Ed.), pp. 1-23. Kluwer, Dordrecht, the Netherlands, 2000. R. Degraeve, E Roussel, H. E. Maes, and G. Groeseneken, Microelectron. Eng. 36, 1651 (1996). R. Degraeve, J. L. Ogier, R. Bellens, E Rousel, G. Groeseneken, and H. E. Maes, in "Proceedings of the International Reliability Physics Symposium." IEEE, New York, 1996. J.H. Stathis and D. J. DiMaria, in "International Electron Devices Meeting Technical Digest," p. 167. IEEE, New York, 1998. D.A. Buchanan and S. H. Lo, Microelectron. Eng. 36, 13 (1997). I.J.R. Baumvol, Surf. Sci. Rep. 36, 1 (1999). T. Hattori, M. Fujimura, and H. Nohira, Mater. Res. Soc. Symp. Proc. 567, 163 (1999). H. Winick, Ed., "Synchrotron Radiation Sources," Series on Synchrotron Radiation Techniques and Applications, Vol. 1. World Scientific, Singapore, 1994. L. C. Feldman and J. W. Mayer, "Fundamentals of Thin Film and Surface Spectroscopy." North-Holland, New York, 1986. R.H. Schaus and R. J. Smith, "Auger Electron Spectroscopy." Montana State Univ., Bozeman, MT, 1992. Available at http://www.sopra-sa.com/ellipso.htm. Available at http://www.beaglehole.com/elli_intro/elli_intro.html. H.G. Tompkins and W. A. McGahan, "Spectroscopic Ellipsometry and Reflectometry: A User's Guide." Wiley, New York, 1999. H. G. Tompkins, "A User's Guide to Ellipsometry." Academic Press, New York, 1993. E Hollins, Vacuum 45, 705 (1994). Y.J. Chabal, G. S. Higashi, and S. B. Christman, Phys. Rev. B: Solid State 28, 4472 (1983). A. Benninghoven, E G. R~idenauer, and H. W. Werner, "Secondary Ion Mass Spectrometry: Basic Concepts, Instrumental Aspects, Applications, and Trends." Wiley, New York, 1987. P.J. Chen and R. M. Wallace, J. Appl. Phys. 86, 2237 (1999). W. A. Grant, in "Methods of Surface Analysis" (J. M. Walls, Ed.), Chap. 9. Cambridge Univ. Press, Cambridge, U.K., 1989. T.E. Jackman, J. R. MacDonald, L. C. Feldman, P. J. Silverman, and I. Stensgaard, Surf. Sci. 100, 35 (1980).
126
KRUG AND BAUMVOL
80. W. K. Chu, J. W. Mayer, and M.-A. Nicolet, "Backscattering Spectrometry." Academic Press, New York, 1978. 81. M. Copel, IBM J. Res. Dev. 44, 571 (2000). 82. E.P. Gusev, H. C. Lu, T. Gustafsson, and E. Garfunkel, Phys. Rev. B: Solid State 52, 1759 (1995). 83. J. E van der Veen, Surf. Sci. Rep. 5, 199 (1985). 84. P. Bailey and T. Noakes, "User Manual for the Daresbury MEIS Facility," available at www.dl.ac.uk/ASD/MEIS, 1998. 85. H. C. Lu, E. P. Gusev, T. Gustafsson, E. Garfunkel, M. L. Green, D. Brasen, and L. C. Feldman, Appl. Phys. Lett. 69, 2713 (1996). 86. M. P. Murrell, Vacuum 45, 125 (1994). 87. W.M. Arnold Bik and E H. P. M. Habraken, Rep. Prog. Phys. 56, 859 (1993). 88. E H. P. M. Habraken, Nucl. Instrum. Methods Phys. Res., Sect. B 68, 181 (1992). 89. G. Amsel, J. P. Nadai, E. D'Artemare, D. David, E. Girard, and J. Moulin, Nucl. Instrum. Methods 92, 481 (1971). 90. B. Maurel, G. Amsel, and J. P. Nadai, Nucl. Instrum. Methods 197, 1 (1982). 91. I.J.R. Baumvol, J.-J. Ganem, L. G. Gosset, and S. Rigo, Appl. Phys. Lett. 72, 2999 (1998). 92. I. Vickridge and G. Amsel, Nucl. Instrum. Methods Phys. Res., Sect. B 45, 6 (1990). 93. J.W. Lyding, K. Hess, and I. C. Kizilyalli, Appl. Phys. Lett. 68, 2526 (1996). 94. J.H. Stathis, in "Fundamental Aspects of Ultrathin Dielectrics on Si-Based Devices," NATO Science Series, Vol. 47 (E. Garfunkel, E. P. Gusev, and A. Vul, Eds.), pp. 325333. Kluwer, Dordrecht, the Netherlands, 1998. 95. C.R. Helms and E. H. Poindexter, Rep. Prog. Phys. 57, 791 (1994). 96. L. DoThanh and P. Balk, J. Electrochem. Soc. 135, 1797 (1988). 97. E. Cartier, J. H. Stathis, and D. A. Buchanan, Appl. Phys. Lett. 63, 1510 (1993). 98. J.H. Stathis and E. Cartier, Phys. Rev. Lett. 72, 2745 (1994). 99. C. R. Helms and B. E. Deal, Eds., "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface," Vol. 2, Chap. VIII. Plenum, New York, 1993. 100. E. Cartier and D. J. DiMaria, Microelectron. Eng. 22, 207 (1993). 101. E. Cartier, D. A. Buchanan, J. H. Stathis, and D. J. DiMaria, J. Non-Cryst. Solids 187, 244 (1995). 102. K. Hess, I. C. Kizilyalli, and J. W. Lyding, IEEE Trans. Electron Devices 45, 406 (1998). 103. R. A. B. Devine, J.-L. Autran, W. L. Warren, K. L. Vanheusdan, and J.-C. Rostaing, Appl. Phys. Lett. 70, 2999 (1997). 104. T. G. Ference, J. S. Burnham, W. E Clark, T. B. Hook, S. W. Mittl, K. M. Watson, and L.-K. K. Han, IEEE Trans. Electron Devices 46, 48 (1999). 105. H. C. Mogul, L. Cong, R. M. Wallace, P. J. Chen, T. A. Rost, and K. Harvey, Appl. Phys. Lett. 72, 1721 (1998). 106. C.J. Van de Walle, J. Vac. Sci. Technol., A 16, 1767 (1998). 107. H. Kim and H. S. Hwang, Appl. Phys. Lett. 74, 709 (1999). 108. S.M. Myers, J. Appl. Phys. 61, 5428 (1987). 109. K. Muraoka, S. Takagi, and A. Toriumi, "Extended Abstracts of the 1996 International Conference on Solid State Devices and Materials," Yokohama, 1996, p. 500.
ULTRATHIN GATE DIELECTRIC FILMS
127
110. I. J. R. Baumvol, E. E Gusev, E C. Stedile, E L. Freire, Jr., M. L. Green, and D. Brasen, Appl. Phys. Lett. 72, 450 (1998). 111. J. Krauser, A. Weidinger, and Br~iuning, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (H. Z. Massoud, E. H. Poindexter, and C. R. Helms, Eds.), Vol. 3, pp. 184-195. Electrochemical Society, Pennington, NJ, 1996. 112. E H. E M. Habraken, E. H. C. Ullersma, W. M. Arnoldbik, and A. E. T. Kuiper, in "Fundamental Aspects of Ultrathin Dielectrics on Si-based Devices," NATO Science Series, Vol. 47 (E. Garfunkel, E. E Gusev, and A. Vul, Eds.), pp. 411-424. Kluwer, Dordrecht, the Netherlands, 1998. 113. E H. E M. Habraken and A. E. T. Kuiper, Mater Sci. Eng. R12, 123 (1994). 114. W. E Clark, E E. Cottrell, T. G. Ference, S.-H. Lo, J. G. Massey, S. W. Mittl, and J. H. Rankin, in "International Electron Devices Meeting Technical Digest." IEEE, New York, 1999. 115. H. Iwai, H. S. Momose, and S.-I. Ohmi, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (H. Z. Massoud, I. J. R. Baumvol, M. Hirose, and E. H. Poindexter, Eds.), Vol. 4, pp. 3-17. Electrochemical Society, Pennington, NJ, 2000. 116. K. E Schuegraf, C. Park, and D. Hu, in "International Electron Devices Meeting Technical Digest," p. 609. IEEE, New York, 1994. 117. M. Hirose, Mater Sci. Eng. B41, 35 (1996). 118. H. S. Momose, M. Ono, T. Yoshitomi, T. Ohguro, S. Nakamura, M. Saito, and H. Iwai, in "International Electron Devices Meeting Technical Digest," pp. 593-596. IEEE, New York, 1994. 119. G. Timp, J. Bude, K. K. Bourdelle, J. Gamo, A. Ghetti, H. Gossmann, M. Green, G. Forsyth, Y. Kim, R. Kleiman, E Klemens, A. Komblit, C. Lochstampfor, W. Mansfield, S. Moccio, T. Sorsch, D. M. Tennant, W. Timp, and R. Tung, in "International Electron Devices Meeting Technical Digest," pp. 55-58. IEEE, New York, 1999. 120. S.-H. Lo, D. A. Buchanan, Y. Taur, L.-K. Han, and E. Wu, in "Symposium on VLSI Technology Technical Digest," pp. 149-150. IEEE, New York, 1998. 121. Y. Taur and E. J. Nowak, in "International Electron Devices Meeting Technical Digest," p. 789. IEEE, New York, 1998. 122. H. S. Momose, T. Ohguro, E. Morifuji, H. Sugaya, S. Nakamura, T. Yoshimomi, H. Kimijima, T. Morimoto, E Matsuoka, Y. Katsumata, H. Ishiuchi, and H. Iwai, in "International Electron Devices Meeting Technical Digest," pp. 819-822. IEEE, New York, 1999. 123. H. Z. Massoud, J. E Shiely, and A. Shanware, Mater Res. Soc. Symp. Proc. 567, 227-239 (1999). 124. J.H. Stathis and D. J. DiMaria, in "The Physics and Chemistry of SiO2 and the SiSiO2 Interface" (H. Z. Massoud, I. J. R. Baumvol, M. Hirose, and E. H. Poindexter, Eds.), Vol. 4, pp. 33-44. Electrochemical Society, Pennington, 2000. 125. K. Okada and K. Yoneda, in "International Electron Devices Meeting Technical Digest," pp. 445-448. IEEE, New York, 1999. 126. B. E. Weir, E J. Silverman, G. B. Alers, D. Monroe, M. A. Alam, T. W. Sorsch, M. L. Green, G. L. Timp, Y. Ma, M. Frei, C. T. Liu, J. D. Bude, and K. S. Krisch, Mater Res. Soc. Symp. Proc. 567, 301-306 (1999).
128
KRUG AND BAUMVOL
127. E. Miranda, J. Sufi6, R. Rodriguez, M. Nafria, and X. Aymerich, in "Proceedings of the 36th International Reliability Physics Symposium," p. 42. IEEE, New York, 1998. 128. C.C. Chen, C. Y. Chang, C. H. Chien, T. Y. Huang, H. C. Lin, and M. S. Liang, Appl. Phys. Lett. 74, 3708 (1999). 129. R. Degraeve, P. Roussel, H. E. Maes, and G. Groeseneken, Microelectron. Reliability 36, 1651 (1996). 130. J.H. Stathis, Microelectron. Eng. 36, 325 (1997). 131. D.J. DiMaria, Microelectron. Eng. 36, 317 (1997). 132. T. Nigam, R. Degraeve, G. Groeseneken, M. M. Heyns, and H. E. Maes, in "Proceedings of the 36th International Reliability Physics Symposium," p. 62. IEEE, New York, 1998. 133. E. Wu, E. Nowak, J. Aitken, W. Abadeer, L. K. Lan, and S. Lo, in "International Electron Devices Meeting Technical Digest," p. 187. IEEE, New York, 1998. 134. M. A. Alam, B. Weir, P. Silverman, J. Bude, A. Ghetti, Y. Ma, M. M. Brown, D. Hwang, and A. Hamad, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (H. Z. Massoud, I. J. R. Baumvol, M. Hirose, and E. H. Poindexter, Eds.), Vol. 4, pp. 365-376. Electrochemical Society, Pennington, NJ, 2000. 135. R. Degraeve, N. Pangon, B. Kaczer, T. Nigam, G. Groeseneken, and A. Naem, in "Symposium on VLSI Technology Technical Digest," p. 59. IEEE, New York, 1999. 136. K.-Y. Peng, L.-C. Wang, and J. C. Slattery, J. Vac. Sci. Technol., B 14, 3316 (1996). 137. V.R. Mhetar and L. A. Archer, J. Vac. Sci. Technol., B 16, 2121 (1998). 138. Y.-L. Chiou, C. H. Sow, and K. Ports, IEEE Electron Device Lett. 10, 1 (1989). 139. L. Verdi, A. Miotello, and R. Kelly, Thin Solid Films 241,383 (1994). 140. T. K. Whidden, P. Thanikasalam, M. J. Rack, and D. K. Ferry, J. Vac. Sci. Technol., B 13, 1618 (1995). 141. P. Thanikasalam, T. K. Whidden, and D. K. Ferry, J. Vac. Sci. Technol., B 14, 2840 (1996). 142. S. Dimitrijev and H. B. Harrison, J. Appl. Phys. 80, 2467 (1996). 143. G. E Cerofolini, G. La Bruna, and L. Meda, Mater Sci. Eng., B 36, 104 (1996). 144. R. M. C. de Almeida, S. Gonqalves, I. J. R. Baumvol, and E C. Stedile, Phys. Rev. B: Solid State 61, 12992 (2000). 145. T. Ohmi, K. Matsumoto, N. Nakamura, K. Makihara, J. Takano, and K. Yamamoto, J. Appl. Phys. 77, 1159 (1995). 146. T. Hattori, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (H. Z. Massoud, I. J. R. Baumvol, M. Hirose, and E. H. Poindexter, Eds.), Vol. 4, pp. 392-405. Electrochemical Society, Pennington, NJ, 2000. 147. A. Fargeix and G. Ghibaudo, J. Appl. Phys. 54, 2878 (1983). 148. F. J. Himpsel, E R. McFeely, A. Taleb-Ibrahimi, J. A. Yarmoff, and G. Hollinger, Phys. Rev. B: Solid State 38, 6084 (1988). 149. E.P. Gusev, H. C. Lu, T. Gustafsson, and E. Garfunkel, Appl. Surf. Sci. 104/105, 329 (1996). 150. E. Hasegawa, A. Ishitani, K. Akimoto, M. Tsukiji, and N. Ohta, J. Electrochem. Soc. 142, 273 (1995).
ULTRATHIN GATE DIELECTRIC FILMS
129
151. A. Pasquarello and M. S. Hybertsen, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (H. Z. Massoud, I. J. R. Baumvol, M. Hirose, and E. H. Poindexter, Eds.), Vol. 4, pp. 271-282. Electrochemical Society, Pennington, NJ, 2000. 152. A. Stirling, A. Pasquarello, J.-C. Charlier, and R. Car, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (H. Z. Massoud, I. J. R. Baumvol, M. Hirose, and E. H. Poindexter, Eds.), Vol. 4, pp. 283-294. Electrochemical Society, Pennington, NJ, 2000. 153. A. Markovits and C. Minot, in "Fundamental Aspects of Ultrathin Dielectrics on Si-based Devices," NATO Science Series, Vol. 47 (E. Garfunkel, E. P. Gusev, and A. Vul', Eds.), pp. 131-146. Kluwer, Dordrecht, the Netherlands, 1998. 154. K.-O. Ng and D. Vanderbilt, Phys. Rev. B: Solid State 59, 10132 (1999). 155. T. Yamasaki, C. Kaneta, T. Uchiyama, T. Uda, and K. Terakura, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (H. Z. Massoud, I. J. R. Baumvol, M. Hirose, and E. H. Poindexter, Eds.), Vol. 4, pp. 295-306. Electrochemical Society, Pennington, NJ, 2000. 156. T. Watanabe and I. Ohdomari, in "The Physics and Chemistry of SiO2 and the SiSiO2 Interface" (H. Z. Massoud, I. J. R. Baumvol, M. Hirose, and E. H. Poindexter, Eds.), Vol. 4, pp. 319-330. Electrochemical Society, Pennington, NJ, 2000. 157. K. Raghavachari, A. Pasquarello, J. Eng, Jr., and M. S. Hybertsen, Appl. Phys. Lett. 76, 3873 (2000). 158. A.M. Stoneham and C. J. Sofield, in "Fundamental Aspects of Ultrathin Dielectrics on Si-based Devices," NATO Science Series, Vol. 47 (E. Garfunkel, E. P. Gusev, and A. Vul', Eds.), pp. 79-88. Kluwer, Dordrecht, the Netherlands, 1998. 159. A.M. Stoneham, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (C. R. Helms and B. E. Deal, Eds.), Vol. 2, pp. 3-6. Plenum, Pennington, NJ, 1993. 160. H. C. Lu, T. Gustafsson, E. P. Gusev, and E. Garfunkel, Appl. Phys. Lett. 67, 1742 (1995). 161. E Rochet, S. Rigo, M. Froment, C. D'Anterroches, C. Maillot, H. Roulte, and G. Dufour, Adv. Phys. 35,237 (1986). 162. C.-J. Han and C. C. R. Helms, J. Electrochem. Soc. 135, 1824 (1988). 163. I. Trimaille and S. Rigo, Appl. Surf. Sci. 39, 65 (1989). 164. J.-J. Ganem, I. Trimaille, P. Andr6, S. Rigo, E C. Stedile, and I. J. R. Baumvol, J. Appl. Phys. 81, 8109 (1997). 165. I. Trimaille, E C. Stedile, J.-J. Ganem, I. J. R. Baumvol, and S. Rigo, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (H. Z. Massoud, E. H. Poindexter, and C. R. Helms, Eds.), Vol. 3, p. 59. Electrochemical Society, Pennington, NJ, 1996. 166. A.M. Stoneham, C. R. M. Grovenor, and A. Cerezzo, Philos. Mag. B 55,201 (1987). 167. N. E Mott, S. Rigo, E Rochet, and A. M. Stoneham, Philos. Mag. 60, 189 (1989). 168. R. Pretorius, W. Strydom, J. W. Mayer, and C. Comrie, Phys. Rev. B: Solid State 22, 1885 (1980). 169. I.J.R. Baumvol, C. Krug, E C. Stedile, E Gorris, and W. Schulte, Phys. Rev. B: Solid State 60, 1492 (1999). 170. A. Stesman and E Scheerlinck, Phys. Rev. B: Solid State 50, 5204 (1994). 171. A. Stesman and E Scheerlinck, J. Appl. Phys. 75, 1047 (1994). 172. T. Akermark, J. Electrochem. Soc. 147, 1882 (2000).
130
KRUG AND BAUMVOL
173. T. ,~kermark and G. Hultquist, J. Electrochem. Soc. 144, 1456 (1997). 174. T. Akermark, Oxid. Met. 50, 167 (1998). 175. T. ,~kermark, L. G. Gosset, J.-J. Ganem, I. Timaille, and S. Rigo, J. Electrochem. Soc. 146, 3389 (1999). 176. D.R. Hamann, Phys. Rev. Lett. 81, 3447 (1998). 177. E.P. Gusev, H. C. Lu, E. L. Garfunkel, T. Gustafsson, and M. L. Green, IBM J. Res. Dev. 43, 265 (1999). 178. D. M. Brown, P. V. Gray, E K. Heumann, H. R. Philipp, and E. A. Taft, J. Electrochem. Soc. 115, 311 (1968). 179. G. J. Dunn, Appl. Phys. Lett. 53, 1650 (1988). 180. M. Dutoit, P. Letourneau, N. N. J. Mi, J. Manthey, and J. S. d. Zaldivar, J. Electrochem. Soc. 41,549 (1993). 181. S.S. Krisch and C. G. Sodini, J. Appl. Phys. 76, 2284 (1994). 182. H. Hwang, W. Ting, B. Maiti, D. L. Kwong, and J. Lee, Appl. Phys. Lett. 57, 1010 (1990). 183. H. Fukuda, T. Arakawa, and S. Ohno, Japan. J. Appl. Phys. 29, L2333 (1990). 184. E.C. Carr and R. A. Buhrman, Appl. Phys. Lett. 63, 54 (1993). 185. H. T. Tang, W. N. Lennard, M. Zinke-Allmang, I. V. Mitchell, L. C. Feldman, M. L. Green, and D. Brasen, Appl. Phys. Lett. 64, 64 (1994). 186. M. Bhat, L. K. Han, D. Wristers, J. Yan, D. L. Kwong, and J. Fulford, Appl. Phys. Lett. 66, 1225 (1995)~ 187. Z.Q. Yao, H. B. Harrison, S. Dimitrijev, and Y. T. Yeow, IEEE Electron Device Lett. 16, 345 (1995). 188. M. L. Green, D. Brasen, L. C. Feldman, W. Lennard, and H. T. Tang, Appl. Phys. Lett. 67, 1600 (1995). 189. M. L. Green, D. Brasen, K. W. Evans-Lutterodt, L. C. Feldman, K. Krisch, W. Lennard, H. T. Tang, L. Manchanda, and M. T. Tang, Appl. Phys. Lett. 64, 848 (1994). 190. R. I. Hedge, P. J. Tobin, K. G. Reid, B. Maiti, and S. A. Ajuria, Appl. Phys. Lett. 66, 2882 (1995). 191. Z.H. Lu, S. P. Tay, R. Cao, and P. Pianetta, Appl. Phys. Lett. 67, 2836 (1995). 192. D. G. J. Sutherland, H. Akatsu, M. Copel, E J. Himpsel, T. Callcott, J. A. Carlisle, D. Ederer, J. J. Jia, I. Jimenez, R. Perera, D. K. Shuh, L. J. Terminello, and W. M. Tong, J. Appl. Phys. 78, 6761 (1995). 193. Z.Q. Yao, J. Appl. Phys. 78, 2906 (1995). 194. J. J. Ganem, S. Rigo, I. Trimaille, I. J. R. Baumvol, and E C. Stedile, Appl. Phys. Lett. 68, 2366 (1996). 195. M. Copel, R. M. Tromp, H. J. Timme, K. Penner, and T. Nakao, J. Vac. Sci. Technol. A 14, 462 (1996). 196. D. Landheer, Y. Tao, D. X. Xu, G. I. Sproule, and D. A. Buchanan, J. Appl. Phys. 78, 1818 (1995). 197. S.V. Hattangady, H. Niimi, and G. Lucovsky, Appl. Phys. Lett. 66, 3495 (1995). 198. W. L. Hill, E. M. Vogel, V. Misra, P. K. McLarty, and J. J. Wortman, IEEE Trans. Electron Devices 43, 15 (1996).
ULTRATHIN GATE DIELECTRIC FILMS
131
199. G. Lucovsky, in "Fundamental Aspects of Ultrathin Dielectrics on Si-based Devices," NATO Science Series, Vol. 47 (E. Garfunkel, E. E Gusev, and A. Vul', Eds.), pp. 147164. Kluwer, Dordrecht, the Netherlands, 1998. 200. E H. E M. Habraken and A. E. T. Kuiper, Mater. Sci. Eng. Rep. R12, 123 (1994). 201. S.V. Hattangady, H. Niimi, and G. Lucovsky, J. Vac. Sci. Technol., A 14, 3017 (1996). 202. M. L. Green, D. Brasen, L. Feldmand, E. Garfunkel, E. E Gusev, T. Gustafsson, W. N. Lennard, H. C. Lu, and T. Sorsch, in "Fundamental Aspects of Ultrathin Dielectrics on Si-based Devices," NATO Science Series, Vol. 47 (E. Garfunkel, E. P. Gusev, and A. Vul', Eds.), pp. 181-190. Kluwer, Dordrecht, the Netherlands, 1998. 203. I. J. R. Baumvol, E C. Stedile, J. J. Ganem, I. Trimaille, and S. Rigo, Appl. Phys. Lett. 70, 2007 (1997). 204. D.J. DiMaria and J. R. Abernathey, J. Appl. Phys. 60, 1727 (1986). 205. S.C. Song, C. H. Lee, H. E Luan, D. L. Kwong, M. Gardner, J. Fulford, M. Allen, J. Bloom, and R. Evans, Mater Res. Soc. Symp. Proc. 567, 65-70 (1999). 206. Y. Ono, Y. Ma, and S.-T. Hsu, Mater Res. Soc. Symp. Proc. 567, 39-44 (1999). 207. Y. Wu and G. Lucovsky, Mater. Res. Soc. Symp. Proc. 567, 101-106 (1999). 208. T.B. Hook, J. S. Burnham, and R. J. Bolam, IBM J. Res. Dev. 43, 393 (1999). 209. A. E. T. Kuiper, M. E C. Willemsen, A. M. S. Theunissen, W. M. van de Wijgert, E H. P. M. Habraken, R. H. G. Tijhaar, W. E van der Weg, and J. T. Chen, J. Appl. Phys. 59, 2765 (1982). 210. K. Yamamoto and M. Nakazawa, Japan. J. Appl. Phys. 33, 285 (1994). 211. A. Serrari, J. L. Chartier, R. Le Bihan, S. Rigo, and J. C. Dupuy, Appl. Surf. Sci. 51, 133 (1991). 212. T. Ito, T. Nozaki, and H. Ishikawa, J. Electrochem. Soc. 127, 2053 (1980). 213. Y. Hayafuji and K. Kajiwara, J. Electrochem. Soc. 129, 2102 (1982). 214. S. S. Wong, S. H. Kwan, H. R. Grinolds, and W. G. Oldham, in "Proceedings of the Symposium on Silicon Nitride Thin Insulating Films" (V. J. Kapoor and H. J. Stein, Eds.), Vol. 83-8, pp. 346-354. Electrochemical Society, Pennington, NJ, 1983. 215. G. Lucovsky, IBM J. Res. Dev. 43, 301 (1999). 216. K.A. Ellis and R. A. Buhrmann, IBM J. Res. Dev. 43, 287 (1999). 217. E. P. Gusev, H. C. Lu, E. Garfunkel, T. Gustafsson, M. L. Green, D. Brasen, and W. N. Lennard, J. Appl. Phys. 84, 2980 (1998). 218. K.A. Ellis and R. A. Buhrman, Appl. Phys. Lett. 68, 1696 (1996). 219. P.J. Tobin, Y. Okada, S. A. Ajuria, V. Lakjhotia, W. a. Feil, and Hedge, J. Appl. Phys. 75, 1811 (1994). 220. R.I. Hedge, B. Maiti, and P. J. Tobin, J. Electrochem. Soc. 144, 1081 (1997). 221. Y. Okada, P. J. Tobin, and V. Lakhotia, J. Electrochem. Soc. 140, L87 (1993). 222. Y. Okada, P. J. Tobin, and S. A. Ajuria, IEEE Trans. Electron Devices 41, 1608 (1994). 223. E.C. Carr, K. A. Ellis, and R. A. Buhrman, Appl. Phys. Lett. 66, 1492 (1995). 224. K.A. Ellis and R. A. Buhrman, Appl. Phys. Lett. 70, 545 (1997). 225. K.A. Ellis and R. A. Buhrman, J. Electrochem. Soc. 145, 2068 (1997). 226. E. Garfunkel, E. P. Gusev, H. C. Lu, T. Gustafsson, and M. L. Green, in "Fundamental Aspects of Ultrathin Dielectrics on Si-based Devices," NATO Science Series, Vol. 47
132
227. 228. 229. 230. 231. 232. 233. 234. 235. 236. 237. 238. 239. 240. 241. 242. 243. 244. 245. 246.
247. 248. 249. 250. 251. 252.
KRUG AND BAUMVOL
(E. Garfunkel, E. P. Gusev, and A. Vul', Eds.), pp. 39-48. Kluwer, Dordrecht, the Netherlands, 1998. Z. H. Lu, R. J. Hussey, M. J. Graham, R. Cao, and S. P. Tay, J. Vac. Sci. Technol., B 14, 2882 (1996). H. C. Lu, E. P. Gusev, T. Gustafsson, and E. Garfunkel, J. Appl. Phys. 81, 6992 (1997). H. C. Lu, E. P. Gusev, T. Gustafsson, M. L. Green, D. Brasen, and E. Garfunkel, Microelectron. Eng. 36, 29 (1997). E. P. Gusev, H. C. Lu, T. Gustafsson, E. Garfunkel, M. L. Green, and D. Brasen, J. Appl. Phys. 82, 896 (1997). M. J. Hartig and P. J. Tobin, J. Electrochem. Soc. 143, 1753 (1996). S. W. Novak, J. R. Shallenberger, D. A. Cole, and J. W. Marino, Mater Res. Soc. Symp. Proc. 567, 579-586 (1999). M.L. Green, in "Advances in Rapid Thermal and Integrated Processing" (F. Roozeboom, Ed.). Kluwer, Dordrecht, the Netherlands, 1995. W. DeCoster, B. Brijs, H. Bender, J. Alay, and W. Vandervorst, Vacuum 45, 389 (1994). O.C. Hellman, N. Herbots, and O. Vancauwenberghe, Nucl. Instrum. Methods Phys. Res., Sect. B 67, 301 (1992). R. Hezel and N. Lieske, J. Electrochem. Soc. 129, 379 (1982). H. Kobayashi, T. Mizokuro, Y. Nakato, K. Yoneda, and Y. Todokoro, Appl. Phys. Lett. 71, 1978 (1997). J. S. Pan, A. T. S. Wee, C. H. A. Huan, H. S. Tan, and K. L. Tan, Appl. Surf. Sci. 115, 166 (1997). K.H. Park, B. C. Kim, and H. Kang, Su~ Sci. 283, 73 (1993). Z.-M. Ren, Z.-F. Ying, X.-X. Xiong, M.-Q. He, F.-M. Li, Y.-C. Du, and L.-Y. Cheng, Appl. Phys. A 58, 395 (1994). A.G. Schrott and S. C. Fain, Su~ Sci. 111, 39 (1981). A.G. Schrott, Q. X. Su, and S. C. Fain, Surf. Sci. 123, 223 (1982). J. A. Taylor, G. M. Lancaster, A. Ignatiev, and J. W. Rabalais, J. Chem. Phys. 68, 1776 (1978). Y. Saito and U. Moil, Mater. Res. Soc. Symp. Proc. 567, 33-37 (1999). S.K. Kurinec, M. A. Jackson, K. C. Capasso, K. Zhuang, and G. Braunstein, Mater Res. Soc. Syrup. Proc. 567, 265-270 (1999). I.J.R. Baumvol, C. Krug, F. C. Stedile, M. L. Green, D. C. Jacobson, D. Eaglesham, J. D. Bernstein, J. Shao, A. S. Denholm, and P. L. Kellerman, Appl. Phys. Lett. 74, 807 (1999). I. J. R. Baumvol, T. D. M. Salgado, C. Radtke, C. Krug, and F. C. Stedile, J. Appl. Phys. 83, 5579 (1998). G. Lucovsky, A. Banerjee, B. Hinds, B. Claflin, K. Koh, and H. Yang, Microelectron. Eng. 36, 207 (1997). M. Hillert, S. Jonsson, and B. Sundman, Z. Metallkd. 83, 648 (1992). H. Du, R. E. Tressler, and K. E. Spear, J. Electrochem. Soc. 136, 3210 (1989). T. P. Ma, Appl. Surf. Sci. 117/118, 259 (1997). H. Goto, K. Shibahara, and S. Yokoyama, Appl. Phys. Lett. 68, 3257 (1996).
ULTRATHIN GATE DIELECTRIC FILMS
133
253. A.I. Kingon, J.-E Maria, and S. K. Streiffer, Nature (London) 406, 1032 (2000). 254. M. C. Gilmer, T.-Y. Luo, H. R. Huff, M. D. Jackson, S. Kim, G. Bersuker, E Zeitzoff, L. Vishnubhotla, G. A. Brown, R. Amos, D. Brady, V. H. C. Watt, G. Gale, J. Guan, B. Nguyen, G. Williamson, E Lysaght, D. Torres, E Geyling, C. E H. Gordran, J. A. Fair, M. T. Schulberg, and T. Tamagawa, Mater Res. Soc. Symp. Proc. 567, 323-341 (1999). 255. G. Timp, K. K. Bourdelle, J. E. Bower, E H. Baumann, T. Boone, R. Cirelli, K. Evans-Lutterodt, J. Garno, A. Ghetti, H. Gossmann, M. L. Green, D. Jacobson, S. Moccio, D. A. Muller, L. E. Ocola, M. L. O'Malley, J. Rosamilia, J. Sapjeta, E J. Silverman, T. Sorsch, D. M. Tennant, W. Timp, and B. E. Weir, in "International Electron Devices Meeting Technical Digest," p. 615. IEEE, New York, 1998. 256. C. Chaneliere, J. L. Autran, R. A. B. Devine, and B. Balland, Mater Sci. Eng. R22, 269 (1998). 257. I. Kim, S.-D. Ahn, B.-W. Cho, S.-T. Ahn, J. Y. Lee, J. S. Chun, and W.-J. Lee, Japan. J. Appl. Phys. 33, 6691 (1994). 258. G.B. Alers, D. J. Werder, Y. Chabal, H. C. Lu, E. E Gusev, E. Garfunkel, T. Gustafsson, and R. S. Urdahl, Appl. Phys. Lett. 73, 1517 (1998). 259. J.-L. Autran, R. Devine, C. Chaneliere, and B. Balland, IEEE Electron Device Lett. 18, 447 (1997). 260. K.A. Son, A. Y. Mao, Y. M. Sun, B. Y. Kin, E Liu, and R. N. Vrities, Appl. Phys. Lett. 72, 1187 (1998). 261. G.B. Alers, R. M. Fleming, Y. H. Wong, B. Dennis, A. Pinczuk, G. Redinbo, R. Urdahl, E. Ong, and Z. Hasan, Appl. Phys. Lett. 72, 1308 (1998). 262. S.A. Campbell, D. C. Gilmer, X. Wang, M. Hsieh, H.-S. Kim, W. L. Gladfelter, and X. Yan, IEEE Trans. Electron Devices 44, 104 (1997). 263. T. E Ma, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (H. Z. Massoud, I. J. R. Baumvol, M. Hirose, and E. H. Poindexter, Eds.), Vol. 4, pp. 19-32. Electrochemical Society, Pennington, NJ, 2000. 264. H. Niimi, R. S. Johnson, G. Lucovsky, and H. Z. Massoud, in "The Physics and Chemistry of SiO2 and the Si-SiO2 Interface" (H. Z. Massoud, I. J. R. Baumvol, M. Hirose, and E. H. Poindexter, Eds.), Vol. 4, pp. 487-494. Electrochemical Society, Pennington, NJ, 2000. 265. G.D. Wilk, R. M. Wallace, and J. M. Anthony, J. Appl. Phys. 87, 484 (2000). 266. E. E Gusev, M. Copel, E. Cartier, I. J. R. Baumvol, C. Krug, and M. A. Gribelyuk, Appl. Phys. Lett. 76, 176 (2000). 267. C. Krug, E. B. O. da Rosa, R. M. C. de Almeida, J. Morais, I. J. R. Baumvol, T. D. M. Salgado, and E C. Stedile, Phys. Rev. Lett. 85, 4120 (2000). 268. S.A. Campbell, S.-H. Kim, D. C. Gilmer, B. He, T. Ma, and W. L. Gladfelter, IBM J. Res. Dev. 43, 383 (1999). 269. G.D. Wilk, R. M. Wallace, and J. M. Anthony, J. Appl. Phys. 89, 5243 (2001).
This Page Intentionally Left Blank
THIN FILMS, Vol. 29
Electrochemical Passivation of Si and SiGe Surfaces J. R A P P I C H
Hahn-Meitner Institut, Abteilung Silizium-Photovoltaik, Berlin D-12489, Germany TH. DITTRICH
Technische Universitgit, Miinchen, Physikdepartment El6, Garching 85748, Germany
2.1. 2.2.
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . In Situ Characterization of Surface Bond Configurations and Electronic Surface States
2.3.
Electrochemically Hydrogenated Si Surfaces
2.4.
Hydrogenated Porous Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
182
2.5.
Thin Anodic Oxides on Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
200
2.6.
Thick Anodic Oxides on Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
224
2.7.
Enhanced Passivation of SiGe by Anodic Oxidation . . . . . . . . . . . . . . . . . . . . . .
233
Acknowledgments
249
References
.........................
........................................
............................................
135 . . 137 159
249
2.1. Introduction The most important invention for the development of microelectronics was the passivation of a Si surface with a thermal oxide, which provides excellent chemical and electronic stability [1-11]. Very low densities of electronic states are reached at Si/SiO2 interfaces, for which the chemical and electronic passivation is well investigated. However, conventional thermal oxidation is not practicable for a number of applications demanding, for example, low thermal budget oxidation or processing in wet ambient. In such cases, electrochemical passivation is a good alternative. The advantage of electrochemical passivation is that specific chemical reactions, which lead to the formation of the passivation layer, are locally activated at the Si surface by an applied electrical potential. Another point is that electrochemical reactions can be well controlled by using the measured current as a monitor for reactions and injection currents on a submonolayer scale [2, 3, 5, 12-27]. SiGe is of great interest for modem semiconductor devices because of the increased mobility of charge carriers with the incorporation of Ge into the Si lattice, as used in heterobipolar transistors [28, 29] or metal-oxide-semiconductor structures [30]. Passivation of modern devices based on SiGe need low thermal budget processing below 500~ [29], because thermal oxidation of SiGe alloys above 135 Vol. 29 ISBN 0-12-533029-4
THIN FILMS Copyright 9 2002 by Academic Press All rights of reproduction in any form reserved ISSN 1079-4050/02 $35.00
136
RAPPICH AND DITTRICH
600~ leads to Ge segregation at the oxide/SiGe interface [31, 32] and relaxation of the strained SiGe lattice of epitaxially grown thin film of SiGe on c-Si [33]. These processes induce defects in the crystal lattice and at the interfaces, which strongly affect the electronic behavior of the device [32-34]. The structural and electronic properties of electrochemically passivated Si surfaces have not been well investigated until now. The aim of this work is to give an overview of the present state of this field. We start with a detailed description of the in situ techniques of Fourier transform infrared spectroscopy (FTIR), surface photovoltage (SPV), and pulsed photoluminescence (PL), which give information about surface chemical bonds, electronic trap states, and nonradiative recombination centers at the Si surface, respectively. The combination of these methods gives the opportunity to correlate changes in chemical bonds with changes in trapping sites or intrinsic dangling bonds (oSi =Si3), which act as nonradiative recombination defects. Stroboscopic measurements of PL and SPV signals with laser pulses in the nanosecond range have been developed to minimize the influence of the exciting light on the electrochemical processes [35, 36]. Interface state distributions are obtained by ex situ SPV measurements [37, 38]. The second and third sections are devoted to the electronic states of hydrogenated Si surfaces and their dependence on morphology. The termination of Si surfaces by hydrogen in HF solution was extensively studied over the last 20 years [39-97]. Hydrogenation of Si surfaces plays a key role in ultraclean processing of Si [98-102] and is the initial step for following treatments, like epitaxial growth of semiconductor material on c-Si [103-108] or thin gate oxide formation [109-119]. The ideally hydrogenated Si surface is free of electronic states in the forbidden gap. Thus, electronic states at hydrogenated Si surfaces should be related to isolated defects. Chemically and/or electrically active surface sites at hydrogenated Si surfaces can serve as reaction sites for organic molecules. The surface morphology has great influence on the electronic states at hydrogenated Si surfaces. Four types of hydrogenated Si surfaces are distinguished by their basic structural properties: HF-dipped, buffered NH4F treated, electrochemically hydrogenated, and electrochemically etched-porous silicon. The electronic surface states of hydrogenated Si surfaces are described in this section. The electrochemical hydrogenation that takes place during the so-called current transient is investigated in detail. The role of local surface reconstruction for passivation and stabilization of hydrogenated Si surfaces is discussed. The interface between an anodic oxide and Si (or SiGe) usually has a high amount of nonradiative recombination defects. The formation of interface defects starts with the onset of oxidation reactions. The surface roughness is very important for the formation of thin gate oxides [ 120-123]. The influence of the initial stages of oxide deposition differs from that of "bulk oxide" formation. In the former, the initial Si surface mainly defines the electronic properties, i.e., damage to the surface can be observed during the beginning of the deposition process by
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
137
the required plasma source. No change in the interface occurs if the oxide layer is thick enough to head off the energy that is incorporated by the plasma [ 124, 125]. The situation strongly differs when the oxide layer is grown into the silicon bulk, where the interface is permanently changed by the formation process, i.e., diffusion of atoms, ions, or molecules through the oxide layer [2, 12]. It has been shown that the concentration of nonradiative surface defects (Ns) can be influenced by electrochemical treatments. The value of Ns at the anodic oxide/Si interface can be strongly reduced by the injection of electrons at cathodic potential or by optimizing the oxidation process at anodic potentials, where Ns correlates with the oscillatory behavior of the current in diluted acidic fluoride solution. Such passivation could be of interest for in situ conditioning of sensing surface structures in liquids. The formation of thin anodic oxides in alkaline solutions is also briefly described in this section. Thick anodic oxides have good application potential when low thermal budget processes are needed instead of thermal oxidation. For example, Si surfaces in detectors based on very pure Si were passivated with anodic oxides in the past. A well-passivated anodic oxide/Si interface is formed during thermal treatment at elevated temperatures for short times. Steps and trenches can be well passivated with an anodic oxide due to the liquid contact used. In addition, anodic oxidation is independent of the crystal orientation and leads to a rounding of convex and concave steps caused by the electric field. Anodic oxidation is advantageous for the passivation of SiGe surfaces because diffusion of Ge in the layer system is prevented. The chapter is concluded with summary and the outlook for further processing of Si- and SiGe-based devices.
2.2. In Situ Characterization of Surface Bond Configurations and Electronic Surface States 2.2.1. FOURIER TRANSFORM INFRARED SPECTROSCOPY-ATTENUATED TOTAL REFLECTION
Silicon surfaces that are passivated by hydrogen or oxides are characterized by different Si-H and Si-O chemical bond configurations. FTIR was used to investigate the species on silicon surfaces by their specific vibration modes (i.e., stretching, bending, or wagging) during and after electrochemical treatments. In situ infrared (IR) techniques at the electrochemical interface have been developed over the last few years [ 126-131 ]. In the case of low infrared absorption of Si-H stretching modes and strong IR absorption of water molecules, we apply internal reflection techniques in the attenuated total reflection (ATR) configuration as used by Harrick [126]. With
138
RAPPICH AND DITTRICH
the use of this configuration, the IR light is coupled into the sample at one side, traverses the sample, and is collected at the other side. This procedure can be performed by using semiconductors that have a broad region of transparency between absorption due to lattice vibrations and the band to band transition (usually about 500 cm-1 to 10,000 cm-1). Total reflection occurs when the angle of incidence (or) is greater than the inverse sinus of the relation of the refractive indexes of the medium (n 1) and the sample (n2), ot > arcsin(nl/n2). This requirement can easily be realized by semiconductors that have a great refractive index (e.g., n si ,~ 3.5, nair -- 1, or nH20 ~ 1.33, hence a > 23~ Such measurements are typically performed at an incident angle of 45 ~. This technique provides high sensitivity to surface species, which is typically multiplied by the number of reflections. Furthermore, the technique becomes insensitive to electrolyte absorption because there is no need for the IR light to cross the electrolyte layer. However, there is an "evanescent wave" that penetrates into the surrounding medium by about )~/14 (~ is the vacuum IR light wavelength). The energy of this wave is partially absorbed by species on the sample surface and in a thin electrolyte layer of about 0.5-1/zm thickness. The "evanescent wave" can, therefore, be used to probe the surface of the sample and the electrolyte layer. The long optical path through the multiple internal reflection (MIR)-ATR crystal (about 70 mm) leads to an IR absorption due to the Si lattice vibrations; hence, the usable FTIR spectra have to exceed 1500 cm -1. To measure strong IR absorption caused by the asymmetric Si-O-Si stretching mode at about 1100 cm-1, we reduce the length of the optical path to 10 mm with the use of a semicylinder or a micro-ATR crystal (a monolayer Si-H is not detectable with these crystals). Figure 1 shows schematically the optical path of the IR radiation through different types of Si-ATR samples and the Si surface species detectable by this method. The MIR-ATR crystals are Si trapezoids (Fig. 1a, free carrier concentration n ~ 1015 cm -3, 0 ~ to 4 ~ miscut), which are 52 mm in length and either 1 or 2 mm in thickness, leading to about 24 or 12 useful reflections at the electrolyte side, respectively. The single intemal reflection (SIR)-ATR crystal is an n-Si semicylinder(Fig, lb, (111) oriented, 10 x 10 x 5 mm3, n ~ 4 x 1015 cm-3), and the micro-ATR crystal is a Si parallelogram (Fig. lc, (100) oriented, 7 x 7 x 0.5 mm 3, n ~ 2 x 1015 cm -3, four useful reflections). The incidence of the IR light was 45 ~ for the MIR-ATR and micro-ATR and about 35 ~ for the SIR-ATR. All crystals are cut from floatzone material. The intemal reflection techniques are used to suppress the strong IR absorption of water in transmission/reflection experiments. The electrochemical measurements are made in specially designed plastic cells, with the use of a platinum counter electrode and a 0.1 M KC1/AgC1/Ag reference electrode. Figure 2 shows the side and front views of the electrochemical cells for the respective ATR crystal ((a) MIR-ATR, (b) SIR-ATR, and (c) micro-ATR). The cells have quartz windows (W) to permit illumination for n-type Si. The
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
139
FIG. 1. Schematic drawing of the optical path of IR radiation through different types of Si-ATR samples and the Si surface species detectable. (a) MIR-ATR, trapezoid with 24 or 12 reflections per side. (b) SIR-ATR, semicylinder with one reflection. (c) Micro-ATR, parallelogram with four reflections per side.
reference electrode (RE) was close to the Si working electrode (WE), with one exception: the place was too small at the micro-ATR cell, so that RE had to be located outside the cell in a quartz tube. The silicon samples, mainly n-type, were contacted with InGa alloy. The sample surfaces exposed to the electrolyte were 7 cm 2 (MIR-ATR), 0.65 cm 2 (SIR-ATR), and 0.2 cm 2 (micro-ATR), respectively. A small pump and Teflon tubes were used to circulate or exchange the solution in the cells (volumes about 6 ml, 1 ml, or 0.1 ml). The electrolyte solutions were prepared from analytical grade purity reagents in triple-distilled water. The temperature of the solutions, kept under nitrogen, was 22 4- 1~ The signal-to-noise ratio of a FTIR spectrum was improved by averaging 128 scans for hydrogen detection and 32-64 scans for oxide detection, with the use of a photovoltaic mercury-cadmium-telluride infrared detector with a processing time of 2 scans/s. The resolution of the IR spectra, which were recorded with a Bruker ifs 113v, was 4 cm-1 in aqueous solution and 1 cm-1 under nitrogen atmosphere. Figure 3 shows FTIR spectra as obtained with the former presented ATR sampies. The spectra are not corrected in any way and show the underlying inten-
140
RAPPICH AND DITTRICH
FIG. 2. Side and front views of electrochemical cells for internal reflection configuration. (a) MIRATR. (b) SIR-ATR. (c) Micro-ATR. W, quartz windows; RE and WE, reference and working electrodes; C and CS, contact and spacer; I/O, inlet and outlet for the electrolyte; D, IR detector.
sity dependence due to the KBr beam splitter (400-8000 cm-1, with a maximum around 2000 cm -1). The solid and dotted lines denote the typical spectra for a MIR-ATR sample covered with a thin oxide layer in solution and under a nitrogen atmosphere, respectively. The inset shows the ratio of the two (please note the log scale here). The strong IR absorption due to the stretching mode, vH2o, and bending mode, 3I-I2O, of liquid water around 3400 and 1650 cm -1 is well
141
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
M IR-ATR in-situ ........... MIR-ATR ex-situ . . . . SIR-ATR in-situ v
~i ,r 10-'-,,] ^ f ~i IV
lO1 i V
03 03 tu)
J /
W
,~ ,,,,."t .~of \ /
ooo ooo o'oo Wavenumber (cm 1)
\~
m
Fu_
:'T
,;,, I--
,! -'
1000
'
\'--'/ '
'
I
2000
.
.
.
.
I
3000
Wavenumber
.
.
.
.-/
.
"" -
I
4000
.
.
.\ --. .
.
i
5000
(cm -1 )
In situ and ex situ FTIR spectra as obtained with MIR- and SIR-ATRsamples covered with a thin oxide layer in the respective configurations. The spectra are not corrected for the KBr beam splitter. Inset: In situ IR spectrum normalized to the ex situ IR spectrum.
FIG. 3.
pronounced. In addition, the IR spectrum of the ex situ measured sample (dotted line in Fig. 3) exhibits the rotational fine structure of gaseous water, which is shifted to higher wavenumbers with respect to the liquid phase. The IR absorption slightly below 3000 cm -1 is due to the plastic material (CH2 groups) of the cells. The multiphonon absorption of the Si lattice vibrations leads to the strong decrease in the IR absorption below 1500 cm -1 . This influence can be reduced by taking a shorter optical path through the bulk Si as plotted for the SIR-ATR sample (dashed line), and the spectral region becomes available down to 900 cm -1, where the asymmetric Si-O-Si stretching modes exist. Nevertheless, the sensitivity to the surface species is decreased with the reduction in the number of internal reflections. Table I summarizes the peak wavenumbers of some IR absorption due to Si surface species after [68, 117, 132-141 ]. Figure 4 shows typical IR spectra of thin anodic oxides on Si(111) and (100), for either the SIR-ATR sample (one reflection, 64 scans) or the micro-ATR sample (four reflections, 32 scans). The anodic oxides are formed in 0.1 M NaF (pH 4) under white light illumination at + 2 V. The spectra are normalized with reference to the IR spectrum of a hydrogenated Si surface in the same solution (up means an increase and down means a decrease in IR absorption of the respective surface species). The well-known splitting of the IR absorption at the asymmetric Si-O-Si stretching mode, vsio2,as, into the parallel TO mode at 1050 cm - ! and the perpendicular component, LO mode at 1230 cm -1, can be seen [142, 143].
142
RAPPICH AND DITTRICH TABLE I ASSIGNMENT OF IR ABSORPTION DUE TO SI SPECIES
Species Si3-Si-H
Wavenumbers/cm- 1
Comments
2072, a 207 lb
Coupled monohydride (Vas) in rows at steps a
2084, a 2083, b 2080 c
Uncoupled monohydride (v) on (111) terracesa
2088.8, a 2088 b
Coupled monohydride (Vss) in rows at steps a
Si2-Si=H 2
2104.5, a 2100, b, 2110 c
Dihydride (Vss)a
Si-Si=H 3
ca. 2130, a 2134, b 2130 c
Trihydride (Vss and Vas) and constrained dihydride a
OSi-H
2100, d 2080 c (v)
O2Si-Si-H
2190, d 2200 c (v)
O2Si=H2
2200, c 2185 e (v), 975 e (3)
O3Si-H
2250, c 2245 d (v), 880 d (3)
O2Si
1060,f 1050,g 1065, h 1075J
TO3, Vas1, strong
1053 --+ 1060 i 1170, g 1200J
TO4, Vasl, weak
1223, g 1252, h 1180 --+ 1217, i 1254J
LO3, Vas2, strong
1140, g 1165,J
LO4, Vas2, weak
800,f 820, h
TO2, 3
Vibration modes: v, stretching (as, ss, asymmetric and symmetric); 3, bending. Refs: a[68], b[132], c[133], d[134, 135], e[136], f[137, 138], g[l17], h[141], i[140] (blue-shift with increasing thickness of the oxide layer): J [ 139].
On an ordered single crystal surface only the transverse mode (TO), parallel to the surface, would be expected for normal transmission. The fact that both bands are observed is due to the random orientation of the noncrystalline oxide layers on silicon and the nonnormal incidence of the IR light, which induces IR absorption by the longitudinal mode (LO) [144]. Extremely thin oxide films on silicon give rise to an LO-TO splitting, which can be ascribed either to an increase in the depolarization field or to dipolar interactions between Si-O oscillators as islands of native oxide growing and spreading across the silicon surface [ 142, 145]. Moreover, Figure 4 shows a reduction of the IR absorption at the stretching mode (about 3300 cm -1) and the bending mode of water (around 1650 cm-1). This finding is caused by the replacement of water by the oxide layer formed at the Si sample surface, which decreases the amount of water probed by the "evanescent wave." The changes of the integrated IR absorption in the range of the stretching modes of water and SiO2 are about 4 times the magnitude for both samples which is equivalent to the increase in the amount of reflections for the micro-ATR.
143
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE cO
C3 0 03 r t13 rr
Vsio2,a s
n-Si/0.1
M N a F (pH 4), w h i t e light, U = + 2 . 0 V
TO
L0
0
a H~O, .~SiLlpg,L..,,
o (D 03
.
".,,,~.
r-
co
k,_
o'oo
'
20'00
'
,
30'00
Wavenumber
,
40'00
,
50'00
(cm -1)
FIG. 4. Typical FTIR spectra of thin anodic oxides on n-Si(111) and (100) scaled with respect to the hydrogenated Si surfaces in the same solution, with the use of either the SIR-ATR or microATR sample, as indicated. The anodic oxides were formed in 0.1 M NaF (pH 4) under white light illumination (about 10 mW/cm 2) at +2 V.
Furthermore, this finding shows that anodic oxides on (111) or (100) oriented Si surfaces have a similar thickness of oxides prepared at the same oxidation potential. The higher IR absorption of the TO mode in relation to the LO mode for the (100) sample points to a more ordered oxide layer on this type of surface than on the (111) oriented Si surface. Figure 5 shows FTIR spectra of a hydrogenated Si surface under an argon atmosphere (a, b) and in contact with an electrolyte (c). The spectra are normalized with reference to the oxidized surface recorded in the same environment. The ex situ measured p-polarized spectrum (Fig. 5a) shows a sharp absorption peak of Si-H on the (111) oriented surface at 2082 cm- 1 [59, 146] and a small amount of coupled monohydride on steps at about 2070 cm -1 [59] (cf. Fig. 6), whereas the spolarized spectrum (Fig. 5b) shows no pronounced features. The small absorption at the Si-H stretching mode results from the polarizer leakage and/or imperfect polarization at the Si surface [59]. The strong dependence on the polarization of the IR absorption of the Si-H species is due to the fact that the Si-H bonds are perpendicular to the (111) oriented Si surface (Fig. 6, left side). Therefore, the strongest interaction of Si-H bonds occurs with the p-polarized IR light (strongest absorption), where the electric field vector of the IR light is parallel to the Si-H bond. The line broadening of the Sill stretching mode in contact with water is presented in Figure 5c. This effect is attributed to the interaction of surface Sill groups with the water dipole of the electrolyte [147, 148].
144
RAPPICH AND DITTRICH to c~ o .,Q . m
I 1 0 "~ per reflection
IR
Si
"X_/.-'..,,N/-.,v,,S I
rr o
c~ c.to
"yvp-pol.~
~
c
~ p-pol, s-pol 1900
'
a~ b~ll 2000
'
21'00
'
22'00
Wavenumber(cm~) FIG. 5. FTIR spectra of a hydrogenated Si surface under an argon atmosphere (a: p-polarized; b: spolarized) and in contact with an electrolyte (c). The spectra are normalized to the oxidized surface in the corresponding environment.
(1T1)1~(111) (100) 9
)
FIG. 6. Atomic arrangement of the Si(111) surface with a step on the right side (@: Si atoms on (111) terracesmmonohydrides, O: Si atoms on steps---either monohydrides or dihydrides, ~ : Si----H3 group).
Figure 6 shows a stick-and-ball model of the Si(111) surface with a step on the right side. The monohydrides on the (111) oriented terraces are perpendicular to the (111) surface and show a strong dependence on light polarization, which is not the case for the monohydride and dihydride species on the randomly distributed step facets.
145
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
2.2.2.
PULSED SURFACE PHOTOVOLTAGE
The spatial separation of excess electrons and holes leads to the development of a photovoltage. A comprehensive overview of SPV theory, experiment, and applications was recently made by Kronik and Shapira [ 149]. There are three basic mechanisms of charge separation: (i) built-in electric fields, (ii) different mobilities of excess electrons and holes (so-called Dember voltage), and (iii) preferential trapping of either positive or negative charges. The surface band bending (~s) can be measured by the SPV technique under in situ and ex situ conditions. In some parts of the article, the surface band bending is given in kT/q units (Y), where k, T, and q are the Boltzmann constant, temperature, and elementary charge, respectively. The SPV technique has been extended to ex situ measurement of the distribution of the surface state density (Dit) [37]. Figure 7 shows the band diagram of the surface region of n-type silicon in the dark and under illumination. In the dark, the surface Fermi-level position is usually in the midgap region due to surface states, whereas the bulk Fermi-level position (EF) is close to the conduction band edge (Ec) for n-Si. The charge localized in the surface states is equal to the charge in the space charge region (Qsc), with an opposite sign if the fixed charge is neglected. Therefore, the space charge region can be used to probe surface states. Excess electrons and holes are generated under illumination, with photons having energy larger than the bandgap. The altered carrier concentrations are de-
>.~ ....
c
~light
banddiagramin the dark banddiagramunderillumination
Ec
EF(n) /
t'
EF
.,..., --
EF(p) qUpv~
Ev 0
thickness
FIG. 7. Band scheme of an n-type semiconductor in contact with an electrolyte in the dark (solid line)
and under illumination (dashed line).
146
RAPPICH AND DITTRICH
scribed by the Fermi-level splitting into separate quasi-Fermi levels for electrons and holes. The excess carriers of charge decrease the built-in electrical field. This light-induced drop of the band bending is determined as q 9Upv, where Upv is the surface photovoltage. It can easily be measured as the light-induced change in the contact potential difference with a Kelvin probe (for continuous wave (cw) or chopped excitation) or with an arrangement of a parallel plate capacitor (for pulsed excitation), as used in our experiments: UPV - - ~ S -- ~ 0
(2.1)
where ~s and ~0s are the surface band bending under illumination and in the dark, respectively. Please note that the reference potential of the PV measurement should not change as a result of the illumination. Because the back side of the sample is used as the reference electrode, the absorption length of the excitation light and the diffusion length of the light-induced excess carriers should be smaller than the thickness of the sample. The sign of Upv is positive for n-type Si and negative for p-type Si in the case of depletion or weak inversion with respect to the illuminated front side electrode. The concentrations of excess holes and electrons are equal in space (6p = 3n). Assuming an unchanged space charge in the space charge region of the semiconductor in the dark and under illumination (Qsc - Q~c - 0), a transcendent equation for 6p and Y0 (in units of k t / q ) was derived [150]: ~P = X(e-Y~ -- e - Y ) + x-l(eY~ -- eY) + (X -- X-1)(Y~ - Y) ni
(2.2)
eY + e -Y - 2
where ni is the intrinsic carrier concentration (1.4 x 10 l~ cm -3 for c-Si at room temperature), and X is the doping factor (~. = n i / n and )~ = p / n i for n- and p-type semiconductors, respectively). The sign of the Dember voltage is given by the ratio of the electron and hole mobility (b = tXn/#p). The Dember voltage is positive if the excess electrons are faster than the excess holes. This is the case for c-Si. The Dember voltage (in k T / q units) can be written as b-l.ln UD-- b + l
1+~. ni
X + b . X -1
(2.3)
The surface photovoltage (in k T / q units) is then UPV
~-
Y - Y0 + UD
(2.4)
The three equations (2.2), (2.3), and (2.4) give a set with four unknown values (Y0, Y, UD, and 8p). Therefore, the excess carrier concentration should be obtained independently from the measurement of the band bending. For this purpose a new experimental parameter, the intensity of the exciting light, is introduced by
147
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
~~
0.0
:
-0.1
semitrans,
electrode Mica ~ - - " ]sample_L_
> v
>
o_ -0.2
p-Si (10 ,o.cm) HF treated
z, = 337 nm AtFWHM
=
5
ns
lexe= 0.5 mJ/cm 2
[
~ buffer
I~
scope
-0.3 -0.4 ,
I
0
,
,
,
,
I
1
,
,
,
,
I.
2
,
,
,
,
I
3
,
,
i
,
I
4
,
,
,
,
I
,
5
Time (gs) FIG. 8. Typical photovoltage transient and experimental set-up for transient PV measurements (laser pulse/semitransparent electrode/mica spacer/sample).
the experiment. The application of well-defined laser pulses (with an intensity in the range of some W/cm 2 and a duration time on the order of nanoseconds) allows large signal SPV measurements with excellent reproducibility. A further advantage of application of nanosecond laser pulses is that trapping processes are less important. Time-dependent preferential trapping, for example, has been shown at the porous Si/Si interface [ 151 ]. Figure 8 shows a typical PV transient. The pulsed PV is measured in a parallelplate capacitor arrangement (inset of Fig. 8). For ex situ measurements, the parallel-plate capacitor consists of a semitransparent front electrode, a thin mica spacer (thickness of the mica, some tens of micrometers), and the sample. The PV is measured with an oscilloscope via a resistance in the Gg2 range and a high impedance buffer. The maximum of the photovoltage is reached at the end of the laser pulse for n-type Si or a little bit later for p-type Si. The reason for this behavior is that the surface photovoltage and Dember voltage have opposite signs for p-type Si and therefore the PV amplitude can increase with decreasing concentration of excess charge carriers (6p) for high values of 6p. The PV amplitude (Upv) is measured just after the laser pulse has finished, and the band bending (Y0) can be obtained from Upv if 3p is known. Figure 9 shows the intensity dependence of the measured PV amplitude for n-Si with high resistivity (band bending is negligible because bulk and surface Fermi levels are both near midgap). The measured data are well fitted for the Dember voltage by Eq. (2.3), with b -- 3.5 and 3p = 2 x 1016 cm -3. The obtained value of b is in good agreement with values published in the literature [152]. The experimentally obtained value of 3p is characteristic for a given surface recombination velocity (So). The influence of So on the maximum value of 3p
148
RAPPICH AND DITTRICH
o
5 kocm
fit (parameters 5p and b)
150
/ o
5p = 2 1018cm -3
> v
n-Si(100)
measurement
E
100
/
b=3.5 ~
m
~
> 13..
50
.,#
j
= 902 am AtFWHM = 100 ns Io = 100 W / c m 2
. .~,...I
.
. ..
1 0 "6
.... I
.
. ......I
.
..
.....
I
.
.......
I
.
. .....
.I
.
..
....
.I
.
10 .5 10 .4 10 -a 10 -2 10 -1 10 0
I/I 0 FIG. 9. Intensity dependence of the measured photovoltage amplitude for n-Si with high resistivity
(open circles) and of the fitted Dember voltage (solid line). 1016
n-Si
10 W / c m 2 i
1015
1 W/cm 2
c') |
E
014 0.1 W / c m 2
~'•
1013 P C 1 D simulation" -~-~ (Ps = 0.3 V u,se: Zbu~k= 10 laS laser p
1012
1011
S B = 300 cm/s n = 1014 cm -3 -'..l.i|l
|
10 o
i
i
.....I
i
101
~
X = 902 nm
AtFWHM = 200 as .
. .....I
.
10 2
.
. .....I
.
10 3
.
.....I
.
10 4
.
. .....I
.
.
. 1...
10 5
S o (cm/s) FIG. 10. Dependence of the simulated excess carrier concentration at the surface of n-Si on the sur-
face recombination velocity under pulsed laser excitation for different intensities [ 153].
at the surface of n-Si (@(x=0)) is illustrated for different laser intensities (I0) in Figure 10 (duration time of the laser pulse, 200 ns). These simulations are performed with a one-dimensional model for pulsed laser excitation (PC1D [ 153]). The values of @(x=0) scale with I0. The value of &p decreases significantly for
149
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
n-Si q)s = 0.4 v
0.4
laser pulse: I. . . . 3 W/cm 2 X= 902 nm
0.3 >
PC1D simulation: Zbu,k= 10 ItS SB= 300 cm/s n = 10 TM cm3
AtFWHM= 2 0 0 ns
q)s = 0.2 v
v
> Q.
0.2
0.1
.0
CPs= 0.05 V
,,,,,,i
100
,
,
,,,,,,i
101
,
,
,,,,,,i
102
,
,
,,,,.,I
,
,
,,,,,,i
103
104
,
,
,,.,,,i
,
,
,,.,,
10 ~
So (cm/s) FIG. 11. Simulated photovoltage (PV) amplitude at the surface of n-Si as a function of the surface recombination velocityunder pulsed laser excitation for different surface potentials [153].
So > 104 cm/s. S0 can be expressed by cr 9v 9Ns, where ~r, v, and Ns are the surface recombination cross section (on the order of 10 -15 cm-3), the thermal velocity of excess carriers, and the concentration of surface recombination defects, respectively. The surface recombination becomes important for the determination of the maximum excess carrier concentration if Ns >__ 1012 cm -2. Figure 11 shows the simulated surface PV amplitude of n-Si as a function of the surface recombination velocity under pulsed laser excitation for different surface potentials (PC1D simulations [153]). 6p is larger by one order of magnitude than the equilibrium carrier concentration, which is usually the case for our PV experiments. Upv is larger than ~s for low values in So due to the Dember voltage, and Upv starts to decrease remarkably for So > 104 cm -3. The decrease in Upv at larger So does not seem to be significant. However, it is a serious source of error in determining the distribution of the surface state density (Dit). This source of errors can be slightly reduced by increasing the equilibrium carrier concentration, which leads to a reduction of the Dember voltage. But, in this case, the accuracy of the determination of 6p decreases, and the sensitivity of the SPV technique for measuring Dit decreases. The ability to measure Y0 with high accuracy by PV was used to obtain Dit ( E Ei), where Ei is the bulk Fermi level of the intrinsic semiconductor [37, 154]. For such a measurement, a field voltage (UF) is applied to the back contact of the Si sample for a certain period of time. The buffer is opened only during the PV measurement to avoid destruction of the buffer during switching of UF. After the
150
RAPPICH AND DITTRICH
PV transient is recorded, a UF pulse of identical time and amplitude but opposite sign is applied to discharge slow states [38]. The field voltage influences a charge at the semitransparent counter-electrode (QG). The value of Qc is given by UF and the thickness of the mica spacer (Ci, insulator capacitance). The condition of charge neutrality of the system is Qsc + Qit + QG + Qfix = 0
(2.5)
where Qsc(Y0), Qit, and Qfix are the space charge, the charge in surface states, and the fixed charge, respectively. A variation in QG causes variations in Qsc and Qit. A change in Qsc means that the surface Fermi level changes and, therefore, so does the charge in the surface states. The variation of Qit with Y0 determines the surface state distribution (Dit), which is given by Dit --
1 dQit q dYo
(2.6)
Using Eq. (2.5) as d Qsc + d Qit -k- d QG = 0, dUF = dUi + dYo, and d QG = Ci 9d Ui, where Ui is the voltage drop across the mica spacer, the following expression for Dit can be derived [154]:
( )dUE d Q s_f ( 1Y o )+
q 9Dit -- Ci 9 dY0
dY0
(2.7)
Equation (2.7) contains values that can be determined only experimentally. The SPV method works well when the surface is in depletion or weak inversion. The accuracy for determining 6p and Y0 can be increased by the so-called doublepulse method when the intensity of the exciting laser pulse is changed and 11/12 = 6pl/6p2 is considered [ 155]. It should be noted that the component of PV induced by preferential trapping cannot be separated in this kind of experiment, but its influence on the measurement can be minimized with the use of short laser pulses in the nanosecond range. The minimal density of surface states ( D mi ti n) is reached near midgap for Si/SiO2 interfaces. These so-called midgap states are fast traps with large capture cross section for both electrons and holes. The density of midgap states has to be minimized for electronic applications. The sensitivity of the SPV method to/-).min "'It (A/Dmin] is given by analysis of the turning point in the Upv(UF) dependence. " " it J ADit in is limited by second term in Eq. (2.7). A serious source of experimenA/)min tal errors is the imperfect homogeneity of the mica spacer. Values for "--"-'it of about 101~ and 109 eV -1 cm -2 can be reached for dopant concentrations of 1015 and 1013 cm -3, respectively. The absolute values of fixed charges and of charged surface states cannot be obtained easily. The calculation of Qit by integration over the acceptor and donor states demands a detailed knowledge of the distribution and character of involved defects. This renders an accurate determination of Qfix. For most applications a
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
151
FIG. 12. Experimental setup for in situ SPV measurements during electrochemical treatment of semiconductor surface processing. Reprinted with the permission of the American Institute of Physics [36],
copyright 2001.
knowledge of Oit is sufficient. Changes in Qfix can be measured on the basis of shifts of the Upv (UF) characteristics along the UF axis. The experimental situation changes for in situ SPV measurements during electrochemical treatments. A typical experimental setup is shown in Figure 12 for PV measurements during electrochemical treatments. The band bending in the semiconductor can usually be obtained from the PV amplitude. The capacitance of the Helmholtz layer at the semiconductor/electrolyte interface is much larger than the space charge capacitance of the semiconductor. This makes a SPV analysis according to Eq. (2.7) impossible. Figure 13 shows typical PV transients of p-Si in (NH4)2SO4 at 0 V (thick solid line) and - 8 V (thin solid line) during potentiostatic treatment plotted on a longer time scale. The PV transient at - 8 V is very different from that measured at 0 V or the PV transients measured ex situ. First, the PV amplitude (not shown) is much higher than the band gap, and, second, the PV transient exhibit damped oscillations. The value of q - Upv may be much larger than the band gap because the applied potential drops across the semiconductor. The oscillations in the PV transient at - 8 V are caused by the time constant of the potentiostatic control.
152
RAPPICH AND DITTRICH
p-Si(100) 0.0
~
-0.5 [-
t
I
-~.01-
[[
II
II II
IIII IIII II II II# v
0
applied potential (Ag/AgCI):
u=ov
laser pulse:
~= 902 am_
AIFWHM=I00 ns
W = 150 W/cm 2 10
Time (las)
20
FIG. 13. Typical in situ measured PV transients during potentiostatic control of p-Si in (NH4)2SO4
at 0 V (thick solid line) and - 8 V (thin solid line). Reprinted with the permission of the American Institute of Physics [36], copyright 2001.
Therefore, the internal amplifier of the potentiostat should be slow enough if high Upv is measured with high accuracy. 2.2.3. PULSED PHOTOLUMINESCENCE Crystalline silicon (c-Si) is an indirect semiconductor. The forbidden band gap of c-Si is 1.1 eV. The rate of radiative interband recombination is very low for indirect semiconductors because phonons are involved during the transition process. Therefore, the radiative recombination lifetime is very large (more than 10 ms). Nonradiative recombination processes such as Shockley-Read-Hall (SRH) recombination are usually much faster. For this reason, the efficiency of the interband luminescence at room temperature is very low because of the high efficiency of nonradiative recombination processes. In other words, the recombination is dominated by nonradiative bulk and/or surface recombination processes. The radiative interband recombination can be measured by PL techniques. The quenching of the PL signal contains information about nonradiative recombination. This circumstance has been used to monitor the change in nonradiative surface recombination during surface treatments and processing of c-Si by considering no change in the bulk lifetime. The interband PL of c-Si can be excited with pulsed or cw lasers. The measured PL intensity is rather low for c-Si at room temperature. A high excitation intensity or extreme cooling of the Si sample is required to increase the PL intensity. The main disadvantages of PL excitation by cw lasers are (i) sample heating
153
ELECTROCHEMICAL PASSIVATION OF SI AND S I G E
(a) (b) (c) (d) (e)
absorption radiative band-to-band recombination (PL, ~ 6n 2) non-radiative surface recombination (~ 6n) non-radiative bulk recombination (~ 6n) non-radiative Auger-recombination (~ 6n3) l
l
**ooO. ,, l
l
l
l
".
I
r
i
I I
Ec EF(n)
9
I
(b),
, I I
\Oo - . . . . .
%'" +
+
,,I-
i "" I
,.~
&
. !(d)
(e)
9
+
+
EF(p) Ev
FIG. 14. Overview of elementary processes at a semiconductor surface under strong illumination.
for high excitation levels, (ii) distortion of the electrochemical process by the high amount of excess carriers, and (iii) the unsuitability of cooling below about - 10~ for electrochemical processing. These disadvantages are eliminated by excitation with laser pulses in the nanosecond range because of high excess carrier concentration for a very short period of time. The PL intensity can be measured by excitation with a single laser pulse, and it can be used very nicely for in situ stroboscopic probing of a c-Si surface during electrochemical processing. Figure 14 gives an overview of elementary processes at a semiconductor surface under strong illumination (~n >> n, p). The relevant processes are carrier diffusion, Auger recombination, nonradiative surface and bulk SRH recombination, and bimolecular radiative recombination. The efficiency of the radiative interband recombination is proportional to the product of the excess electron (~n) and hole concentrations (@), whereas the efficiency of the SRH nonradiative recombination is proportional to the excess electron or hole concentration. Therefore, the PL intensity increases much more strongly with increasing excitation intensity (W) than the nonradiative SRH recombination. The efficiency of the Auger recombination is proportional to ~ne,~p or ,~peSn, and nonradiative Auger recombination limits the PL intensity at high values of W. A typical setup for in situ PL measurements during electrochemical processing of Si surfaces is shown in Figure 15. The sample is placed in a quartz tube, and the electrolyte is pumped continuously through the tube. The Si sample serves as a working-electrode and a Pt wire as a counter-electrode. The reference-electrode is
154
RAPPICH AND DITTRICH
FIG. 15. Experimentalset-up for in situ photoluminescence (PL) measurementsduring electrochemical treatment, after [35]. Reprinted with the permission of the Electrochemical Society, copyright 1997.
a Calomel electrode. The PL is excited with nitrogen lasers (wavelength, 337 nm; duration time of the laser pulse, AtFWHM -- 0.5 or 5 ns; W up to 10 mJ/cm2). The intensity of the N2 laser is changed over several orders of magnitude with glass plates as filters. The laser beam is slightly focused on the sample with a quartz lens (spot diameter about 3-4 mm). The light of the radiative interband recombination is collected using a lens with a short focal length and large diameter. A quartz prism monochromator is used to select the light at a wavelength of about 1.1/zm. Integrating InGaAs photodetectors with a high impedance preamplifier (EMM, integration time on the order of 10 ms) and Si avalanche photodiodes with fast amplifiers (EMM, time resolution 3 ns) are used for the detection of the integrated and transient PL signals, respectively. The oscilloscope is triggered with a photodiode by scattered light from the N2 laser. Sometimes a filter made of silicon is used as an optical band-pass filter instead of the monochromator for the light of the radiative interband recombination of c-Si. The duty cycle of the stroboscopic measurements is, with respect to the lifetimes of excess carriers, on the order of 10 -5. Therefore, electrochemical processes at the anodic oxide/p-Si interface are not remarkably influenced by the PL and PV measurements. The inset of Figure 16 presents the PL spectrum of c-Si at room temperature. PL transients are measured for photon energies at which the PL intensity has a maximum (about 1.1 eV). Figure 16 shows typical PL transients for c-Si at different excitation levels (N2 laser pulses, wavelength 337 nm, AtFWHM = 0.5 ns). The absorption of ultraviolet light is very strong in c-Si, and excess carriers diffuse from the near-surface region into the bulk. The fast decay of the PL intensity
155
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE -4
c-Si
101
"~B=I ms
=>" r e-
100 v
d
.c_ d
10 -1
o_ 0.9
1.1
(eV)
.m
c c J
13_
1 0 .2
1.3
10 .3 1 0 .4 10 -s
[ i
0
,
I
20
,
I
,
40
I
,
I
60
,
80
I
,
100
I
120
Time (ps) FIG. 16. Measured PL transients for c-Si at different excitation levels (N2 laser pulses, wavelength 337 nm, AtFWHM -- 0.5 ns). The inset presents the PL spectrum for c-Si at room temperature. The dashed line shows the decay of a PL transient for a PL lifetime of 25 #s. Reprinted with the permission of the American Institute of Physics [ 156], copyright 1999.
at the shorter times is given by the fast reduction of the excess carrier concentration an due to diffusion and Auger recombination. The decay of the PL intensity at the longer time is given by the so-called PL lifetime, which is a combined lifetime for surface and bulk recombination. The PL lifetime is about 25/zs for the PL transients (shown in Fig. 16), regardless of the excitation level. This fact is crucial to the calibration of the pulsed PL technique. PL transients are simulated with a simple diffusion model in which band bending is not taken into account [ 156]. For high excitation levels, the values of excess holes and electrons can be considered as equal (an = @), and the bands are flat at the semiconductor surface. The one-dimensional kinetic equation describing the excess carrier concentration can be written as [ 157] Oan at
026n
= D "-2
Ox
q- G ( x ,
an t) -
~
rB
-
flan 2 -
van 3
(2.8)
where D is the ambipolar diffusion coefficient (15 cm2/s for c-Si), G ( x , t ) is the generation rate of nonequilibrium carriers, rB is the carrier lifetime in the bulk,/3 is the coefficient of interband radiative recombination (3 x 10 -15 cm3/s for c-Si), and 9/is the Auger recombination coefficient (2 x 10 -3~ cm6/s) [158].
156
RAPPICH AND DITTRICH
The generation rate G ( x , t) can be expressed for pulsed laser excitation as Wot(1-R)
[
(t-t0)2
]
(2.9)
G ( x , t) -- hvp(AtFWHM/2)~/_ ~ exp --otx -- (AtFWHM/2) 2
where W , hvp, R (0.6 for hvp - 3.7 eV), ot (106 cm -1 for hvp - 3.7 eV), AtFWr~M, and to are the total energy density, the photon energy of the laser pulse, the reflection coefficient, the absorption coefficient, the laser pulse duration time (full width at half-maximum), and the time needed to reach the maximum light intensity, respectively. The boundary conditions are 06n _ Sf[3n(0, t) - 6no] -0x (x=0) D
(2.10a)
06n = Sb [3n(d, t) - 6no] Ox (x=d) D
(2.lOb)
where d is the thickness of the sample (0.4 mm for the calculations), 3no is the equilibrium carrier concentration (1014 cm -3 for the calculations), and Sf and Sb are the surface recombination velocities at the front and back surfaces of the c-Si sample. The surface recombination velocity depends on the concentration of surface nonradiative defects (Ns), their recombination cross section (o'), and the thermal velocity of the excess carriers (v, about 107 cm/s at room temperature): (2.11)
S f - - Sb = cr v N s
The recombination cross section (or) may change over several orders of magnitude, but cr is on the order of 10 -15 cm 2 for highly efficient recombination active centers [48]. Therefore, S is on the order of 100 cm/s for Ns = 10 l~ cm -2. For comparison, unusually low surface recombination velocities below 1 cm/s could be obtained for advanced c-Si (bulk lifetime larger than 100 ms) in HF [48]; i.e., Ns is below 108 cm -2 in this case. The transient and integrated PL intensities are given by
f
d
IPL (t) -- fl
6n 2 (x,
t)dx
(2.12a)
0 tm
pint L _
f 0
where tm >> rB.
IpL(t)dt
(2.12b)
157
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
p-Si(100),10
nm thermal
oxide
N s = 2 10 l~ c m 2
I ~
100
n-Si(100),
native oxide N s = 8 10
TM
c m -2
I
r
10 1
I
simulations
I
>,,
I I
03
t-
1
9
0_ 2
I ! I
t._1 13.
I I
1 0 .3
m
laser pulse
i 104
;L = 3 3 7 n m
I' 9
1
W = 1 mJ/cm 2
I
,,,,,I
I
1 0 .8
,
,
, ,,,,,I
,
,
1 0 -7
, ,,,,,I
1 0 .8
,
,
, ,
'
I
,
,
......
1 0 .5
I
1 0 .4
II
, ....
I
1 0 .3
Time (s) FIG. 17. Measured and simulated PL transients for Si surfaces covered with a thermal or native oxide.
The quantum yield of PL can be calculated as r]pL - -
pint L
(W/hvp)(1 -
R)
(2.13)
Measured and simulated PL transients on a log-log scale are shown in Figure 17 for Si surfaces covered with a thermal or native oxide. The bandwidth of the amplifier was 15 MHz for the measurements shown. The bulk lifetime was 0.9 ms for these samples. The first decrease in the PL intensity is mostly related to nonradiative Auger recombination. Diffusion of excess carriers dominates the decay of the PL intensity in the range between 100 ns and 20/zs, and nonradiative surface recombination limits the PL intensity at longer times for the wellpassivated sample (thermal oxide, 10 nm thick). The PL lifetime is about 40 #s for this sample. An excellent fit can be obtained for the sample covered with the thermal oxide (Ns = 2 x 10 l~ cm-2). The regions where diffusion or nonradiative recombination of excess carriers dominates are not well distinguished for the sample covered with the native oxide. Nevertheless, the PL transient is well fitted for Ns = 8 x 1011 cm -2. Thus, the absolute values of Ns can be obtained from PL transients if cr = 10-15 cm 2. The measurement of the integrated PL intensity is needed for stroboscopic in situ PL investigations of Si surfaces during electrochemical treatments. A theoretical analysis of the dependence of "pL lint and r/pL on W was made with the use of Eqs. (2.12b) and (2.13) for different rB and Ns and for AtFWHM in the nanosecond range. The PL intensity is proportional to W 2 for W up to 1 mJ/cm 2 and saturates for higher W because of increasing influence of Auger recombination. The PL el-
158
RAPPICH AND DITTRICH
~(~., ,, "......... "'"-.. Islope -1/,
101 10 0 ~-~ 10 -1
10
~
..
W = 1 mJ/cm 2
.
...1 o-1 0_ 2
10
-3
10 .4 ,,
1|,|,I
10 8
........
i
10 9
........
i
........
101~
i
1011
........
i
........
1012
N~(cma)
i
........
1013
i
,
1014
FIG. 18. Dependence of the PL efficiency on Ns for W = 1 mJ/cm 2 and different values of rB. Reprinted with the permission of the American Institute of Physics [ 156], copyright 1999.
ficiency increases up to W of about 1-2 mJ/cm e and decreases for higher W. The excess carrier concentration is about 1017 to 1018 cm -3 for W of about 1 mJ/cm e. The value of the excess carrier concentration at 1 mJ/cm: was also confirmed experimentally by PV measurements. The PL efficiency can reach values in the range of 1% for low Ns, high rB, and optimized conditions of excitation (W in the mJ/cm e range for AtFwi-irvl in the nanosecond range). The dependence of the PL efficiency on Ns is shown in Figure 18 for W = 1 mJ/cm 2 for different values of rB. The sensitivity of PL efficiency to changes in Ns (Ns sensitivity) is limited by the bulk carrier lifetime to lower values of Ns. The Ns sensitivity is in the range of 1011 cm -2 for rB - 10/zs and can be improved to less than 108 cm -2 for rB -- 10 ms. From an experimental point of view, Si wafers with bulk lifetimes larger than 100/zs are needed to detect changes in Ns with a resolution better than 101~ cm -e. If rB > 100/zs, the PL efficiency is practically proportional to N s 1 for Ns > 1011 cm -2. The dependence of the integrated PL intensity of Si/SiOe samples on Dit is shown in Figure 19 for different excitation levels. The values of Dit are obtained by conventional capacitance/voltage (CV) measurements. As remarked, for thermally oxidized c-Si the value of Dit in the minimum corresponds quite well to Ns, because intrinsically back bonded Si dangling bonds act as rechargeable and recombination centers, which dominate the interface state distribution in the range near midgap. The solid circle in Figure 19 denotes an oxidized Si sample for which no CV data but the PL intensity could be obtained. The integrated PL intensity is proportional to Dff 1 regardless of W. Therefore, the measurement of Ns
ELECTROCHEMICAL
159
P A S S I V A T I O N OF S I A N D S I G E
10 5
>,.,
or) C
10 4
03
-..
c-J &. "O
10 2
~
101
W (mJ/cm 2)
1:o=1 ms (3_...
-~+"~.... .
1011
.
.
"'-
~-~,.
,...., c
10 0 .......
.
.
.
.
.
2
)J(
0.2
-I- 0.o5
"'x~-O "--.~. "'r - .++.+
0
I
-
~-. .
.
1012
.
)16 .
.
.
.
.
I
,
101~
Dit (eV-lcm -2) Dependenceof the integratedPL intensity of Si/SiO2 samples on Dit for different excitation levels. The values of Dit were obtained by conventional capacitance/voltage measurements.Reprinted with the permission of the American Institute of Physics [156], copyright 1999.
FIG. 19.
with the use of stroboscopic PL excitation can be calibrated by only one set of PL and CV measurements of a Si sample (Figs. 18 and 19). This makes in situ PL measurements very manageable.
2.3. Electrochemically Hydrogenated Si Surfaces Hydrogenation of Si surfaces takes place whenever an oxide layer on Si is etched back by an HF-containing solution. The formation of hydrogenated Si surfaces is one of the most important steps in device manufacturing. HF dip or buffered NH4F treatments produce different kinds of surface morphology (i.e., rough or smooth), which is of interest for further processing (deposition, oxide growth, etc.). Four types of hydrogenated Si surfaces can be distinguished: (i) HF dip (a partial step in the RCA clean [99]), (ii) treatment in buffered fluoride solutions [59], (iii) electrochemical hydrogenation in diluted fluoride solutions [73, 85], and (iv) formation of porous Si (por-Si) in fluoride solutions [ 159]. The hydrogenation of these surfaces has been investigated by FTIR [48, 52, 53, 55, 59, 85, 147, 160] and high-resolution electron loss spectroscopy [51, 58, 67, 73, 161-164]. In this section, we show that electrochemically prepared, microscopically rough Si surfaces have very low defect concentrations, which will be interpreted by a special kind of reconstruction of step facets. Furthermore, we show some results concerning the stability of such surfaces in the presence of oxidizing agents, acidic HF or alkaline solutions.
160
RAPPICHANDDITTRICH
2.3.1. ELECTROCHEMICAL HYDROGENATION IN DILUTED HF SOLUTIONS The ideally hydrogenated Si(111) surface consists of atomically flat and unreconstructed facets [42, 62] and is covered by Si-H bonds [53]. Such surfaces can be prepared in concentrated buffered NH4F solution (40%; pH 7.8) [42, 59]. The formation of fiat surfaces on Si is important, for example, for heteroepitaxial deposition of other semiconductor material on Si or for the formation of thin gate oxides. Steps and kink sites open the pathway for leakage currents through an enhanced electric field at tips, which, at least, decreases the breakdown voltage. Furthermore, hydrogenated Si surfaces are free of surface states that act as recombination centers. A well-controlled way to monitor the hydrogenation process can be performed by means of electrochemical processing. A current transient occurs at the end of the oxide dissolution in diluted HF solutions for n- and p-type material in the dark [85, 86, 164-168]. Figure 20 shows (photo)current-potential scans of n- and p-type silicon in 0.1 M and 0.2 M NHnF (pH 4) under illumination and in the dark. At the first strong increase in the current, Si is oxidized to a divalent state and dissolves into the electrolyte, leading to a rough and at least porous structure. At higher anodic potentials, four positive charges (denoted by h + (hole)), are consumed for the overall oxidation reaction [7] according to Si 4- 2H20 4- 4 h + --+ SiO2 4- 4H +
(3.1)
//
a) n-Si(111 ), in the dark b) n-Si(111 ), white light c) p-Si(lO0)
NH4F pH 4
o v
1
0
0
1
2
3
4
5
10
15
Potential (Vsc E) FIG. 20. Current-voltagecurves of n-type Si(111) in the dark (a) and under white light illumination in 0.1M or0.2 M NH4F (b) and of p-type Si(100) in 0.1M NH4F in the dark (c).
161
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
Therefore, n-type silicon needs illumination to ensure hole generation (electrons are majority carriers) by the incident light (curve b), whereas the hole concentration is high enough for p-Si (curve c), and no illumination is required (holes are majority carriers), as can be seen in Figure 20. There is no reaction (no current) of n-Si in the dark at anodic potentials (curve a). The Si surface is covered with oxide at potentials above the first current peak, and the thickness of the oxide layer depends on the applied potential [ 166, 168, 169]. Current oscillations occur when silicon is polarized around +6 to + 12 V. These oscillations are damped at higher anodic potentials [ 168, 170]. While the oxide is being formed, it is simultaneously dissolved by small amounts of HF present in the electrolyte, leading to the well-known electropolishing behavior in such solutions [81, 171,172]. Chemical etching of silicon oxide occurs according to the following reactions: SiO2 4- 6HF --+ SiF 2- 4- H20 4- 2H +
(3.2)
SiO2 4- 3HF 2 --+ SiF 2- 4- H20 4- O H -
(3.3)
The etch rate for SiO2 in fluoride solution is given by ke
-
-
(3.4)
a[HF] + b[HF 2] + c
where a and b contain activation energy-type terms, a = 2.5, b = 9.7, and c -- 0 . 1 4 [173]. It should be noted that etching by HF 2 dominates at pH values above 2.5, because b is 4 times higher than a. The HF and HF 2 concentrations can be calculated as follows. The solution contains F - and H + ions, which are coupled via dissociation reactions [ 174, 175], kl[HF] -- H+][F-], k2[HF 2] -- [HF][F-],
kl = 1.3 • 10 -3
and
(3.5)
k2 -- 0.104
(3.6)
[F] = 2[HF 2] + [HF] + [F-]
(3.7)
The total concentration of fluor is given by
The formation of an HF dimer, (HF)2, is discussed for fluoride concentrations higher than 1 M [176], so that Eqs. (3.5), (3.6), and (3.7) are no longer valid at higher fluoride concentrations. Figure 21 shows the pH dependence of the concentrations of F - , HE and HF 2 for 0.1 M total concentration of fluoride as calculated from Eqs. (3.5) to (3.7). HF and F - are the main components at lower and higher pH values, respectively. The concentration of HF 2 has a maximum at a pH of about 3 and diminishes at pH values below 1 and above 5, where only HF and F exist, respectively. A solution consisting of 0.1 M NH4F at pH 4 contains about 0.014% HE Figure 22 shows the behavior of the dark current transient during the etchback process of an anodic oxide formed at +3 V. The dark current transients are
162
RAPPICH AND DITTRICH
0.10 O
E
0.08
E
._o 0.06 .i-, ..i-, c-
O tO
o
0.04
__•0.1 M NH4F iiii
I / ~ " -. .- --
.......
F-
~,__r:'f" __. . . . . .
HF HF 2"
0.02 0.00
0
i
4
7
pH FIG. 21. Dependence of F - , HE and HF 2 concentration on pH for 0.1 M total concentration of fluorine as calculated from Eqs. (3.5) to (3.7).
900
n-Si(111 ) 0.2 M NaF (pH 4.5)
= I .9 g l
/~ /,-~,.
o I
~
.Q
E ~j
light on +3 V 300
-o.6v
------ -0.9V
...2"
600
--I
"-
+0.5v
m ~
light off ~
2000 2100 2200 2300
Wavenumber (cm 1)
1
FTIR (reference) I
5O s
-0.9 V FTIR
I
Time FIG. 22. Current during the etch-back process of an anodic oxide in 0.2 M NaF (pH 4.5) monitored at different potentials (a, b, and c: +0.5 V, - 0 . 6 V, and - 0 . 9 V) after switching off the light is switched off. The anodic oxide is formed at +3 V under illumination. The inset shows the respective IR spectra after the dark current transient has leveled out.
monitored at different potentials after the light is switched off. At +0.5 V the wellknown current transient with the typical current peak is obtained. At a potential near the flatband potential of about - 0 . 6 V the dark current becomes slightly cathodic after a short period, and only a very small current peak can be observed. The dark current finally decreases to a constant negative value. The current peak disappears when a stronger cathodic potential ( - 0 . 9 V) is applied, and the dark current rapidly decreases to a constant value of about - 5 0 / x A / c m e. The inset of Figure 22 shows the IR spectra obtained after the dark current transients have
163
ELECTROCHEMICAL PASSIVATIONOF SI AND SIGE
rel. change of IR-absorption ~-E 2300o.9.. ..~ 2200E 2100- S i g H 20001900-"" E
40-
;~ =I.
20-
4
o
5"
-_
O
.m
0
n-Si(111) / 0.1M NH4F (pH 4.4 )
0
'
'
'
I
500
'
'
'
'
o'oo
Time (s)
'
'
'
'
o
og. 5'oo =
FIG. 23. Time dependence of the current (bottom) during the etch-back process of an anodic oxide in 0.1 M NH4F (pH 4.4), and the relative change in the IR absorption in the Si-H stretching mode region measured at different times during the decay of the current (spectra are normalized to the oxidized surface). The open circles denote the integrated IR absorption as calculated from the FTIR spectra.
reached constant values. The spectra are normalized with reference to the Sillfree and oxidized surface measured at +3 V under illumination. The IR spectra are quite similar to each other and show no distinguishing features. This means that the shape (slightly anodic or slightly cathodic) of the dark current transient has no influence on the hydrogenation process. Figure 23 shows a series of IR spectra (top) recorded during the current transient (bottom) as a function of time. The spectra are normalized to the oxidized surface. There are no Si-H species detectable up to the maximum of the current transient (the detection limit is about 5-10% of 1 ML Si-H). The hydrogenation process starts after the maximum of the dark current transient. When the current begins to decay, the hydrogenation sets in, and the IR absorption in the range of the Si-H stretching modes increases and saturates when the dark current transient levels out. This is demonstrated by the integrated IR absorption (2000-2200 cm -1), which is plotted at the bottom of Figure 23 (open circles). The H-termination is preserved over long etching periods. In addition, X-ray photoelectron measurements recorded when the current transient has decayed reveal an oxygen, fluorine, and carbon content below a tenth of a monolayer [86]. The current transient can be monitored in a high range of variation of anodic potentials at n-Si electrodes [ 166], and it was shown that the charge flow during the current transient increases slightly with increasing anodic potential at n-Si. The situation is different for p-type silicon, where holes are the majority carriers, and anodic oxidation occurs without illumination. Nevertheless, a narrow potential regime
164
RAPPICH AND DITTRICH
Si-H l 1Si=H2 n-Si(111) 0.2 M NaF
80
••'60 IIA
.=o g '~ a::_~
pH 3
._ 40
II II
II/I
pH 4
~ , p H
..., ~....'
/ ' pH4.7~
ooo
~~,.d.~,,.~. H_5.~ ,oo
0
ado
2200
4oo
Wavenumber(cm")
H I I ] \ afteranodicoxidationat +10 V IIH / \ underillumination /" /L~---- ~ 0.1 M K-hydrogenphthay
20
pH3~ 419
0'00
pH 5.3 " "
"
5'00
Time (s) FIG. 24. Current during the etching process of an oxide-covered n-Si(111) surface in 0.2 M NaF at different pH values as a function of time (U = +0.5 V). The inset shows the respective IR spectra of the n-Si(111) surfaces (reference at pH 5.3nnonhydrogenated surface). The baselines of the spectra are shifted for better visualization.
exists, located near the flatband potential between - 0 . 4 and - 0 . 6 V (see Fig. 20), to control the hydrogenation process and to protect the p-Si against oxidation reactions [35, 167, 168]. Therefore, the process of H-termination on p-Si surfaces can also be well monitored by measuring the time behavior of the current. The anodic (or dark) current transient does not only depend on the potential, but also on the oxide thickness and the etch rate of the oxide, which is given by the pH of the solution used. Figure 24 shows the current transient in 0.2 M NH4F for different pH values measured at +0.5 V. The anodic oxide is prepared in a 0.1 M solution of potassium hydrogenphthalate (pH 4) up to 4-10 V. The resulting thickness of the oxide layer is about 80/k [ 164]. The transient occurs later in time with increasing pH (from 3 to 5.3), and the charge that passes the electrode increases. Surprisingly, the current did not decay at pH 5.3; moreover, it remains at a high level, and no hydrogenation takes place, as measured by FTIR spectroscopy [85]. The relative change in the IR absorption with respect to the Si-H-free surface is plotted in the inset of Figure 24 for different pH values of the electrolyte. The FTIR spectra are measured at a time that is two times larger than the transient width, to create comparable conditions for the experiments. The IR spectrum of the oxidized and 0.2 M NaF (pH 5.3) etched silicon surface serves as our Si-H-free reference spectrum to eliminate the influence of the electrolyte on the IR absorption. A shoulder can be seen in the high-energy part of the spectrum at pH 3,
ELECTROCHEMICAL
PASSIVATION
165
OF SI AND SIGE
which is attributed to the stretching mode of Si=H2 species. With increasing pH this shoulder diminishes. This result is in good agreement with the fact that steps on a Si(111) surface have one or two dangling bonds, depending on the step orientation. The smallest amount of Si=H2 was found after the two-step procedure. The treatment at pH 4 with a subsequent etching step at pH 4.9 leads to about 90-100% of a monolayer of hydrogen on the Si(111) surface [64, 76]. But there is still a slight asymmetry that points to a very small IR absorption due to Si=H2. At pH 5, only a very small concentration of hydrogen silicon bonds (about 25% of spectrum d) exists on the surface. The amount of hydrogen-silicon bonds at electrochemically hydrogenated Si surfaces depends very little on the way the oxide is formed before the etching back process. The anodic oxidation can be carried out with and without current oscillations. In the following, two different treatments are used: (i) anodic oxidation without current oscillation and subsequent etching back of the oxide layer (noOsc-surface) and (ii) anodic oxidation with current oscillation and subsequent etching back of the oxide layer (Osc-surface). The anodic oxidation and etching back of the oxide is performed in the same solution. The etch-back process is monitored by the current transient, and the hydrogenation is completed when the transient levels out. Figure 25 compares in situ FTIR spectra of the different treatments, process (i) and (ii), applied with 0.1 M NH4F (pH 4). The anodic oxidation is performed at
Si-H
o
.i
Si=H 2
0
..Q rr i o o
c~ etO
o -4
. i i
9
o
2o'oo
'
2 'oo
Wavenumber
'
='oo
(cm ~ )
In situ FTIR spectra of an electrochemically hydrogenated Si surface in 0.1 M NaF (pH 4.0) obtained just after the anodic current transient has leveled out. The anodic oxidation is performed at + 1.5 V (a) (no oscillations) and at +6 V (b) (with anodic current oscillation). The baselines are shifted for better visualization. Reprinted with permission of the Electrochem. Soc. Inc. [81], copyright 1994. FIG. 25.
166
RAPPICH AND DITTRICH
FIG. 26. STM micrographs of electrochemically hydrogenated Si(lll) surfaces in 0.1 M NH4F
(pH 4.0) after anodic oxidation in the oscillating regime for 2 (a) and 30 (b) rain. Reprinted with the permission of Elsevier Science B. V. [ 178], copyright 2000.
+1.5 V for process (i) (Fig. 25a) (noOsc-surface) and at +6 V for process (ii) (Fig. 25b) (Osc-surface). The IR absorption due to hydrogen silicon bonds is a little bit stronger for the hydrogenated Si surface after process (i), the noOscsurface. This stronger absorption is particularly prevalent in the region of the Si=H2 bonds and, therefore, points to a higher microscopic roughness of the hydrogenated Si surface with prior electropolishing without oscillations. In addition, an increasing IR absorption due to Si=H2 and S i - H species has been obtained with increasing time of etching after the dark current transient has leveled out. At first, the amount of Si-H2 increases and, finally, the total amount of S i - H and Si=H2 increases with increasing time. These processes are a result of roughening of the hydrogenated Si surface [ 177]. Figure 26 shows scanning-tunneling-microscopy (STM) micrographs of Si(111) surfaces hydrogenated electrochemically in 0.1 M NH4F (pH 4) after anodic oxidation in the oscillating regime, process (ii), for 2 (a) and 30 (b) min [ 178]. For the STM studies p-type samples have been cut from a B-doped Si(111) wafer (resistivity 1 S2 cm) with a misalignment of 0.25 ~ off the (111) orientation toward the (112) direction. The ultra-high-vacuum STM images are acquired at
167
ELECTROCHEMICAL PASSIVATIONOF SI AND SIGE
c6
2 0
v
m
c 10
t p-poI
C~ o0
9
. m
n" o
5
tO
0
(1) c"
(1)
"
2ooo"
Si-H A !~~]
Si-H on A) (111) terrace B) (111) step atoms
i
C) (100) 1x2 reconstr.
,i# /..i., ISi-H2 |
?dad"
'2 'oo "
'2 'so "
72, )0
Wavenumber (crn -~) FIG. 27. Typical ex situ FTIR spectrum of an electrochemically hydrogenated Si(111) surface after anodic oxidation in the oscillating regime. The spectrum is normalized to the anodically oxidized surface. Reprinted with the permission of Elsevier Science B. V. [302], copyright 1999.
a constant current of 0.2 nA and a bias voltage of +3 V (for details see [178]). The overall surface morphology appears rough and is characterized by the formation of very fiat hole-like structures with lateral dimensions of some 10 nm up to about 100 nm. The holes become more pronounced and tiny protrusions are dissolved with increasing time of anodic oxidation in the oscillating regime, resulting in a smoother surface structure at the microscopic scale. There is no indication of facet formation. Surface structures very similar to those observed in our experiments can also be produced during electrochemical oscillations in fluoride-free electrolytes [ 179]. It should be recalled that hydrogenated Si(111) surfaces are macroscopically flat but very rough on the microscopic scale after immersion in HF (40%). The NH4F-treated (40%, pH 7.8) Si(111) surface is also macroscopically smooth; however, it exhibits a different microscopic structure. It consists of atomically fiat terraces that are spaced from one another by 0.31-nmhigh bilayer steps. These terraces are only disturbed by point defects and triangular holes [62, 79, 178, 180]. Typical ex situ FTIR spectra of an electrochemically hydrogenated Si(111) surface, recorded after processing (ii) at +6 V, are presented in Figure 27 for s- and p-polarization of the IR light. These spectra are very different from the wellknown FTIR spectra of flat hydrogenated Si(111) surfaces prepared in NH4F (40%, pH 7.8) or HF-treated S i ( l l l ) surfaces [42, 52, 59]. The most striking feature of the FTIR spectra of electrochemically hydrogenated Si surfaces is their
168
RAPPICH AND DITTRICH
line broadening. The broad IR absorption peak gives evidence of a high degree of disorder at the hydrogenated Si surface region. In addition, there is a small signal for s-polarized IR light. Furthermore, the p-polarized spectrum contains two narrow peaks in the range of the Si-H stretching modes (2081.4 and 2087 cm-1), which are shifted with respect to the stretching mode of Si-H on terraces (2083 to 2084 cm -1 [68, 132]). The IR absorption peaks at 2078 and 2081.4 cm -1 may be due to Si-H on steps and Si-H groups on positions similar to Si-H on terraces, respectively. The peak at 2087 cm -1 seems to be due to Si-H groups that are at positions similar to Si-H species on 1 • 2 reconstructed Si(100) surfaces [45]. The broad spectrum smears out in the range of the Si=He stretching modes at higher wavenumbers. There is hardly any Si -- H3 detectable on the surface. The existence of the two narrow Si-H peaks, the positions of which are different from that of the ideally hydrogenated Si(111) surface, indicates that the electrochemically hydrogenated Si(111) surface is free of well-oriented and ideally hydrogenated Si(111) facets. 2 . 3 . 2 . HYDROGENATED SI SURFACES IN ALKALINE SOLUTIONS
Alkaline solutions lead to a high etching rate of silicon [ 181-185], and the silicon remains hydrogenated [ 148]. Etching in alkaline solutions is of great interest for microstructuring of silicon devices (see, for example, [186]). (100) oriented Si surfaces become a pyramid-like structure in alkaline solutions that is used for light-trapping systems in solar cell devices. The reason for this behavior is that the ratios of the etch rate of dihydride on steps (SD) to monohydrides on steps (SM) and monohydride on (111) terraces (TM) in NaOH solution are about 40 and 5000, respectively [ 187, 188]. Therefore, (100) facets are etched much faster than (111) oriented step facets. The etching process can be stopped by applying an anodic current to the sample, which leads to a decrease in the amount of hydrogen silicon bonds [ 148, 184, 185]. Obviously the Si surface becomes oxidized and SiOe is etched much more slowly than silicon. This section shows some selected results concerning the stability of hydrogenated silicon surfaces in alkaline solutions inspected by FTIR spectroscopy. The hydrogenation of a Si(111) surface is stable even at low anodic currents up to +3 #A/cm e, as can be seen in Figure 28, where IR spectra, with the use of a MIR-ATR sample, are recorded at different current densities in 0.5 M NaOH. The spectra are scaled to the IR spectrum of the oxide-covered surface in the same solution. There is, however, only a very narrow anodic potential range in which the hydrogen-terminated surface (a) remains stable. At slightly increased anodic current above +4/xA/cm e (b and c) passivation occurs [148, 185], and the Sill absorption gradually disappears until it vanishes (d). The electrode potential increases dramatically from - 0 . 9 2 V (a) to - 0 . 6 V (b), finally reaching +2 V (c), when passivation sets in at constant current. Obviously, a very sudden change
169
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
I
tO .4..-, {3.. x._ 0 0) t'3
10 .4 per reflection
rr 0 (7) tcO > .4..-,
a) -200 up to +a g~cm 2, c) +6.7 g~cm 2 b) +4 gA/cm 2, d) +8.5 gA/cm 2
0.5 M NaOH 1900
'
2dO0
'
21~00
'
22~00
Wavenumber
'
23~00
'
2400
(cm -~ )
FIG. 28. Relative change in IR absorption of the hydrogenated n-Si(111) surface at different current densities in 0.5 M NaOH. (a) -200 #A/cm 2 up to +3 #A/cm 2. (b) +6.7/zA/cm 2. (c) +8.5 #A/cm 2. (d) As in (c), but 5 min later. The spectrum of the oxidized surface in the alkaline solution serves as the reference.
in the mechanism of the corrosion occurs in this anodic potential range, which can be attributed to the formation of Si-OH surface bonds and their condensation to Si-O-Si bonds [148]. It should be noted that Si-OH could not be detected by the ATR-FTIR techniques, as described in Section 2.2. Figure 29 shows the integrated intensity of the IR absorption in the region of the Si-H stretching mode (2020 c m - 1 to 2200 c m - l) as a function of pH. The values are plotted in relation to the integral obtained at pH 4.9 with a pretreatment at pH 4.5 (open down triangle in Fig. 29, A0), which corresponds to about 95% of a monolayer, as deduced from FTIR, UPS, and HREELS measurements [64, 73, 76, 85, 164]. The integral of the Si-H/Si=He stretching mode region decreases with increasing pH. This decrease is attributed to the decrease in the Si=H2 surface species due to a reduction in microscopic roughness of the silicon surface. At a pH above 4.7, the competition between the very slow etching process of the oxide layer and the etching or oxidation of the Si surface is reflected by a strong suppression of the formation of hydrogen silicon bonds, which is completely suppressed at pH 5.3 in a 0.2 M NaF solution. A final etching step in a solution with pH 4.9 with a pretreatment at pH 4.5 leads to the smallest amount of Si=He oscillators on the Si(111) surface. This result is in agreement with ex situ HREEL spectra, where Si=H2 was present at pH 4.5, which disappeared after a subsequent dip in a solution with pH 4.9 [73]. In addition, Figure 29 reveals the stability of the hydrogenated Si surface with respect to alkaline etching processes when the acidic
170
RAPPICH AND DITTRICH
// 1.5
,~
O
a{ C
1.0
< 0.5
2200 cm -1
A
-
-
.
J'IR absorption, d V
V=2000 cm -1 0.0
I// w
I
'
pH FIG. 29. Integrated IR absorption due to Si-H/Si=H2 in relation to the integrated intensity at pH 4.9 with a pretreatment at pH 4.0 (A 0, open down triangle) as a function of pH (calculated from the spectra of Figs. 24 and 28). Solid circles: direct etching of the oxide in 0.2 M NH4F; open circles: etching at pH 4.0 (a, c) or 4.5 (b) before etching at pH 5 (a), 5.3 (b), or 12.5 (c).
0.1 M NaF (pH 4) solution is replaced with a solution of pH 5.3 or 12.5. Nevertheless, no H-terminated surfaces can be formed in solutions with such high pH values (the oxide is etched back, but no hydrogen-silicon bonds are detected). 2.3.3.
E L E C T R O N I C STATES AT H Y D R O G E N A T E D SI SURFACES
Another interesting point is the evaluation of defects on such H-terminated surfaces. The defect concentration, Dit and Ns, is related to the surface structure and surface morphology after the hydrogenated Si surface is formed. Recall that Ns is measured in situ by a pulsed PL technique and Dit is obtained from ex situ SPV experiments. Figure 30 shows Ns and PL intensity (top), Dit at midgap (middle), and the current (bottom) as a function of time during the dark current transient after the anodic oxide on n-Si(111) is etched back in 0.1 M NH4F (pH 4) solution. The Dit value of wet anodic oxides measured with SPV is on the order of 1013 eV -1 cm -2, which is one order of magnitude higher than the value obtained from PL measurements. This behavior is due to the different kind of defects measured by SPV (rechargeable defects) and PL (nonradiative recombination active defects). Both Ns and Dit start to decrease when the current tends to decay; i.e., hydrogenation of the surface sets in and saturates when the current transient levels out. Note that the PL intensity is limited by the lifetime of the excess charge carriers in the wafer material, so that the Ns of high-quality float zone Si could be 1010 cm -2 or less.
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
171
101~ 3~--, 011
z~ 1
E3u
1012
EEg::p:D[~
~'E 1013
9
o
._
99
1011~
~" 1~
ex-situ SP 9
9
9 01
Oxide" //~ hydrogenation cover~ ~_ Current
~E 40 0
~
2
9
> 1012
o
in-situ PL
20
0
0
.
.
.
.
I
500
.
.
.
Time (s)
.
I
1000
FIG. 30. Ns and PL intensity (top), ex situ obtained Dit at midgap (middle), and the current (bottom) as a function of time during the dark current transient after an anodic oxide was etched on n-Si(111) in 0.1 M NH4F (pH 4) solution.
Anodic oxidation in the oscillating regime is important as the prior step for the formation of a hydrogenated Si surface with low density of surface states. As shown above, prolonged anodic oxidation in the oscillating regime leads to locally smoother surfaces. We note that the anodic current is lower and the maximum of the PL intensity is higher after the longer anodic oxidation in the oscillating regime. Therefore, the value of the anodic current transient, as well as the PL intensity, is a quantity describing the microscopic roughness of the Si surface under identical electrochemical conditions. It is important to note that the in situ PL intensity usually decreases in time after the hydrogenated Si surface is formed. This decrease in the PL intensity after the maximum is reached is caused by the onset of chemical etching at the Si surface in the electrolyte. The chemical etching is a dynamic process at the Si surface during which the well-passivated Si surface is disturbed and nonradiative recombination active surface defects are generated. The maximum of the PL intensity can be strongly increased after repetition of the electrochemical treatment of anodic oxidation with current oscillations and hydrogenation (process (ii), Osc-surface). Repeating this process (ii) leads only to a slight increase in the macroscopic surface roughness while tiny protrusions
172
RAPPICH AND DITTRICH
0.6
f n-Si(111)
el.chem.hydrogenated (osc.) ~... ~ ( n o o s c . )
0.5
,I~
~NH4F treated
0.4 /
~.0.3
/
/ / /
//
HFtreated
0.2 0.1 0.0
-600
-300
0
300
UF (V)
600
900
FIG. 31. Examples of SPV measurements of differently hydrogenated n-Si surfaces (solid lines) and
of an n-Si surface covered with a native oxide (4 months of oxidation in air after treatment in NH4F). Reprinted with the permission of Elsevier Science B. V. [302], copyright 1999.
are dissolved or rounded. But Ns can be much more strongly reduced by this procedure than by prolonged anodic oxidation with only one oxide etch step [ 189]. The maximum of the PL intensity corresponds to a density of nonradiative surface defects on the order of 1 • 101~cm -e. Hydrogenation was also performed on Si surfaces after anodic oxidation when anodic current oscillations do not appear (process (i)). In this case, the microscopic roughness remains unchanged and the PL intensity does not depend on the repetition of process (i), and only a value of Ns -- 4 x 101~ cm -2 could be reached [189]. The surface morphology of hydrogenated Si surfaces can be correlated with the density of surface states measured ex situ by SPV. Figure 31 shows examples of ex situ SPV measurements in an N2 atmosphere of differently hydrogenated n-Si surfaces (solid lines) and of an n-Si surface covered with a native oxide (4 months of oxidation in air after treatment in NH4F). For the oxidized surface, the neutral point (NP) (UF = 0 V) is close to midgap, and the Uph (UF) characteristic is symmetric around NE This is caused by the amphoteric character of the electronic states at the Si/SiO2 interface, which are predominantly determined by Si dangling bonds [190, 191]. There is no hysteresis in the slope of the Uph (UF) characteristic of the oxidized Si surface. The Uph (UF)characteristic of hydrogenated Si surfaces generally shows a hysteresis, and NP is shifted to positive values of UF. The latter is caused by an accumulation of fixed positive charges (Qf) at the sur-
173
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
0.5
n-Si(111):H electrochemical preparation
= =
UFfrom 40 to 90 V the same sample UFfrom 0 to 200 V
0.4
>
o.3 c-
0.2
/ ~
0.1 0.0
(D O~c "-~1 i
J , , ,
0.0 . . . .
0
, . . . . , . . . . ,
50
1100
.
. . . .
50
U~ (v)
.
.
.
i
E,
0.5
.
.
.
.
Ev (eV)
. . . . . . . . .
200
,
250
1.0
,
, . . .
300
FIG. 32. Uph (UF) characteristics for different extensions of the UF range for the same Si surface hydrogenated after anodic oxidation in the oscillating regime. The inset shows the distribution of surface states. Reprinted with the permission of Elsevier Science B. V. [302], copyright 1999.
face, so that the surface is in accumulation for p-type and in inversion for n-type Si (see also [192]). An n-type behavior of HF-treated Si surfaces has been found by Buck and McKim, who made surface conductivity measurements [193]. The value of Qf ranges between 101~cm -2 in the case of electrochemical hydrogenation after anodic oxidation in the oscillating regime and 1012 cm -2 for HF-treated surfaces. The hysteresis tends to decrease with decreasing Qf and with increasing slope of Uph vs./-IF. It can be concluded that the microscopic rough hydrogenated Si surface (HF treated) has the largest concentration of surface defects, and the best passivation can be reached on the macroscopically relatively rough but microscopic smoothest surface after process (ii). Slope, shift, and hysteresis of the Uph (UF) characteristics of hydrogenated Si surfaces sensitively depend on the regime of the SPV measurement. Figure 32 shows Uph (/-IF) characteristics obtained at different extensions of the UF range for the same Si surface treated with process (ii), hydrogenation after anodic oxidation in the oscillating regime. The inset gives the distribution of surface states obtained from the SPV measurement with the lower extension of UF. For the more extended UF range, the value of UF in the turning point is shifted to higher values, the hysteresis is increased, and the slope is reduced. Therefore, Qf, hysteresis, and Dit are larger for larger extensions of UF. Consequently, the distribution of Dit strongly depends on the condition of the measurement. To conclude, the surface states measured ex situ by SPV on hydrogenated Si surfaces are of the donor type, which have a broad distribution of trapping and detrapping times.
174
RAPPICH AND DITTRICH
Nevertheless, the lowest values of/-)min --it can be obtained with high accuracy by turning point analysis from Uph (UF) characteristics, except when neither accumulation nor strong inversion is reached at the Si surface. The value of "'It /-)min is about 101~ eV -1 cm -e for the n - S i ( l l l ) surface after process (ii) (see inset of Fig. 32). For chemically hydrogenated Si(111) surfaces (preparation in buffered NH4F), the lowest reported value of/-)min is 2-5 X 1010 eV -1 cm -2 (ex situ measure"'It ments [194, 195]). Slightly lower values of/-).min "-'it are reached on electrochemically hydrogenated Si surfaces after process (ii). This is surprising for this surface morphology and shows that roughness on the microscopic scale is crucial. Efforts have been devoted to correlating "'It /-)min of chemically hydrogenated Si surfaces with surface roughness [195] determined by ellipsometry [78]. The obtained resuits have been interpreted in terms of a dangling bond model [ 196]. This model may be suitable for explaining the development of the distribution of Dit during the initial stages of oxidation [ 197], because the formation of dangling bonds at Si back bonds plays a major role [ 198]. However, this model is not applicable to hydrogenated Si surfaces, because the concentration of dangling bonds at a hydrogenated Si surface (in terms of dangling bond centers measured in porous silicon by electron paramagnetic resonance [ 199]) is much lower than Dit obtained by ex situ SPV. The dangling bond concept can be applied to the in situ investigation of Si surfaces by PL during electrochemical processing. Dangling bonds are formed and passivated in the electrolyte during oxidation of Si surface atoms and etching depending on the chemical equilibrium. The surface recombination velocity is extremely low for hydrogenated Si surfaces in acidic solutions [48]. Therefore, the chemical equilibrium in acidic fluoride solution is shifted toward low oxidation rates and highly efficient passivation of surface states, probably by the reaction of protons with defects at the Si surface. Indeed, Trucks et al. [200] proposed a mechanism for the hydrogenation process on Si surfaces, which results in an extremely low etch rate for hydrogenated Si surfaces in fluoride-containing solutions. The concentration of dangling bonds on HF-treated Si surfaces is below the detection limit (less than 5 x 101~ cm -e) of an electron spin resonance spectrometer and starts to increase during the initial oxidation process [201]. The development of dangling bonds, which act as nonradiative surface defects, can also be probed in situ and ex situ by measurement of the PL quenching. 2.3.4. R O L E OF THE E T C H RATE FOR SURFACE STATE F O R M A T I O N
The decrease in the PL intensity the maximum value is reached is correlated with a roughening of the surface on a microscopic scale during chemical etching in acidic fluoride solution. As outlined before, the IR absorption after electrochemical hydrogenation increases with increasing etch time in 0.1 M NH4F (pH 4), and
175
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
0.1 M NH4F (pH 4.2) v
>" 1
!
,
oO C
n
0
E
8
< E
4
0
"--
",~. ,. p-S i(100)
I !
C _1
%
(b) +3v dicoxidation . . . .
-0.4v hydrogenation ~~ x l O 0
(a )
0
0
200
400 Time
600
800
(s)
FIG. 33. Time dependence of the current (a) and PL intensity (b) for a cycle of anodic oxidation in 0.1 M NH4F (pH 4.2) at +3 V followed by hydrogenation at - 0 . 4 V for p - S i ( l l l ) and p-Si(100). The wafers are cut from the same Si ingot.
the increase is stronger for the Si=H2 than for the Si-H bonds. The influence of surface orientation, oxidation rates, and temperature on the PL intensity measured in situ will be discussed in the following section. The stability of the hydrogenated Si surface depends strongly on the surface orientation, as illustrated in Figure 33, which shows the current (a) and PL intensity (b) as a function of time for a cycle of anodic oxidation in 0.1 M NH4F (pH 4.2) at +3 V followed by hydrogenation at - 0 . 4 V for p-Si(111) and p-Si(100). The samples are cut from the same Si ingot to ensure the same bulk properties. Usually the maximum of the PL intensity is about 10-20% higher for Si(111) than for Si(100). The subsequent decrease in the PL intensity is much faster for the Si(100) than for the Si(111) surface. This behavior can be attributed to the higher etch rates in the (100) than in the (111) direction, as obtained in alkaline and buffered NH4F solutions [ 188]. Figure 34 shows the influence of dissolved oxygen in the HF-containing electrolyte on the current transient and the PL intensity during and after hydrogenation of p-Si(111). The Si surface is oxidized at +8 V followed by the current transient recorded at - 0 . 5 V in 0.1 M NHaF (pH 3.5). The PL intensity increases drastically when hydrogenation occurs (decrease in Ns) and decreases with time in the HF-containing electrolyte. There is only a very narrow time window where the PL intensity is at a constant, high value directly after the hydrogenation is completed
176
RAPPICH AND DITTRICH
0.1M NH4F (pH 3.5)
1.5
~~lUmuOoononi'o,O~00
9
010m0
electrolyte exchanged ~
9 _(00A
9
,dR . . . .
>,, .m
o~
t--
1.0 I
c-. "--
0.5
0.1 M K2SO4 (pH 3) at-0.7 V
O_
'•_.
0.0 E
10~
o
10-1
"-su ~l~,,,,av~ _14,u
exchange of electrolyte by
I
!
-0.5 V
non-purged
~: 10-2 "-
10 -a
N2 purged :
~
(a)
,, '
'
o I
'
'
'
'
1;o
'
'
'
'
2;o -
'"
'
'
'
'
I
'
'
300
Time (s)
FIG. 34. Time dependence of the current (a) and PL intensity (b) for a cycle of anodic oxidation in 0.1 M NH4F (pH 4.2) at +8 V followed by hydrogenation at -0.5 V. The electrolyte is either purged by N 2 (thin solid line) or is not (dashed line). The electrolyte is replaced with 0.1 M K2SO4 (pH 3) at - 1/zA/cm 2 after the maximum of the PL intensity is reached (dotted line).
in the nonpurged solution (dashed line). This time region is somewhat prolonged when the solution is purged with N2 before the experiment (solid line). Purging with N2 leads to a strong reduction of oxygen in the solution. In both cases, the PL intensity also decreases with time. The time dependence of the current does not differ significantly for the purged and nonpurged solutions. The decay of the PL intensity in the diluted HF solution is due to etching of the surface (formation of nonradiative defects), which is enhanced by dissolved oxygen in the electrolyte. Oxygen in solution promotes the formation of Si-O bonds [88, 202], which are then dissolved by HF, leading to a roughening of the surface. It is not only of interest from a practical point of view to preserve the low level of nonradiative surface defects for longer times. The decrease in the PL intensity after the maximum is reached is related to a partial destruction of the hydrogenated Si surface due to chemical etching of the Si surface. This can be avoided by replacing the acidic fluoride electrolyte with an acidic solution of, for example, 0.1 M K2SO4 (pH 3) at a small cathodic current density of - 1 / x A / c m 2 to protect the Si surface from oxide formation (dotted line in Fig. 34). Therefore, highly efficient etch stops can be integrated into electrochemical passivation procedures [203]. However, the cathodic current should not be too large, to avoid hydrogen evolution. The incorporation of hydrogen at cathodic potentials from
177
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
~ , ,
1.5 v
1.0 fao e-
._1
n 04
-0.3V
+8v
/
,_
0.5 0.0
no peroxide
!..,'\
(a)
E o
<
2
.......................
v
........... '
1()0
'
200
'
Time
(s)
10 2 , ~ \
103 \ 3()0
'
400
Time dependence of the current (a) and PL intensity (b) for a cycle of anodic oxidation in 0.1 M NH4F (pH 4.2) at +8 V followed by hydrogenation at -0.3 V (thick solid line). Different amounts of H202 are added to the electrolyte (dashed and dotted lines). F I G. 35.
the electrolyte into the top monolayers of the bulk Si leads to a partial disordering ("amorphization") [204] and to a decrease in the PL intensity [205]. Strong hydrogen evolution for very long times leads to a destruction of the p-Si surface and to the formation of etch pits [206]. The chemical oxidation rate at the Si surface in acidic fluoride solution can be strongly increased by the addition of small amounts of heavily oxidizing agents, like H202. Figure 35 shows the time dependence of the current (a) and PL intensity (b) for a cycle of anodic oxidation in 0.1 M NH4F (pH 4.2) with different amounts of H202 at -t-8 V followed by hydrogenation at - 0 . 3 V. The maximum of the PL intensity decreases, and the decrease in the PL intensity with time is faster and stronger with increasing H202 (from 10 -3 to 10 -1 mol/liter). This points to a faster etching of the hydrogenated Si surface. Surface chemical reactions are thermally activated. They may also compete with surface electrochemical reactions, which are controlled by the potential. The time dependence of the PL intensity for anodic oxidation in 0.1 M NH4F (pH 4.2) at -t-3 V followed by hydrogenation at - 0 . 4 V at different temperatures is plotted in Figure 36. The maximum of the PL intensity decreases strongly with a slightly increased temperature of the solution. In contrast, the temperature dependence of the PL intensity of thermally oxidized Si is very weak in this narrow temperature range. Therefore, the strong change in the peak of the PL intensity is induced by a strong change in the rate of generation of surface defects,
178 0.3
RAPPICH AND DITTRICH
-
~i.
9
p-Si(lO0)
0.1 M NH4F(pH 4.0)
+3 V !
,~
8~
:
0.2
..,, ~ ; ~ ~ '~'
: '
34~
44 ~
....~
i
C
...... 16~
.
o.~
-0.4 V
'9
0.0
'
13
'
100
'
2()0
'
9
300
'
400
Time (s) FIG. 36. Time-dependent PL intensity for a cycle of anodic oxidation in 0.1 M NH4F (pH 4.2) at
+3 V followed by hydrogenation at - 0 . 4 V for different temperatures.
which act as nonradiative surface recombination defects. The PL intensity decays quite fast after it reaches its maximum for the lowest temperature (8~ whereas the decay of the PL intensity is practically negligible for the highest temperature (44~ The PL intensity depends only weakly on the temperature after longer etch times. This behavior shows that there is a great difference in the processes that lead either to the formation of hydrogenated Si surfaces or to chemical etching of hydrogenated Si surfaces by increasing the number of reactive surface sites. Figure 37 shows the Arrhenius plots of the inverse maximum PL intensity (open circles) and stationary PL intensity (solid circles), which were obtained during hydrogenation at - 0 . 4 V (Fig. 36). The PL intensity is corrected to the temperature dependence of the PL intensity of a well-passivated Si/SiO2 sample. The decrease in the maximum of the PL intensity is thermally activated with an activation energy of about 22 kJ/mol, whereas the activation energy at the steady-state PL intensity is only about 3.3 kJ/mol. 2.3.5. L O C A L RECONSTRUCTION AND O R I G I N OF SURFACE STATES
It was shown above that the local surface roughness plays an important role for the detection of surface states by SPV. The smoothest surface, i.e., the ideally hydrogenated Si(111) facet, should be free of surface states. However, a wellfaceted hydrogenated Si(111) surface has a relatively large number of surface atoms at steps and comers. The bonds are weaker at such sites, and the probabil-
179
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
Temperature
(~
3O
20
40 ,
...J 0.. ~.= v .___.,
,
,
A
,
,
,
,
I
,
i
_ _
.... ~ ""
I
O
" O
''"
r-
........ O - O
,
,
I
i
,
,
- ~
-~
"-..
I max "PL Isteady state
O--o..
-..
I
"'"
'
~
O...
'PL
3.2
,
" ~ -~176
'
,
E A = (3.3 + 0.3) kJ/mol * ' ' O .
3.1
I
9 ..... O.O."~...Q
....
"(~" -.
E A = (21.6 + 1) kJ/mol
O
10 i
i
3.3
'
I
3.4
'
I
3.5
'
3.6
1/T (10-3 K -1) FIG. 37. Arrhenius plot of the inverse maximum (open circles) and stationary (filled circles) PL intensity obtained during hydrogenation at - 0 . 4 V (Fig. 36). The PL intensity is corrected to the temperature dependence of the PL intensity of a well-passivated Si/SiO2 sample.
ity of surface chemical reactions and adsorption of molecules is increased. Such defect sites cannot be avoided on a scale larger than the facets by simple chemical treatments. Furthermore, the size of a facet is thermodynamically limited. The situation is different for electrochemical treatments. Under certain conditions, rounded shapes of Si surfaces can be created. Steps and comers are immediately smoothed by electrochemical reactions because of the strongly increased oxidation rate for the higher electric field at these sites. Therefore, all Si surface atoms are in a more or less identical position from the point of view of reactive surface sites after electrochemical hydrogenation. This excludes the existence of well-oriented facets and terraces on electrochemically hydrogenated Si surfaces. Figure 38 shows two possible atomic arrangements of Si-H and S i - H e at step facets (a) and (A), where the neighboring Si atoms on a (100) oriented facet (Si=He groups) can be reassembled as shown in (b) and (B), respectively. The thick arrows denote the typical 1 x 2 reconstruction of a Si(100) surface [45, 57,207]. Such a reconstruction of a step facet contains a relatively high degree of freedom in the bond angels and permits strain in the bonds, which can round off comers and steps. The driving force for such local reconstruction could be the electric field during the anodic oxidation in the oscillating regime. The broad FTIR spectra in the range of the Si-Hx stretching modes of electrochemically hydrogenated Si surfaces show that there is a large variation in bond
180
RAPPICH AND DITTRICH
FIG. 38. Atomic arrangements of hydrogenated Si(111) surfaces with steps along the (100) direction
(a) and (A), and possible types of respective reconstruction (b) and (B).
angels and/or bond lengths. In addition, there is only a very small fraction of Sill surface bonds in well-defined positions. We believe that this observed "amorphization" of the Si surface concomitant with the formation of the rounded shapes is induced significantly by local reconstruction, which, in principal, is similar to well-known types of reconstruction of hydrogenated Si surfaces, like 1 x 2 and 1 x 3 [207,208]. All Si surface atoms at the electrochemically hydrogenated Si surface with prior anodic oxidation are in more or less identical positions from the point of view of surface chemical reactions. One can suggest that the well-distinguished Si surface atoms at steps or comers at chemically hydrogenated Si surfaces are chemically more reactive than the Si surface atoms at the electrochemically hydrogenated Si surface. This is quite important when chemical or electrochemical treatments are interrupted by rinsing with water. The hydrogenated Si surfaces are hydrophobic and water cannot be adsorbed. However, when chemical or electrochemical surface treatments in fluoride solution are interrupted, there is a certain probability that surface dangling bonds, which may exist at the hydrogenated Si surface as intermediate states during the etch process, serve as sites for the adsorption of water molecules.
ELECTROCHEMICAL
P A S S I V A T I O N OF SI AND S I G E
181
......-............................--....... ...-"~176
-
..-". "-6
..-/
H\_
~
/n
H
H
........"..
b--" '
,.v'H'--
.............. ;............... ,
H ,H\'~
H ~ H H"""......H "" ' ....."/'H
}
H
Configurationfor a Si dangling bond-[H502]+ complex surrounded by physisorbed water molecules. Reprinted with the permission of Elsevier Science B. V. [178], copyright 2000.
FIG. 39.
These adsorbed water molecules at the hydrogenated Si surface are the most probable candidates for the donor-type surface states measured by ex situ SPV. The water molecules can form [H30] + and related complexes [209]. The dependence of Qf, hysteresis, and the slope of the Uph (UF) characteristics on the range of UF showed that the surface states measured by SPV on hydrogenated Si surfaces have a broad distribution of trapping and detrapping time constants from the second or millisecond range up to hours. Water molecules can be chemisorbed or physisorbed at surface sites that act as defect centers. Dangling bonds are the most probable defect centers for the chemisorption, and a complex of chemisorbed water molecules can serve as a defect center for physisorption. This behavior is schematically shown in Figure 39, where a possible configuration of a chemisorbed [H502] + and physisorbed water molecule at a dangling bond is sketched. Poindexter [210, 211 ] postulated the presence o f H 3 0 + for a model of chemical reactions of hydrogenous species in the Si/SiO2 system to explain contradictions among experimental findings on the passivation of dangling bond centers and physisorption and chemisorption in the SiO2 lattice. Dangling bonds are formed at the Si/SiO2 interface during oxidation. Microscopic cavities exist around the dangling bonds and promote diffusion and adsorption of hydrogeneous species. Possible chemical reactions are H-Si = + H 2 0 + h + --+ oSi = + H 3 0 +
and
9Si = + H 3 0 + + e - ~ H-Si ~ + H 2 0 for the capture of a hole or an electron, respectively. These reactions are controlled by the concentration of free holes or electrons at the Si surface, i.e., by the applied UF. It is known from electron spin resonance experiments on hydrogenated por-Si surfaces that adsorption of water molecules can also decrease the concentration of dangling bonds by at least one order of magnitude [199]. A similar effect is
182
RAPPICH AND DITTRICH
known from experiments in ultra-high vacuum on Si(111) 7 x 7 surfaces [212] or Si(100) surfaces covered with a thin oxide [213], for which the concentration of nonradiative recombination active surface defects decreases strongly during the adsorption of water.
2.4. Hydrogenated Porous Silicon The surface dissolution chemistry of silicon is still in question. It is generally accepted that holes are required in the initial oxidation steps for both pore formation and electropolishing (oxide formation) [ 171, 214, 215]. This means that hole generation mechanisms (i.e., illumination, high fields, etc.) are needed for significant dissolution of n-type material. Processes in which electron injection into the conduction band occurs have also been proposed by several authors [216, 217]. Current efficiencies have been measured, leading to approximately two and four electrons per dissolved Si atom during pore formation and electropolishing, respectively [218]. Independent of the type of anodic reaction, the final stable end 9 2product for Si in HF is H2SiF6 (or the S1F6 ion). However, different kinds of kinetic processes are discussed in the literature during pore formation, involving a. a continuous vacillation between hydrogenated and fluorinated Si surfaces [216], =Si2 - Sill2 4- 2F- 4- h+(Si) --+ =Si2 - SiF2 4- H2(g) 4- e-(Si) =Si2 ---:SiF2 4- 2HF --+ SiF4 4- =SizH2
(4.1)
b. the existence of a freely dissolved divalent state of Si, SiF2(aq), which undergoes a disproportionation reaction leading at least to a deposition of Si on the pore walls [219], Si + 2HF + 2h + --~ H2(g) + SiF2(aq) 2SiFz(aq) + 2F- --+ Si + SiFt-
(4.2)
c. a competition between direct oxide formation via silanol followed by HF dissolution and tetravalent H2SiF6 formation [220, 221 ], (1)
Si + 4OH- + Xh + --+ Si(OH)4 + ( 4 - k ) e Si(OH) 4 --+ SiO2 + 2H20
(4.3)
SiO2 + 6HF --~ HzSiF6(aq) + 2Hz(g) (2)
Si + 2F- + Xh+ --+ SiF2 + ( 2 - X)eSiF2 + 2HF --~ SiF4(aq) + H2(g) SiF4 + 2HF --+ H2SiF6(aq)
(4.4)
183
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
However, up to know spectroscopic data have not shown any kind of silicon oxide or silicon fluoride species during or after pore formation, leaving the validity of the reaction pathway (4.1 and 4.3) in question. The exact dissolution pathway is not known and is still under discussion. For more details on pore formation see, for example, [218,222, 223]. 2.4.1. P H D E P E N D E N C E OF THE F O R M A T I O N OF U L T R A T H I N P O R O U S SI Figure 40 shows a set of current voltage curves of n-Si(111) for different concentrations of NH4F at pH 3.7 (scan rate 20 mV/s starting at - 1 V, illumination intensity ~10 mW/cm2). The current density shows a double peak structure at lower concentrations of NH4F. The current density increases and the double peak structure diminishes with increasing NH4F concentration until the first peak has vanished and a plateau is reached at higher anodic potentials (1 M NH4F, pH 3.7). Simultaneously, the current becomes noisy because of heavy gas evolution according to reactions (4.1) to (4.4). Pore formation sets in before the first current peak maximum is reached, and with increasing potential the competing oxide formation overcomes the pore formation, leading, at least, to an oxide-covered surface that blocks the charge transfer into the electrolyte (passivation) and the current decreases. It is well known that Si surfaces are hydrogenated during pore formation, as can be seen from Figure 41. This figure shows ex situ MIR-FTIR spectra at the Si-H stretching mode region of smooth hydrogenated n-Si(111) surfaces prepared 20 n-Si(111 ), white light, pH 3.7 NH4F
15
1.0 M 0.7 M
04
E o < E
10
0.4 M
v
0.3 M 0.2 M .....
-1
. ....
; '
0.1 M
'
Potential (Vsc E) FIG. 40. Current-voltage curves of n-Si(111) in different concentrations of NH4F at pH 3.7 (scan rate 20 mV/s starting at - 1 V, illumination intensity ~ 10 mW/cm 2).
184
RAPPICH AND DITTRICH
FIG. 41. Ex situ FTIR spectra of n-Si(111) surfaces in 0.1 M NH4F (pH 4) after hydrogenation with prior anodic oxidation (a: s-polarization, b: p-polarization) and after initial porous silicon formation at 0.15 mA/cm2 for 5 s (c) and 50 s (d). The inset shows a SEM graph (top view) of the porous surface layer formed at 0.15 mA/cm2 for 100 s.
in 0.1 M NH4F (pH 4) after hydrogenation with prior anodic oxidation (a: spolarization, b: p-polarization of the IR light) and after initial porous silicon formation at 0.15 mA/cm 2 for 5 s (c) and 50 s (d) during illumination with white light. The hydrogenated n-Si(111) surface exhibits a sharp IR absorption peak at about 2083 c m - 1 due to Si-H groups perpendicular to (111) terraces, as expected for a flat (111) surface, and a small amount of coupled monohydride on steps at rows around 2070 cm -1 [132, 146] (see also Fig. 27). Spectra (c) and (d) are recorded after a positive electric charge of about 0.72 and 7.2 mC/cm e, respectively, is passed. One can see that first, the IR absorption in the Si-Hx stretching mode region is broadened, and, second, the IR absorption due to Si-H on (111) terraces is reduced and new IR peaks occur. These additional IR absorption peaks are a result of the roughening of the Si surface during the electrochemically induced etching process. The amount of coupled monohydride increases (Vas and Vss are 2070 cm -1 and 2088 cm-1, respectively), and a strong IR absorption appears at 2130 cm -1, which is due to constrained dihydride or trihydride species. The peaks at 2092 cm -1 and 2114 cm -1 can be attributed to Vas and Vss of di-
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
185
hydride species on (100) oriented facets (see Table I). It should be noted that the silght blue shift of these peak positions with respect to chemically prepared surfaces points to a special kind of strain on the porous surface. Furthermore, an IR absorption due to coupled monohydrides at steps at 2070 and 2088 cm -1 is superpositioned to the peak at 2092 cm -1. Nevertheless, the amount of Si-H and Si=H2 species (i.e., the IR absorption at this wavenumber range) increases with increasing charge flow (spectrum (d)) and overcomes at least the amount of Sill groups on (111) terraces. On the other hand, the etching process involves no twice or threefold oxide back-bonded Si-H groups due to the missing IR absorption between 2200 cm-1 and 2300 cm -1, whereas single oxide back-bonded Si-H species could not be excluded [69]. In addition, IR absorption due to the different Si-H species is no longer well resolved. The inset of Figure 41 shows a scanning electron microscope (SEM) image (top view) of an n-Si(111) surface after a charge of 18 mC/cm 2 is passed during illumination. One can see a random distribution of the starting hole formation, where the distances of the etch pits are on the order of 10 nm. These small sizes of the electrochemically induced structures lead to a drastic decrease in the amount of (111) terraces and a strong increase in facets of other types of orientation as reflected by the FTIR spectra. The manipulation of nanoscaled structures at Si surfaces is interesting for possible applications and for getting a better understanding of the processes leading to the formation of porous silicon. The chemical equilibrium in the fluoride solution determines the etch rate of oxidized Si species and can easily be changed by the pH of the fluoride solution. Figure 42 compares in situ and ex situ FTIR spectra of n-Si(111) surfaces after electrochemical hydrogenation with prior anodic oxidation at +3 V in 0.1 M NaF (pH 4) (a) and after anodization at currents of one-third of the first maximum in 0.1 M NaF with pH 4.5, 4.0, 3.5, and 3.0 (b to e, respectively; passed charge during anodization, 0.2 C/cm2). The in situ measured FTIR spectrum of the H-terminated Si surface is broadened in comparison with the ex situ spectrum because of interaction of the surface species with the dipoles in the electrolyte [ 147, 148]. The ex situ recorded FTIR spectra are strongly broadened after the formation of porous silicon. Therefore, disorder dominates the Si-H bond configurations in porous silicon. The broadening of the in situ FTIR spectra is very similar to that of the ex situ spectra for porous silicon. The ex situ spectra are broadened by approximately only 5 cm-1 in the lower wavenumber region. This shows that the intrinsic broadening by disordered Si-H bonds is much more significant than the broadening due to dipole interaction with the water molecules. The shape of the FTIR spectra of (nano)porous Si is independent of the passed charge and pH (for pH <4.5). The SEM graphs of the hydrogenated silicon surfaces after anodization in 0.1 M NH4F with pH 4.5, 4.0, 3.5, and 3.0 (a to d, respectively) are presented in Figure 43. The amount of passed charge during anodization was 0.3 (a), 0.6 (b),
186
RAPPICH AND DITTRICH
in-situ c 0
/-~ / \ / /~ \ // \\
. m
0
n-Si(111) o.1 M NaF (pH 4) (a) H-terminated
ex-situ /"
b)p H ~ . ~ o ) pH4.0
/ A1
d) pH 3.5 e) pH 3.0 / J \/ charge: 1.4 C // /\
!
rr" 0
(b (tO
----"
e
e
a
2000
~/~~~__~
x5
2100
2200
x
2000
W a v e n u m b e r / cm
2100
2200
-1
FIG. 42. In situ and ex situ FTIR spectra of n-Si(111) after electrochemical hydrogenation with prior
anodic oxidation at +3 V in 0.1 M NaF (pH 4) (a) and after anodizati0n at currents of one-third of the maximum in 0.1 M NaF with pH 4.5, 4.0, 3.5, and 3.0 (b to e, respectively, passed charge during anodization, 0.2 C/cm 2).
and 1.2 (c, d) C/cm 2. The surface structures are very different for the different pH values. As shown by the dependence of the PL intensity on pH, the oxidation rate of Si is high for large pH because of the large amount of OH- ions [224]. In this case, a pebble-like surface structure is created during anodization, and the formation of porous Si is impossible. The formation of porous silicon layers can be seen for the lower values of pH (side views as insets). There are two opposite tendencies for the formation of surface structures with decreasing pH. First, the dimensions of the tiniest parts of the Si skeleton are decreased, and, second, the amount of pores with larger dimensions is increased. As remarked, the shapes of the PL spectra did not depend on the pH. The missing correlation of the PL with the microstructure of porous Si indicates that the role of highly disordered Si surfaces for the PL of porous Si at room temperature is different from PL measurements at very low temperatures, where these measurements in the nanocrystallites are more important [223]. Figure 44 shows the pH dependence of the integrated IR absorption in the range of the Si-H modes for different amounts of passed charge during anodization in 0.1 M NaF (top) and of the calculated concentrations of HF, F-, and HF 2 ions in the electrolyte (after Eqs. (3.4) to (3.7)) (bottom). The integrated IR absorp-
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
187
FIG. 43. SEM graphs of hydrogenated silicon surfaces after anodization in 0.1 M NH4F with pH 4.5, 4.0, 3.5, and 3.0 (a to d, respectively). The amount of passed charge during anodization was 0.3 (a), 0.6 (b), and 1.2 (c, d) C/cm2. Reprinted with permission from the American Institute of Physics [30], copyright 1995.
tion in the range of the Si-H modes is a measure of the internal surface area. The internal surface area increases with decreasing pH and tends to saturate at lower pH. The internal surface area does not scale with the amount of passed charge, which points to a competing process of chemical etching or oxidation during the formation of porous silicon. The thickness of nanoporous silicon layers is limited in diluted aqueous fluoride solutions, as shown by SEM [225]. The dependence of the integrated IR absorption does not correlate exactly with the concentration of HF or HF 2, and there is no real saturation of the integrated IR absorption at the lower pH values (pH up to 2). We speculate that porous silicon formation is possible by both HF and HF 2 species, whereas the efficiency of porous silicon formation is greater for the HF 2. As mentioned in Section 2.3, the etch rate of SiO2 by HF 2 is 4 times larger than for H E In principle, the two chemical equations (4.1) and (4.2) can be reduced to one equation by setting HF 2 in Eq. (4.1) instead of F - , which could make the formation of porous silicon faster: =Si2 - Sill2 + 2HF 2 + h + (Si) ~
=Si2H2 + SiF4 + H2(g) + e - ( S i )
(4.5)
188
RAPPICH AND DITTRICH
10
,--
o
o. o t,D ..D
n-Si(111 )
A----Z~.~Z~.
0.1 M NaF
~ZX
- - O - - 700 mC
O..__O~_O~o'~A --A--1400
5
rr
--El-- 350 mC
[3-- O -- [] ~
mC
D."~O~
C
0 0.10
_<
o
I
I
I
E C O
=
0.05 9
j ' ~
I
I
I ~"
C
.....
HF
.....
F
......
O cO O
0.00
I
0
I
1
2
I
3
pH
I
HF 2
I
4
5
FIG. 44. pH-dependent integrated IR absorption in the range of the Si-H modes for different values of passed charge during anodization in 0.1 M NaF and pH-dependent concentrations of HF, F - , and HF 2 in the electrolyte.
0.5 0.1
~, 0.4 E ~C
0.3
o
0.2
v
n-Si(111 ) M NH4F (pH 4)
- -
6 mW/cm 2
-
0.1 mW/cm 2
B.,,~
0.1
\E
0.0 .
6
.... Potential
3
(Vsc E )
FIG. 45. Current-voltage curves of n-Si(111) in 0.1 M NH4 F (pH 4) for different illumination intensities (scan rate 20 mV/s).
2.4.2. COMPETITION BETWEEN HYDROGENATION AND ELECTROPOLISHING
The electrochemical equilibrium at the Si/diluted fluoride solution interface depends sensitively on the potential. Figures 45 and 46 reflect the potential depen-
ELECTROCHEMICAL
189
P A S S I V A T I O N OF S I A N D S I G E
Sill { Si~2~SiH3 i -
o "E
|
20'.A/cr#
'
Charge I mC cm -2 a) 3.5
'
'
_
b) 9.s
/
/X
x3
'
(g),
\
(E)
"l-
ff)
C-,ba~
(B)
i : 100 pA/cm 2 Charge / mC cm -2 a) 20.6 b) 55.8
1 103per reflection ~ d) H.-term. = 1 ML /"/.~\ (Ref. for all spectra)
//\\
o.i,
L_
t--
(A),
= 2 0"A/cr"
(C)
.O~ i,?,harge / mC cm ~ ,._ la) 43.4 b) 115.9.f./ 0 if) .Q
xl 0
-2
'~
d"~
~
c~.
_.
a I
1900
'
2000
I
2100
Wavenumber
'
I
2200
~
'
2300
(cm -~ )
In situ FTIR spectra of n-Si(111) obtained at the points marked in Figure 45 for different currents, illumination intensities, and anodization times. FIG. 46.
dence of the pore formation in 0.1 M NaF solution at pH 4. Figure 45 shows current-voltage curves of an n-Si(111) surface under strong (solid line) and weak (dashed line) illumination intensities with white light. The photocurrent shows the typical behavior with a first strong increase and a second broad relative maximum at high illumination intensity. The potential dependence of the current differs strongly at low illumination intensity; the current shows no maximum at all. Moreover, the photocurrent is constant even at high anodic potentials. It is known that current multiplication occurs under such experimental conditions [226, 227]. The marked positions in Figure 45 denote the potentials where FTIR spectra are recorded. These spectra are plotted in Figure 46 with respect to the hydro-
190
RAPPICH AND DITTRICH
genated surface prepared after electropolishing in the same solution at +3 V (high-illumination condition) recorded after the current transient has decayed (spectrum d in Fig. 46C). Spectra taken at potential positions A, D, and E are very similar, so that only one set of spectra is shown for this low current density of 20/xA/cm 2 (position E). The IR absorption in the Si-Hx stretching mode region (Si-H and Si=H2) increases with increasing charge flow. The increase in the IR absorption is much more pronounced at position B, where the current density is five times higher (100/zA/cm2; Fig. 46, middle) than for A, D, or E. Surprisingly, the pore formation is strongly suppressed at position C, even for the high current densities observed at this potential. This is reflected by a very slight increase in the IR absorption with respect to the monolayer Sill covered surface (spectrum d), which is plotted at the bottom of Figure 46. There is no change in the IR absorption up to a charge flow of about 50 mC/cm 2. A charge of about 0.45 mC/cm 2 is needed to oxidize Si on a flat Si(111) from 0 to the +4 state. Therefore, more than 100 monolayers of Si have been etched without any change in the surface condition and morphology. A small increase in IR absorption due to Si=H2 groups occurs after a charge flow above 100 mC/cm 2. The IR absorption after a charge flow of about 620 mC/cm 2 is equivalent to an increase in the surface roughness by about 40%, only in reference to a flat surface. From the charge that passes the electrode and the charge that is needed to oxidize Si to the +4 state, one can calculate the amount of Si dissolved during this etching process to a Si layer of a thickness of about 300 nm. This behavior shows that the competing oxide formation seems to overcome the pore formation at this potential region. Electropolishing leads to a smoothing of the surface. This behavior is reflected by the integrated IR absorption for the region of the Si-Hx bonds. Figure 47 shows the first increasing part of the current voltage scan of n-Si(111) in 0.1 M NH4F (pH 4) and the integrated IR absorption in the region of the Si-H stretching modes for a passed charge of 200 mC/cm 2 (right side). Hydrogen termination and porous silicon formation take place in the plotted part of the current voltage scan. Electropolishing starts at the maximum of the anodic current. The integrated IR absorption increases up to a current that is about 4 times lower than the current at the maximum. The integrated IR absorption decreases for currents higher than half of the current at the maximum. This behavior is typical for anodization in diluted aqueous fluoride solutions and reflects the competition between electrochemical reactions that lead to porous silicon or electropolishing. In addition, the surface conditioning (pore formation versus electropolishing) is visualized in Figure 48, where SEM images of the Si surface are shown in a crosssectional view at a tilt angle of 30 ~ after different treatments. The SEM images are recorded after anodization at 12/zA/cm 2 (A), 100/xA/cm 2 (B), and 400/zA/cm 2 (C) in 0.2 M NH4F (pH 3.2) after an electric charge of 100 mC/cm 2 has passed the electrode. The time positions are similar to positions A, B, and C in Figure 45. One can see the pore formation (Fig. 48A), which is enhanced in thickness at
191
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
0.5
~E
0.4
< E
0.a
o
0 n-Si(111) / 0.1 M NaF (pH 4.0) White light =6 mW/cm 2
6
I ~_
"-4 ;
r (1) - 9 0.2 C3
0
I ntsi,.s~H~ (a.u.)
0.1
....... 0.0 -0.4 -0.3 -0.2 -0.1 0.0 Potential
................ i ............................... ''" 0.1
t ,~ 0.2
. . . .
(Vsc F)
FIG. 47. Current-voltage scan of n-Si(111) in 0.1 M NH4F (pH 4) and integrated IR intensity in the region of the Si-H stretching modes after a charge of 200 mC/cm 2 is passed. Reprinted with permission from the American Institute of Physics [229], copyright 1998.
higher anodization currents (Fig. 48B), but the high current Oust before the first maximum) leads to a very slight roughening of the surface (Fig. 48C), as was discussed with respect to FTIR experiments. The pores in Figure 48 are in the nanometer scale. Figure 49 depicts the dependence of the PL intensity (open circles) and the respective current (solid line) of p-Si(100) in 0.2 M NH4F (pH 3.2) on the applied potential. The potential has been corrected to the potential drop in the electrolyte. The scan rate of 20 mV/s is too fast for pore formation. Therefore, the dependence shown in Figure 49 gives information about the onsets of electrochemically correlated surface reactions with intermediates that act as nonradiative recombination surface defects. The scan starts with an electrochemically hydrogenated Si surface with prior anodic oxidation at +3 V. The PL intensity drops sharply at about - 0 . 4 V. The current is about 20 times lower at this potential than at the first current maximum. The sharp drop in the PL intensity is related to the onset of electrochemical reactions, which lead to the formation of porous silicon (etching). The rate of formation of nonradiative surface defects increases strongly at this potential, and the sharpness of the drop is evident for the sudden onset of porous silicon formation. The PL intensity remains nearly constant with increasing potential up to about - 0 . 1 V. Simultaneously, the current increases to a value that is about half of the current at the first maximum. The PL intensity decreases further with increasing
192
RAPPICH AND DITTRICH
FIG. 48. SEM graphs (side views, tilt angle 30~ of p-Si(100) anodized in 0.2 M NH4F (pH 3.2) at
12 (A), 100 (B), and 400 (C)/xA/cm 2 after an electric charge of 100 mC/cm 2 has passed the electrode.
potential. This decrease is slow, in contrast to the sharp drop at about - 0 . 4 V, and the minimum of the PL intensity is observed when the first current maximum is reached. The PL intensity remains at a low level with a further increase in the potential. The range after the first current peak is characterized by electropolishing, and the silicon surface is covered by an oxide with a thickness in the monolayer range. The slow decrease in the PL intensity for potentials higher than -0.1 V marks the onset of electrochemical reactions leading to electropolishing. These chemical reactions compete with the reactions of porous silicon formation.
193
E L E C T R O C H E M I C A L P A S S I V A T I O N OF SI AND S I G E
0.4 :3 >,, .m oo c
p-Si(lO0) / 0.2 M NH4F (pH 3.2)
O t--
0.2. 3
0.08-
-', e,-i-
o
2 3
0.06-
t.'.m J 13.. 0.04-
1
0.020.00
,
-0.5
,
0.0
0
,
0.5
1.0
Potential (VscE)
Current-voltagescan and PL intensity of p-Si(100) in 0.2 M NH4F (pH 3.2) during the voltage scan (scan velocity 20 mV/s). Reprinted with permission from the American Institute of Physics [229], copyright 1998.
FIG. 49.
The potential dependence of the photovoltage, Upv, gives information about the charge transfer at the Si surface. Figure 50 shows the current-voltage scan (a) and the corresponding Upv scan (b) of p-Si(111) in 0.1 M NH4F (pH 3.9). The anodic current changes the slope at a value that is about a third to a half of the anodic current at the first maximum. This is similar to the PL and current-voltage scan shown in Figure 49. The Upv amplitude decreases linearly with increasing potential up to a potential of about 0 V. At this potential the anodic current changes the slope and the slow decrease in the PL intensity, as shown in Figure 49, begins. There is no hint about any signature in the Upv or the sharp onset of the process of porous silicon formation with increasing potential as observed by PL measurements (Fig. 49). Therefore, the charge transfer at the Si surface remains unchanged during H-termination or porous silicon formation. The Upv amplitude increases slightly with increasing potential between 0 and 0.2 V and decreases again toward accumulation with a further increase in the potential. The increase in the Upv amplitude of p-Si(111) gives evidence for an increasing positive charge at the Si surface. The increase in positive charge at the Si surface is finished after the first maximum of the anodic current only. The onset of the increase in the Upv amplitude with increasing potential coincides with the change in the slope of the anodic current and the onset of the slow decrease in the PL intensity. Therefore, the electrochemical reactions leading to electropolishing cause a dynamical storage of positive charge at the Si surface [228]. Smith and Collins [218] distinguished the current potential scan in diluted HF solutions in a region of hydrogenation, a transition region, and a region
194
RAPPICH AND DITTRICH
CM
E
< E
p-Si(111)
1.0
0.5
0.1 M NH4F pH 3.9 0.3 M (NH4)2s04
0.0 0.0 v>
> a_
(a)
A
3 Dcm
I
,I
(b)
I I
-o.1 -0.2
-0.3 i
i
i
-0.2
'
'
'
I
0.0
'
'
'
i
0.2
'
'
'
i
0.4
|
|
'
I
0.6
'
'
Upo, (V) FIG. 50.
Current-voltage scan (a) and corresponding PV scan (b) of p-Si(lll) in 0.1 M NH4F
(pH 3.9).
of electropolishing. The region of hydrogenation contains the two regimes of H-termination and porous silicon formation, which are characterized by two plateaus with the high and medium PL intensities (Fig. 49), respectively. The transition region is characterized by the slow decrease in the PL intensity and by a storage of positive charge at the Si surface. The PL intensity is at a low level during anodic oxidation, the region of electropolishing. As known, holes are consumed at the Si surface during anodic oxidation. This positive charge is transferred to the electrolyte by a retarded process. Such a process should be mediated by a complex supporting an electron that is injected into the Si and recombines with the hole. The positive charge that remains on the complex polarizes the Si surface within the inner Helmholtz layer. In contrast, there is no influence of charged surface complexes during porous silicon formation. Lehmann and G/3sele [216] proposed a dissolution mechanism where a Si-H surface bond is weakened by the capture of a hole. Subsequently, fluoride ions react with the destabilized Si surface atom. One electron is transferred from a fluoride ion into the Si bulk during this reaction. The former surface hydrogen atoms lead to hydrogen gas evolution. The Si-Si back bonds are now strongly polarized and can be very quickly attacked by other polar molecules like HF, leading to a dissolution of a SiF4 species, which further reacts with SiFt- ions, with F - ions
195
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
~-,
"T" r
10 (a)
, D
~, ~
nSi(111) A,
v ,,i-, t-
,-, "1-
0.1 M NaF (pH 4.0), 0.1 mA/cm 2 0.2MNaF(pH3.2),0.4mA/om 2
A, F"I 0.2 M NaF (pH 3.2), 0.8 mA/cm 2
r-i
1
r c.-
.
.
.
.
.
.
.
.
v
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
nSi(100)
0.1
pSi(100) / 0.2 M NaF (pH 3.2), 1 mA/cm 2
"-I
o.~
"~ I"
.
nanopor-Si~
0oo%o
0
l
c-si
.=_ 0.01 _.1
a.
(b) 0.01
'
lID '
'
' '"'I
0.1
'
'
'
''"'1
1
'
'
'
''"'1
10
'
'
'
''"'1
100
'
'
'
''"'1
1000
,
,
i
,
Charge (mC/cm 2 )
FIG. 51. Dependence of the Sill/Sill2 ratio (a) and the PL intensities of c-Si (measured at 1.1/zm) and nanopor-Si (measured at 0.6/zm) (b) on the passed charge during anodization of Si(111) or Si(100) at different current densities and in different fluoride solutions. Reprinted with permission from the American Institute of Physics [229], copyright 1998.
present in solution (see reaction scheme (4.1)). Hence, the Si surface is again hydrogenated by a process similar to the chemical hydrogenation of Si surfaces as proposed by Trucks et al. [200]. Si surfaces can be roughened in a well-controlled manner. The surface area increases with increasing charge flow during anodization. Figure 51 shows the dependence of the ratio of SiH/SiHe on the passed charge during anodization of Si(111) or Si(100) at different current densities and in different fluoride solutions. A constant ratio of SiH/SiHe = 0.4 is reached after a charge flow of 10 mC/cm e (a). This ratio is independent of surface orientation and remains unchanged for the formation of porous silicon. In addition, Figure 51 shows the dependence of the PL intensity of c-Si (measured at 1.1 /zm) and nanoporous Si (measured at 0.6/zm) (Fig. 5 lb) on the charge that passes the electrode [229]. The PL intensity of c-Si remains constant up to a passed charge of about 100 mC/cm e, despite the strong increase in the surface area of the Si sample. This means that the parts of the surface that are not related to the anodization process do not contribute to the nonradiative surface recombination at all. In other words, the concentration of reactive surface sites remains constant during the surface roughening and porous silicon formation. This is not surprising because the thickness of a porous layer is proportional to the passed charge.
196
RAPPICH AND DITTRICH
The PL signal of por-Si arises after a passed charge of about 300 mC/cm 2 and increases strongly with further anodization. The PL intensity of c-Si starts to decrease at about 200 mC/cm 2, i.e., when the PL signal of por-Si occurs. The reason for this is that a certain amount of the exciting light is absorbed in the porous surface layer, where the excess carriers may recombine radiatively.
2.4.3.
ELECTRONIC STATES AT INTERNAL SURFACES OF POROUS S I AND LOCAL RECONSTRUCTION
Transport of excess carriers of charge is important for the PL of por-Si. Usually, the PL intensity is negative correlated with the electric conductivity [230]. Figure 52 presents PL spectra of c-Si and por-Si after anodization in 0.2 M NH4F (pH 3.2) solution and after replacing the electrolyte with nitrogen and ethanol atmospheres. The thickness of the por-Si layer is on the order of 70 nm, and the exciting light of the N2 laser (wavelength 337 nm) is almost completely absorbed in the porous surface layer. The PL signal of por-Si increases strongly after the electrolyte is replaced with an ethanol atmosphere, whereas the PL signal of c-Si decreases. This negative correlation shows the influence of the ambient on the diffusion of charge carriers. The diffusion length of the excess carriers of charge is larger than about 50 nm in the electrolyte, whereas it is much shorter than 50 nm for por-Si in the ethanol atmosphere. For comparison, diffusion coefficients
0.3
o
~ ' ~9 ..,A
~
0.2
"~ r-
.B
in electrolyte 9 in nitrogen atm. in ethanol atm.
~ 0
9
0.1
)1(
o 1.0
1.5
2.0
2.5
3.0
Photon e n e r g y (eV) FIG. 52. PL spectra of c-Si and por-Si after anodization in 0.2 M NH4F (pH 3.2) in solution and after
replacement of the electrolyte with nitrogen and ethanol atmospheres. Reprinted with permission from the American Institute of Physics [304], copyright 1997.
197
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
1014 c7 E 0 "7 >
a) n-Si(100):UPSL b) n-Si(100):H c) n-Si(1 t 1):H
1013
a .. 1012
s
. _
1011 lO l~ ,,.
-0.5
.
.
.
.
.
.
.
.
010
0.5
E - E i (eV) FIG. 53. Interface state distribution obtained from ex situ SPV measurements for electrochemically hydrogenated n-Si(111) and n-Si(100) surfaces and for a Si(111) surface covered with a 20-nm-thick por-Si layer.
of excess carriers in mesoporous silicon measured by optical grating techniques amount to about 30-90 nm [231 ]. The interface state distribution is an important parameter for the characterization of the surface passivation from an electronic point of view. Ex situ SPV measurements can be used for ultrathin porous silicon (thickness 10-20 nm), for which the exchange of charge carriers with the c-Si bulk is not limited by transport [232]. Figure 53 compares the interface state distributions for electrochemically hydrogenated n-Si(111) and n-Si(100) surfaces and for a Si(111) surface covered with a 20-nm-thick por-Si layer. The lowest value of Dit is reached on a Si(111) surface after electrochemical hydrogenation with prior anodic oxidation in the oscillating regime, as shown and discussed in Section 2.3. The density of surface states is higher for the n-Si(100) surface prepared under identical conditions than it is for the n-Si(111) surface. The overall surface state density of ultrathin por-Si is comparable to that of HF-treated Si surfaces, whereas the normalization to the internal surface area, which is about 600 me/cm -3 [233], leads to a value of Dit on an order similar to that of the n-Si(100) surface. The excellent passivation of hydrogenated Si surfaces in por-Si can also be demonstrated for mesoporous Si prepared on highly doped p+-Si substrates. An important question concerns the existence of free carriers of charge in the mesoporous Si. Usually, mesoporous Si has a large resistivity, and there is no evidence of free carriers of charge [234]. However, under certain preparation conditions absorption of infrared light by free carriers of charge is observed [235], as shown in Figure 54, where ex situ IR transmittance spectra are plotted for as-prepared
198
RAPPICH AND DITTRICH
100 c"
=. 10 -1 d3 10 .2 0 c-"
"1
,.I...I
E 1
0-3
~
0.4
t
cO t-"
,
,
I
1000
,
m
p§ (120 lam) p+-Si (32 lam) meso-PS (as prepared) meso-PS (NO2 adsorption) I
I
i
I
2000
i
i
i
i
I
3000
,
,
,
,
I
,
,
4000
Wavenumber (cm-~) FIG. 54. Ex situ IR transmittance spectra for as-prepared free-standing mesopor-Si film (thickness 75 /zm), the same film of mesopor-Si with adsorbed NO2 molecules, and the p+-Si substrate for thicknesses of 120 and 32 #m. Reprinted with permission from Physica Status Solidi [235], copyright 2000.
free-standing mesopor-Si films (thickness 75/xm, without and with adsorbed NO2 molecules) and for the p+-Si substrate (thickness of 120 and 32 #m). The spectra show the typical absorption peaks of Si-H modes, and there is no evidence of oxide species at the internal surface of the mesoporous Si. The continuous underground of the IR spectra is characteristic of absorption by free carriers of charge. Our measurements give evidence of a high amount of free holes in the mesoporous silicon. One can conclude that the concentration of amphoteric or donor-type surface states is below 1011 eV -1 cm -2 with respect to the large internal surface area (about 600 m2/cm -3 [233]). This shows that the concentration of reactive surface sites that may adsorb water molecules (donor type molecules) is very low for hydrogenated Si surfaces in mesoporous Si. The low concentration of compensating defects in mesoporous silicon was also shown by intensity-dependent surface photovoltage measurements [236]. A space charge region is formed in the mesoporous silicon surface region, which depends on the concentration of free carriers. The porosity of the mesoporous Si modifies the dielectric constant, which allows us to handle this region in a manner similar to the method used for the space charge region of c-Si. The number of electronic surface states (or reactive surface sites) at the inner hydrogenated Si surface of porous silicon is extremely low. This, together with
199
ELECTROCHEMICAL PASSIVATION OF SI AND S I G E
co "o to ..Q
e,.,,,, ; ' ' 2,,.,,,, /e;' '
0 co
9. * " . . . . .
,,
t~
rr SiHa/SiH
0.1
i
I
i
i
i
I
1
9
,,,
I
,
i
9
~
i
i
i
i
i
I
,~411j~llilill/li
i
i
I
i
i
10
i
e i
I
i
100
R a d i u s of s p h e r e (nm) Dependenceof the calculated relation of SiH2/SiH and SiH3/SiH bonds on the radius of a sphere of c-Si when reconstruction is absent [238].
FIG. 55.
the hindrance of carrier transport, is the reason for the highly efficient photoluminescence in nanoporous Si. The PL efficiency of nanoporous silicon can be strongly increased by initial oxidation in water [237]. Reactive surface sites are created during the initial oxidation. However, water molecules passivate effectively reactive surface sites. It is known from electron spin resonance experiments on hydrogenated por-Si surfaces that adsorption of water molecules can decrease the concentration of dangling bonds by at least one order of magnitude [199]. A similar effect is known from experiments in ultra-high vacuum on Si(111) 7 • 7 surfaces [212] or Si(100) surfaces covered with a thin oxide [213] for which the concentration of nonradiative recombination surface defects decreases strongly during the adsorption of water. In Section 2.3, we relate the formation of disordered hydrogenated Si surfaces to electrochemically induced local reconstruction of the Si surface. The nanoparticles in porous silicon have round shapes; no faceting is observed. The argument of local reconstruction is supported by the fact that the ratio of Sill, Sill2, and Sill3 bonds saturates. Nevertheless, the ratio of dangling bonds at unreconstructed Si spheres is very different from the experimentally observed one. Figure 55 shows the dependence of the calculated relation of SiH2/SiH and SiH3/SiH bonds on the radius of a sphere of c-Si if reconstruction is absent [238]. A similar approach of passivation of dangling bonds by hydrogen is used for theoretical calculations of the electronic structure of Si nanoparticles. The calculated ratio Sill/Sill2 saturates at about 1, whereas the measured ratio Sill/Sill2 saturates at about 0.4
200
RAPPICH AND DITTRICH
for the as-prepared porous silicon. The difference means that the shapes of the Si nanoparticles are not really sphere-like, but have some preferential orientation in the (100) direction and/or that atomic steps at the surfaces of the spheres are smeared out by local reconstruction. In fact, porous Si is preferentially etched in the (100) direction. Obviously, more theoretical work is needed to finally solve the question of local reconstruction in porous silicon. Local reconstruction can be understood as a kind of amorphization of the hydrogenated Si surface, which keeps all surface atoms in more or less identical positions from the point of view of surface chemical reactions. A very thin amorphous Si surface layer with an extremely high amount of hydrogen would act as a passivation layer.
2.5. Thin Anodic Oxides on Si The most widely used method in Si device passivation is thermal oxidation, in a dry or wet oxygen atmosphere in a range of 700-1100~ for some minutes, depending on the thickness of the oxide [4, 9, 239]. Recently, low thermal budget processing like PECVD in any kind of variation [17, 117, 124, 240, 241], electrochemical oxidation procedures [2, 3, 5, 12-27], and other more or less exotic treatments like, for example, oxidation in ozone at 200~ [242] have been developed for device quality passivation of Si and even for SiGe epitaxial layers [32-34, 243-245]. The surface roughness is very important for the formation of thin gate oxides [120-123]. The influence of initial stages of oxide deposition differs from that of "bulk oxide" formation. In the former, the initial Si surface mainly defines the electronic properties; i.e., damage to the surface can be observed during the beginning of the deposition process by the needed plasma source. No change in the interface occurs if the oxide layer is thick enough to head off the energy that is incorporated by the plasma [ 124, 125]. The situation is sharply different when the oxide layer is grown into the silicon bulk, where the interface is permanently changed by the formation process, i.e., diffusion of atoms, ions, or molecules through the oxide layer [2, 12]. The initial stages of formation of oxides are important for the electronic properties of the interface of thin oxide layers and have been widely investigated [ 133, 213,246-255]. These properties include the space-resolved variation of tunneling current investigated by STM or atomic force microscopy (AFM) techniques [256], the homogeneity and morphology of the layer and the interface [257], the dependence of the current density on the number of steps at the SUSiO2 interface [258], and the strain at the interface during oxide growth [ 118, 125], which is correlated with the defect concentration [259]. Even effects of the initial electrode potential [260], amount of water and temperature [27, 261], and organic impurities in water [249] on the oxide growth conditions have been observed.
201
ELECTROCHEMICAL PASSIVATIONOF SI AND SIGE
2.5.1. I N I T I A L STATES OF A N O D I C OXIDATION
X-ray photoelectron spectroscopy and high-resolution electron energy loss spectroscopy [ 198] revealed the formation of Si-OH groups on Si surfaces in contact with water. Infrared absorption experiments in the UHV chamber have recently been performed and show the formation of Si-H species with different types of oxide back bonds in the beginning of oxide formation [ 133]. The oxidation process of a hydrogenated Si surface starts with the breaking of Si-Si back bonds, leaving the Si-H bonds untouched at first [133]. Similar results have been obtained for electrochemical oxidation of H-terminated Si surfaces. Figure 56 shows FTIR spectra during anodic oxidation of Si(111) (A) and Si(100) (B) surfaces in 0.2 M NazSO4 (pH 3) normalized to the hydrogenated state. The samples are cleaned and smoothed before oxidation by an electropolishing step at +3 V in 0.1 M NH4F (pH 4) followed by the hydrogenation procedure described in Section 2.3. In the beginning, the Si-Si back bonds of the Si-H surface species are converted into Si-O-Si bonds, leading to a decrease in the IR absorption in the Si-H region (peak around 2090 cm -1) and to an increase in Si-H species with an increasing amount of oxygen back bonds (OSi-H 2118, OzSi=H2 2200, and O3Si-H 2255 cm -1 [133-136]). Whereas the (111) oriented Si surface shows the subsequent formation of Si-H species with one, two, and three oxygen back bonds with increasing potential, the Si(100) surface shows the formation of Si-H species with
(a)
c-
.o
o_ o x_
or}
I (b)
I nSi(100) I
.., ..../~i1~~._~..,..,.,,~. ~ reference ~ e f e r e n c e lJl~k-i,~. . . . . . . . . . Z H-term" ~ ~ / A ~ _ . _ H-term ,4.
cr O
O O~
v v
0s v .
~-,,j. k,-,k t~--'--0.~
~
20'00
'
'
I
'
2200
'
I
2400
0
V *' [ !-~-:~=r
"~'"~"~"~'~-~-~-0.5 '
-
V '~ i ~ # : . . , , . = =
~ - 0 . 4
x...
, ~ ~
v-
co
O
!22j.
"
'
I
'
2600
.
+o. v
+~.~ v
3 V ~.-.~"-..-.-.~.~',:;:-0.36V -0.5 V
20'00'22'00'24'00'26'00
Wavenumber (cm ~) FIG. 56. IR absorption spectra in the range of Si-H stretching modes of n-Si(111) (a) and n-Si(100) (b) during anodic oxidation at different potentials. The spectra are normalized to the hydrogenated
surface (reference).
202
RAPPICH AND DITTRICH
n-Si(111 )
tO Q_ 0 a3 I
n"
//
anodic oxidation 0.2 M Na2SO4 (pH 3.0)
anodization potential (VsoE)
_. "~,~.,,~........,z~ \
ta3 tO
+5.0 +2.0 .o
(D L!/~/~
I
1000
-. . . .
~
11 O0
-0.25
1200
Wavenumber
1300
;0.a
1400
(cm-')
FIG. 57. Relative change in IR absorption in the range of Si-O-Si stretching modes during anodic oxidation of n-Si(111) at different potentials. The hydrogenated and oxide-free surface serves as the reference spectrum.
two oxygen back bonds (O2Si--H2) only. This behavior points to a faster oxidation of the (100) surface, which is less stable than the Si(111) surface. The IR absorption due to Si-H completely disappears when the potential increases above +2 V. This relatively high potential is indicative of a preferred island formation of the oxide (3D growth) with a later onset of 2D growth, as also proposed by other authors [262]. In addition, Figure 57 shows FTIR spectra during oxide formation on Si(111) in the regime of the Si-O-Si asymmetric stretching mode, obtained with single internal reflection techniques. A broadened IR absorption with a maximum at about 1120 cm -1 occurs at small anodic currents at -0.25 V because of the formation of Si-O-Si groups [137-139]. This absorption peak decreases slightly in intensity with increasing anodic potential and splits into two peaks (easily seen at + 1 V and above) that are centered at 1050 cm -1 and 1240 cm -1, respectively. This indicates the formation of a thicker oxide layer (about 23 ML), where a disorder-induced vibrational effect is present [ 139], which leads to the LO-TO split [117, 139, 142, 144]. The former peak at about 1120 cm -1 (-0.25 V) seems to be due to a preferential order of the oxide formed during the beginning of the oxidation process (the LO4 mode is located at about 1140 cm-1 [117]); i.e., the bond angle of a Si-O-Si group at a Si surface bond is well defined by the crystalline lattice of the bulk material. The amount of this kind of ordered Si-O species, which exists only at the oxide/Si interface, decreases in relation to
203
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE c 0
n-Si(111) / 0 1 M N a F (pH 4)
Q. 0
.-.
'0
. m
Cas SiO2 TC '
.13
rk
0.4
"E 0.3 '~. tE 0.2 "-" 0.1
-
,,,.,.=
I
b
'
d
o.o ,-1~,, 'a . . . . . . . .
I
0.0 0.5 1.0 1.5 2.0 2.5
~H20 Potential (V) aft. .,,a~-~,~k,~.,,, ....~ ....., . - , - , ~ i ~ ~
0
T 3.1 o-a
c co
a ~r",r,--'v,r','+-r,,,-,~ 1
d O
0
'
'
'
'
I
1500
'
'
'
'
I
2000
Wavenumber
b,-x,~
~, '
'
'
'
I
2500
(cm-')
FIG. 58. IR absorption spectra during anodic oxidation of n-Si(111) in 0.1 M NaF (pH 4) at different potentials. The spectra are normalized to the hydogenated Si surface obtained after etch-back of a thin anodic oxide layer (about one monolayer Si-H).
the growing thickness of oxide layer, and the IR absorption due to "normal" Si-O groups, which are highly disordered, predominates. From Figures 56 and 57 one can conclude that a mixture of fully (SiO2) and partially (xO-Si-H) oxidized parts coexists on the Si surface in this potential regime. Figure 58 shows the relative change in IR absorption in reference to the hydrogenated and oxide free n-Si(111) surface at different anodic potentials under illumination in 0.1 M NaF (pH 4) with the application of a single intemal reflection. The potential was stepped from - 0 . 2 V to +0.6 V, +0.9 V, +1.7 V, or 4-2.6 V, respectively, as indicated by the thick arrows in the current-potential curve of the inset in Figure 58. Hydrogenated and porous Si is formed at the first strong increase in the current (+0.6 V, 130 mC/cm2). The FTIR spectrum (a) exhibits typical IR peaks around 2100 cm -1, which are broadened because of different kinds of Si-Hx species of the porous layer (x - 1, 2, 3; mono-, di-, and trihydride, respectively). Nevertheless, no oxide species could be detected at this potential. The well-known split of the IR absorption at the asymmetric Si-O-Si stretching mode into parallel (TO, 1050 cm -1) and perpendicular (LO, 1230 cm -1) components can be seen at anodic potentials above the first current maximum. This split is not well resolved at +0.9 V (spectrum (b)). Moreover, the IR absorption of the asymmetric stretching mode of Si-O-Si is somewhat broadened, which is in contrast to the IR spectrum recorded at - 0 . 2 5 V in fluoridefree solution (see Fig. 57), where a maximum is observed around 1120 cm -1,
204
R A P P I C H AND D I T T R I C H n-Si(100) 3 sqcm 10 ML
>
IJJ O 03
s starting with hydrogenated surface
v m co 13_
1 laA/cm 2 1 laA/cm 2 2 laA/cm 2
- 9-- -
9 9 9 9
9 9 9 S'* ~
|
.-.." ~
'
'
SS
9
5 ML
2 ML
' 20100 '
'
' 4000
'
'
' 60100
Time (s) FIG. 59. T i m e d e p e n d e n c e o f the p o t e n t i a l d u r i n g g a l v a n o s t a t i c o x i d a t i o n o f n - S i ( 1 0 0 ) in p u r e water. T h e a n o d i z a t i o n starts w i t h h y d r o g e n a t e d surfaces.
which is attributed to a ordered Si-O-Si layer at the interface. The oxide/Si interface in fluoride-containing solution is no longer well defined. Moreover, the interface is permanently renewed because of the electropolishing behavior in such solutions [81, 171,172], and, obviously, no well-ordered interface can be formed. Furthermore, Figure 58 shows a reduction of the IR absorption in the H-O-H bending mode region around 1650 cm -1 . This finding is correlated with the increasing amount of silicon oxide from spectra 58b to 58d (film growth), which replaces the surrounding water from the electrolyte. The amount of oxide at +2.6 V (Fig. 58d) is nearly three times greater than at + 1.7 V (Fig. 58c). The time dependence of the potential during galvanostatic oxidation of an ntype Si(100) wafer in pure water is plotted in Figure 59. The anodization starts with the hydrogenated surface. The potential decreases by about 0.7 V during the first 100 s of anodic oxidation. The increase in the potential after about 200 s gives evidence of the formation of a homogeneous anodic oxide layer, and the applied potential drops across the layer (the charge flow is equivalent for 1 ML). The potential increases by about 0.25 V after the formation of 2 ML. The anodic oxides, with thicknesses of 5 and 10 ML, are completed after the potential reaches +0.8 and +2.4 V, respectively. The linear increase in the potential with oxidation time is due to an increase in the potential drop across the oxide layer. The rate of oxide formation (dU/dt) for very thin anodic oxides cannot be increased significantly by increasing the anodization current (see thick solid and dashed lines). This behavior reveals that the formation of Si-O-Si bonds is the rate-limiting step. The PL intensity of the c-Si is constant during the growth of very thin anodic oxides regardless of the current density (i.e., for low current densities). As remarked,
205
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE anodic oxidation of 1 ML ii m.,m at 1 laA/cm2 ---o--- at 2 laA/cm2 ......,i ..... at 4 laA/cm2
I
0.0 -0.1 ~"
p-Si
f
(4 acm)
Lted ];t7 I hydrogenated
-0.2
""~.'~:~" ~ l l
7
>
23Q- -0.3
-0.4 -0.5 i
I
-900
,
,
I
-600
,
i
I
-300
,
,
I
~
0
,
I
300
,
,
I
600
,
,
I
i
900
U F (V) FIG. 60. Dependence of the photovoltage amplitude on the field voltage for Si surfaces covered with
thin anodic oxides (thickness about one monolayer) prepared at 1, 2, and 4/zA/cm 2. The dependence of the hydrogenated Si surface is shown for comparison.
a similar behavior has been observed for initial porous silicon formation when the PL intensity, which is a measure of the rate of dangling bond formation, is constant with increasing current (see Section 2.4). Figure 60 shows the ex situ measured dependence of the photovoltage amplitude, Upv, on the field voltage, UF, for Si surfaces covered with a very thin anodic oxide. The dependence of the hydrogenated Si surface is shown for comparison. The hydrogenated Si surface is in slight inversion because of positive charging of the surface. The slope of the Upv (UF) characteristics decreases strongly, and the band bending tends to stronger inversion after formation of the very thin anodic oxide layer. Therefore, interface states are generated, and the density of slow hole traps increases because of initial anodic oxidation. Interestingly, the corresponding density of interface states has a minimum for a current of about +2 #AJcm 2 (highest slope of Upv (UF) characteristics among the very thin anodic oxides), whereas the inversion is the strongest. Positive charge may be partially compensated for by negatively charged acceptor states (for example, complexes associated with OH- ions). The probability of formation of negatively charged complexes increases with increasing surface state density, provided that the surface is covered by an oxide. Figure 61 compares the distributions of surface states, Dit, for Si surfaces covered with anodic oxides of different thicknesses. Dit of the hydrogenated Si surface is shown for comparison. The value of D ~ i tmin of the H-terminated surface is on the order of 1011 eV -1 cm -2 (analysis of the Upv/UF data from
206
RAPPICH AND DITTRICH anodic oxidation at 1 pA/cm 2 --Z&-- 1 ML oxide - - O - - 2 ML oxide -r-I3 ML oxide
1013 E o > (D "~= 1012
p-Si (4 ~cm)
B
1013
anodic oxidation with 400 p.C/cm2
I^ ,ro0enated I, I -0.3
,
,
I 0.0
,
E-E i (eV)
1012
1 IJA/cm2, 400s --4"-- 2 laA/cm2, 200s -X-
v~>,O_O_O_.O_OI~<>"<>"
1011
-~"~_.x~:~e~T
z--~Z~,..A_A..~-,,~ I anodic oxiaes I
,
I 0.3
4 IJNcm 2, 100s
-~1~- 10 I~A/cm2, 40s -0.3
J
i
ol.o
I
,
01.3 1011
E-E i (eV)
FIG. 61. Interface state density distribution (SPV analysis) for Si surfaces covered with anodic oxides prepared at an anodic current density of 4-1/zA/cm 2 (left, nominal thickness, 1, 2, and 3 ML) or as a function of the current density (right, nominal thickness, 1 ML). The dependence of the hydrogenated S i surface is shown for comparison.
Fig. 60). Dit increases after initial anodic oxidation to values in the range of 2-5 x 1012 eV-1 cm-2. The lowest Dit has been observed after anodic oxidation with 4-2/zA/cm 2. The density of states in the minimum is practically independent of the thickness of the anodic oxide, whereas the density of states in the range toward the conduction band increases remarkably with increasing thickness of the very thin anodic oxide layer. This behavior is very similar to the formation of native oxides on hydrogenated Si surfaces in air or chemical solutions [197, 247]. The development of surface states in the range toward the conduction band can be interpreted as an increase of the number of acceptor-type surface states (exchange of charge with the conduction band) with increasing thickness of the very thin anodic oxide layer. 2.5.2. PASSIVATION BY E L E C T R O N I N J E C T I O N AT C A T H O D I C P O T E N T I A L S The density of electronic states at the anodic oxide/Si interface is usually quite high (> 1012 cm-2), and thermal post-treatments are needed to improve the electronic passivation of the anodic oxide/silicon interface [27, 263]. The electronic states at the anodic oxide/Si interface depend strongly on the chemical equilibrium in which reactive surface sites such as dangling bonds are involved. It is shown in this section that the density of states at the anodic oxide/Si interface can be strongly reduced by optimizing the electrochemical equilibrium at the cathodic potential when electrons are injected into the thin anodic oxide layer. For this pur-
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
0
<
p-Si(lO0)
1~
c
m
207
,~ill
~
....-"rT'v
v
t--
-2 / /
k-
::3 0
y " -4
IIY ,
>
(D O > O
o r-n
decreasingpotential
--
....
increasingpotential
(NH4)zSO 4 anodic oxide formed at +8 V I
,
,
,
i
,
,
,
|
,
,
,
i
,
,
,
(a) ,
(b)
decreasing potential
0
|
i
-2 -4
J
laser diode:
tj~' I ! - w
-8
,
902nm, lOOns, 150W |
I
-6
,
,
,
I
-4
,
,
,
I
-2
,
Potential (V)
,
,
I
,
0
FIG. 62. Current-potential (a) and photovoltage-potential (b) characteristics of the anodic oxide/p-Si
structure in (NH4)2SO 4 electrolyte. The photovoltage was excited with single pulses of a laser diode. Reprinted with the permission of the American Institute of Physics [36], copyright 2001.
pose, a thin anodic oxide (thickness about 6 nm) was formed on Si(100) samples of p-type doping (resistance 1 f2 cm) in 2 M (NH4)2SO4 at 4-10 V, and the photovoltage and photoluminescence were probed stroboscopically during electron injection. Figure 62 shows the current-potential (a) and photovoltage-potential (b) characteristics of a 6-nm-thick anodic oxide in 2 M (NH4)2SO4 electrolyte for decreasing and increasing potential scan, Upot. The PV transients were excited by single light pulses from a laser diode (wavelength 902 nm, duration time 100 ns, 10 #J/cm2). Electron injection starts at Upot -- -2.1 and - 1.6 V for the branches of decreasing and increasing potential, respectively. The values of Upv amount to -1.1 and - 0 . 8 V at the potentials o f - 2 . 1 and - 1 . 6 V. Breakdown fields of 1.8 and 1.3 MV/cm can be obtained for decreasing and increasing potentials if it is taken into account that Upv corresponds to the potential drop across the p-Si sample. The flatband potential is shifted to lower Upot for increasing potential by about 0.2 V in comparison with decreasing potential. This shows that the positive charge is decreased by about 3 x 10 ll q/cm 2 after electron injection. The specific role of the potential and current in the density of nonradiative recombination defects at the interface can be investigated by switching between
208
RAPPICH AND DITTRICH >
.~
5
E~0
0
13_
_=
p-Si(100) r..I ~ H 4 ) z s ~ ,
~
i,_ L,_
i ~
-1 q
1
,O~
-
0..
,
,
I :
,
/:
o t, u
. . . .
0
,
, i
I
,
,
',
: ',
" ' ' ' '
. . . .
1000
,
I
. . . .
exc~tatwon.
337
,
I
i
(a)-
! i
,!
;
J
,
nm,
10
, ....
2000
',
t
',
I
,
,
n
~
ns
i
,,
.
3000 T i m e (s)
.
.
J
, -,"L" .
,
,
,
(b
I"
.,r
.
4000
(c)
5000
FIG. 63. Time dependence of the potential (a), current (b), and photoluminescence (c) of the anodic
oxide/p-Si structure during switching experiments between +8 V and cathodic potentials o f - 1, - 2 , - 3 , and - 4 V. The photoluminescence was excited with single pulses of a N 2 laser. Reprinted with the permission of the American Institute of Physics [36], copyright 2001.
anodic and cathodic directions of the current. Figure 63 shows an example of experiments with switching between anodic (+8 V), zero, and increasing cathodic potential. The anodic potential switch is used to create the same initial experimental conditions before the switch to the cathodic potential. The time dependence of the potential (a), current (b), and PL intensity (c) are plotted in Figure 63. The PL was excited with pulses from the N2 laser (wavelength 337 nm, duration time 10 ns, 100/zJ/cm2). The PL intensity is low during the application of the anodic potential and decreases further after switching to zero potential. The corresponding value of Ns is on the order of 1012 cm -2. The PL intensity remains almost unchanged during the application of low cathodic potentials (Upot > - 2 V). The Si surface is in strong inversion under these conditions as can be seen from Figure 62. Therefore, the influence of band bending on the recombination processes can be neglected and Ns is constant. In other words, the electrochemical equilibrium at the thin anodic oxide/silicon interface cannot be changed by a simple shift of the surface Fermi-level position from accumulation (anodic potential) to strong inversion (cathodic potential). The situation changes when electron injection becomes significant (Upot < - 3 V). The PL intensity starts to increase after switching from zero potential to a cathodic potential
209
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
of Upot = - - 3 V. Furthermore, the PL intensity increases with increasing time, i.e., with increasing injected charge. The PL intensity decreases again at a high anodic potential of +8 V. The increase in the PL intensity is much more significant at a potential o f - 4 V. Therefore, we can conclude that the electrochemical equilibrium at the thin anodic oxide/silicon interface is strongly affected by injected charge. The PL intensity can be increased by up to more than one order of magnitude by electron injection. Nevertheless, the PL intensity saturates at high amounts of injected charge, as plotted in Figure 64. The PL intensity saturates faster at higher cathodic potentials. This is evident for the adjustment of a common chemical equilibrium that is characterized by a given value of Ns during the injection of electrons. We have to remark that the amount of injected charge that is needed for a certain increase in the PL intensity increases with increasing density of the cathodic current. The PL intensity at zero potential also strongly increases after electron injection but reaches only about half of the PL intensity at high cathodic
> v
8
FI (a)
t t ~(NH4)2804
r--]
I-I
, m
c 0 O_
< ~
0 -8
I-/i,,I
....
I , , , , I
.
.
.
.
I ....
I,,R,,
p-si(lOO)
I
.
.
.
.
I ....
I,,,
0
v
r-"
-2
~ 23
-4
0
-6
FE
o:i =
2 ',(c) ~
N
1
t---
0
%-J kll lU excitation: 337 nm, 10 ns
tim[~ ~ 9
0
2000
4000 6000 Time (s)
8000
FIG. 64. Time dependence of the potential (a), current (b), and photoluminescence (c) of the anodic oxide/p-Si structure during switching experiments between -+-8 V and cathodic potentials of - 4 , - 6 , and - 8 V. The photoluminescence was excited with single pulses of a N 2 laser. Reprinted with the permission of the American Institute of Physics [36], copyright 2001.
210
RAPPICH AND DITTRICH
> v .
tl:i m
(D o 13_
>
8 0
p-Si(lO0)
(NH4)2SO 4
6 nm anodic oxide
(a)
-8
o.o
zero potential branch
g -0.2 > o o
_c: -0.4 13_
(b)
4
excitation" cathodicpotential branch 337 am, 10 as imp lIP ~ I ~ 3 ql~
0
300
~
-r
600 Time (s)
900
Time dependence of the potential (a), photovoltage (b), and photoluminescence (c) of the anodic oxide/p-Si structure during switching between cathodic (-8 V) and zero potential. The experiment starts with anodic potential at +8 V. The photovoltage is shown only for the zero potential branch. The photovoltage and photoluminescence are excited with single pulses of a N2 laser. Reprinted with the permission of the American Institute of Physics [36], copyright 2001. FIG. 65.
potentials. This behavior reflects the influence of strong inversion on the surface recombination velocity. Surprisingly, the PL intensity can be further increased by switching between high cathodic and zero potential at shorter time intervals without the application of anodic potential (Fig. 64 time around 8000 s). This points out the importance of transport phenomena like drift or diffusion of ions through the oxide to establish the chemical equilibrium at the thin anodic oxide/Si interface. The electron injection can be interrupted for short times during the ongoing passivation process. This allows a correlation between Ns and the projected charge at the thin anodic oxide/Si interface (Qox). Figure 65 presents an example of such a switching experiment where the applied potential (a), photovoltage (b), and PL intensity are plotted as functions of process time. The photovoltage is shown in the case where Upot = 0 V. The p-Si surface is in inversion after switching from anodic to zero potential; i.e., there is a positive Qox of about 2 x 1011 cm -2 at the anodic oxide/Si interface. Despite the high band bending, the PL intensity is the lowest after switching from anodic to zero potential as mentioned above. Upv
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
p-Si(100) (NH,)2SQ
1012
O A E o
z
O3
211
I~
Ns Ns-81010cmz
1011
Oj," 0 0 Ai -
O0 /"
10 ~~
.A
.A"
slope 1 ,
0
,
,
|1
=
|
|
|
|
,
,
,I
4011
10l~
Qox (q/cm2) FIG. 66. Correlation between the concentration of nonradiative defect centers at the anodic oxide/pSi interface, Ns, and the positive oxide charge, Qox (open circles). The triangles show Ns for Nit: 8 • 1010 cm -2. The dependencies are obtained by analyzing the data of the zero potential branches in Figure 65. The slope 1 is indicated. Reprinted with the permission of the AmericanInstitute of Physics [36], copyright 2001.
at zero potential decreases from inversion to depletion during repetitive switching from cathodic to zero potential. The PL intensity increases at the same time. Hence, the electron injection neutralizes and/or anneals positive charges at the anodic oxide/p-Si interface and decreases Ns by more than one order of magnitude. This correlation between Qox and Ns is given in Figure 66 for the zero potential branch (open circles). The data are obtained from Figure 65. Ns and Qox are reduced from 1012 to 1011 cm -2 and from 2 x 101] to 2 • 101~ q/cm 2, respectively. Ns decreases monotoneously with decreasing Qox and tends to saturate at the lower values of Qox ( N s nin is about 8 x 101~ cm-2). The triangles show the dependence of Ns - N~nin on Qox. The dashed line indicates the slope 1. It can be seen that Ns - N~nin is nearly proportional to Qox for values of Qox lower than 1011 q/cm 2. The observed passivation of nonradiative recombination centers at the anodic oxide/p-Si interface is caused by injected electrons passing through the thin anodic oxide layer. The injected electrons change the chemical equilibrium at the anodic oxide/p-Si interface. There are two possible routes of chemical reaction that are induced by the injection of electrons. The first mechanism is connected with the drift of protons from the electrolyte/anodic oxide to the anodic oxide/Si interface. Injected electrons can react with protons near the anodic oxide/Si interface, and hydrogen atoms can diffuse back to the electrolyte/anodic oxide in-
212
RAPPICH AND DITTRICH
terface. Hydrogen could passivate Si dangling bonds, which act as nonradiative recombination defects. However, this mechanism does not explain the correlation between Ns and Qox. We favor a second mechanism that is related to the high amount of water in anodic oxides and its role of destabilization of H-Si - Si3 bonds. The correlation between Ns and Qox can be well explained by this second mechanism as follows. The correlation between Ns and Qox is very similar to the negative-biastemperature instability (NBTI) of SiO2/Si interfaces [264]. On the bases of many experimental findings, Poindexter proposed the following NBTI reaction [210]: H-Si-- Si3 + H20 + h + ~ o S i - Si3 + H30 +
(5.1)
In accordance with reaction (5.1) we propose the following reaction for passivation of Qox and Ns at anodic oxide/p-Si interfaces by electron injection: ~
Si3 + [H502] + + e- --~ H - S i - Si3 + 2H20
(5.2)
Reaction (5.2) is, in principle, the inverse reaction of (5.1). Reaction (5.2) is controlled by the number of injected electrons and the amount of water present at the interface. The activation energy could be supported by the recombination energy of the electron. Reaction (5.1) dominates at anodic potentials, whereas reaction (5.2) is initiated by the injection of electrons at cathodic potentials. Ns cannot be reduced below a certain level, whereas Qox decreases further. Therefore, a competitive reaction to (5.2) that neutralizes charged [H502] + complexes and creates new oSi -- Si3 bonds should take part in the chemical equilibrium. Such a reaction could be H - S i - Si3 + [H5Oe] + + e- ~ o S i - Si3 + 2H20 + H21"
(5.3)
The passivation of the anodic oxide/Si interface is limited by reaction (5.3). Reaction (5.3) can be partially suppressed by switching between cathodic and zero potentials as shown in Figure 64. We suggest that capture times of charge and/or polarization of Si-Si bonds are important for an inhibition of local reconstruction, which should be important for the activation of reaction (5.3). 2.5.3. PASSIVATION BY PROCESS OPTIMIZATION AT ANODIC POTENTIALS
The density of nonradiative recombination centers at the anodic oxide/silicon interface is usually on the order of 1012 cm -2. However, in this section we show that Ns can be decreased by more than one order of magnitude, even during anodic oxidation in diluted fluoride solution, with the use of a certain regime of anodic oxidation in the oscillating regime. Figure 67 shows the maximum and minimum PL intensities during the anodic oxidation process of p-Si(100) in 0.1 M NH4F (pH 4.2) as a function of the applied potential. The PL intensity has the lowest value at the lowest anodic
ELECTROCHEMICAL PASSIVATIONOF SI AND SIGE
213
FIG. 67. Maximum and minimum PL intensity of p-Si(100) during electropolishing as a function of the applied potential (0.1 M NH4F (pH 4.2)). Reprinted with the permission of Wiley VCH [168], copyright 1997.
potential (+3.5 V) during electropolishing and increases by more than one order of magnitude with increasing anodic potential in the oscillating regime. The current oscillations vanish for potentials higher than + 10 V, and the PL intensity decreases for higher potentials. The strong increase in the PL intensity cannot be explained only by the increasing potential (p-type Si is in accumulation during anodic oxidation), because the PL intensity decreases for higher anodic potentials. The main reason for the strong increase in the PL intensity should be a structural change at the anodic oxide/p-Si(100) interface [178, 189]. As outlined in Section 2.3, an anodic current transient can be observed after complete removal of an oxide layer in a diluted fluoride solution at about - 0 . 4 V. The dependence of the anodic oxide thicknesses that have been prepared on pSi(100) at different potentials is monitored by anodic current transients in Figure 68. The potential is switched from the anodic oxidation state (a, b, c: +3.5 V, +9 V, +12 V) to -0.45 V in the same solution, and the time base is scaled to the interruption of the current after the potential is switched. The onset of the anodic current transient appears at a later time with increasing oxidation potential because of the increased thickness of the oxide layer. The time needed to reach the peak maximum of the anodic current transient correlates well with the period of the current oscillations for the potential range between +4 and + 10 V, but it is slightly longer than the respective period [35, 168]. Therefore, the anodic current oscillations depend sensitively on the thickness of the anodic oxide layer. The oscillation period is given by the amount of
214
RAPPICH AND DITTRICH
10
4
- - -:...7....7...
-
-0.45 v
O4
E
~
0_2
~
10_3
Potentials
~
ti i!,. I ,:.~.."
23
0
10.4 10 s
a
'
'
'
0
'
'
' Time
........ ..~." -..... ~ '.,
'
I
100
'
C
\ '
'
'
\ I
200
(s)
FIG. 68. Anodic current transients of p-Si(100) at -0.45 V after different anodic potentials (a, b, c:
+3.5 V, +9 V, +12 V) in 0.1 M NH4F (pH 4.2). Reprinted with the permission of Wiley VCH [168], copyright 1997.
oxide generated during one oscillation period (i.e., the oxidation potential) and by the etch rate of the electrolyte [35, 170, 179, 265, 266]. The frequency of the oscillation is proportional to the etch rate at fixed potential [ 170]. The anodic current peak that appears during the etch back of the oxide layer is caused, in our opinion, by the oxidation of partially oxidized Si atoms at the Si surface during the hydrogenation process, as proposed by Gerischer and Ltibke [ 166]. Figure 69 shows the dependence of the integrated electric charge of the anodic current transient at -0.45 V on the applied oxidation potential [ 168]. The integrated electric charge decreases with increasing oxidation potential-showing that the number of partially oxidized Si atoms at the interface decreases. It is important to note that the integrated electric charge of the anodic current transient is not correlated with the concentration of nonradiative recombination defects at the Si interface. It can be concluded that the quenching of the PL intensity is not related to the formation of partially oxidized Si surface atoms. Unfortunately, there is no direct experimental proof of this conclusion at the moment. The PL intensity correlates with the anodic current oscillations during the anodic oxidation. An example is shown in Figure 70 for p-Si(100) at +10 V in 0.1 M NH4F (pH 4.2). As usual, the maximum current corresponds to a minimum in the PL intensity and vice versa. The modulation of the PL intensity is related to a modulation of the concentration of dangling bonds at intrinsically back-bonded Si surface atoms. Breaking of Si-Si back bonds is one elementary step during the anodic oxidation of Si, and the concentration of dangling bonds at intrinsically
215
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
50 t'Xl
E r
O v
O O
40
O
:I.
(1)
30
to r
20
O
O
.m
o
10
O
UJ
Time I
I
1
2
I
3
I
4
O I
5
I
I
6
7
I
I
8
I
9
I
10 11
I
12 13
Potential (V) FIG. 69. Dependence of the integrated electric charge of the anodic current transient, Qt, for p-
Si(100) on the applied potential during the preceding electropolishing in 0.1 M NH4F (pH 4.2). The inset illustrates the determination of Qt. Reprinted with the permission of Wiley VCH [168], copyright 1997. 10 1.2
,~'~_
,.-i. tl)
o
1 o~ m.
0.4. 0.2 It~
u#
.
0.0 0
. 200
. 400
'~11~ I 600
~,
~t~
'
JD
I
'~11/1~.
800
0.1 1000
Time (s)
FIG. 70. Anodic current oscillations and oscillations of the PL intensity of p-Si(100) during anodic oxidation at +10 V in 0.1 M NH4F (pH 4.2). Reprinted with the permission of Wiley VCH [168], copyright 1997.
back-bonded Si surface atoms is limited by the oxidation rate. As remarked, the anodic current is not necessarily correlated with the PL intensity and therefore with the oxidation rate [ 168].
216
RAPPICH AND DITTRICH c: o
1.2
-9 o r
1.0
m n-
0.8
c
06
04
n-Si(111)
O
__.
!
A
r
'-
1000
1100
1200
1300
E o
0.4
Wavenumber (cm-~)
E
o.2
]~'~~-----~"~' gilh~ off IRreference,,,~
0.0
0
0
~60
2;0
'
I
300
'
Time (s)
4;0
'
S60
'
600
FIG. 71. Anodic current oscillations (solid line) and integrated IR absorption of the Si-O-Si bonds for
n-Si(111) in 0.1 M NaF (pH 4). The inset shows the FTIR spectra normalized to the hydrogenated Si surface.
The amount of oxide correlates with the oscillation period during anodic oxidation as shown by in situ ellipsometric measurements [267] or in situ FTIR spectroscopy [86, 92]. Figure 71 shows the time dependence of the current for n-Si(111) during two oscillation periods and the corresponding relative amounts of oxide. The inset shows the FTIR spectra normalized to the hydrogenated Si surface. The amount of oxide has a maximum (minimum) in the decreasing (increasing) part of the oscillating current. The relative change in the amount of oxide is about 50%. This finding reveals that the rate of formation of anodic oxides changes strongly during the anodic current oscillations and that the maximum of the current is not simply related to a pure injection current. As remarked, the FTIR spectra did not show any partially hydrogenated Si surface. The homogeneity of the thin anodic oxide layer during the current oscillations is important for a better basic understanding of the processes and for possible applications. The anodic oxidation in the oscillating regime can be interrupted at the two characteristic points when the oxidation rate is minimal (at the minimum of oscillation) or maximal (at the maximum of oscillation). Figure 72 shows, as an example, the anodic current transient at - 0 . 4 V (a) and PL intensity (b) for p-Si (111) in 0.1 M NH4F (pH 4) after anodic oxidation at +8 V and interruption of the oscillations in the maximum (solid lines) or minimum (dashed lines). The anodic current transient appears somewhat earlier in time after interruption in the minimum than after interruption in the maximum of a current peak. This means that the overall thickness of the anodic oxide is lower at the minimum of the cur-
217
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
-q
100-
p-Si(111)/0.1 M NH4F
=0"; 1 0-1 (D t._1 Q_
100 <E E
(1) ~--
10 -~
-0.4 v
10-2
(a)
-3
~- 10 0 10 .4 0
50 Time (s)
100
FIG. 72. Anodic current transient at - 0 . 4 V (a) and PL intensity (b) for p-Si (111) in 0.1 M NH4F (pH 4) after anodic oxidation at +8 V and interruption of the oscillations in the maximum (solid lines)
or minimum(dashed lines).
rent peak than at the maximum. The current transient exhibits a shoulder after an etching time of about 60-70 s, which gives evidence of a slight second maximum. This behavior points to an inhomogeneous thickness of the anodic oxide layer at the current maximum. The PL intensity decreases just after interruption of the anodic oxidation, probably because of the change in the electric field (Fig. 72b). The PL intensity increases within the first 20 s as a result of the ongoing chemical reactions, which further passivate nonradiative recombination centers at the anodic oxide/Si interface; i.e., these processes are not interrupted after the potential is switched. The PL intensity reaches a constant level with time and increases monotonously after the maximum of the anodic current transient is reached, after interruption of the anodic oxidation at the current minimum (dashed lines in Fig. 72). The PL intensity saturates when the anodic current transient levels out. The situation is slightly different for interruption of the anodic oxidation at the current maximum (solid lines in Fig. 72). In this case, the PL intensity increases in two pronounced steps after the current transient maximum is passed, which are related to the main and second slight maximum of the anodic current transient (indicated by the dotted lines). This behavior demonstrates that the thickness of the anodic oxide at the current maximum of an oscillation has two different mean values. The maximum of the PL intensity is practically independent of the time of interruption of the oxidation process.
218
RAPPICH AND DITTRICH
A new approach has been proposed by F611 et al. for the interpretation of the development of current oscillations during anodic oscillations [268]. Frill postulated local current bursts through a closed oxide. The current bursts occur for short times, and they may under certain conditions interact space-resolved to their synchronization in time (critical potential and etch rate). Current bursts take place on the ,~ to nm scale [268], and their synchronization should be responsible for the conditioning of the anodic oxide/silicon interface. The anodic oxidation rate decreases with decreasing current, and therefore the generation rate of nonradiative defects at the Si interface exhibits a minimum. The oscillation period and the oxidation rate increase linearly with the oxidation potential at constant etch rates of the solution [168]. This shows that the thickness of the oxide layer is important for damped or sustained current oscillations. To our understanding, the microscopic reason for triggering of the synchronized current bursts could also be related to the development of a space charge region inside the anodic oxide layer due to injected charge. The condition for the development of current bursts can be changed by the structure at the anodic oxide/Si interface. The anodic oxidation in the oscillating regime should be interrupted by switching the potential to the hydrogenation state and restarting the oxidation process again to change the structure at the interface. The second cycle of anodic oxidation in the oscillating regime then starts with a microscopically smoother surface, and the probability of the development of current bursts due to structural nonuniformity on the microscopic level should be decreased. An example of anodic cycling between oxidation in the oscillating regime and hydrogenation is given in Figure 73 for p-Si(111) in 0.1 M NH4F (pH 4). The current and the value of Ns are shown on the left and right sides, respectively. The potential is switched between +8 V and - 0 . 4 V. As expected, the current at the maximum of the oscillations (starting from the second oscillation) decreases for repetitive cycling. The integrated charge of the anodic current transient decreased from the first to the second cycle, which is reflected by the reduced current density during the transient. The values of Ns at the maximum and minimum of the oscillations as well as for the hydrogenated surface decrease with each cycle. Figure 74 summarizes the results obtained from Figure 73" (i) decrease in the integrated charge of the anodic current transient (A), (ii) decreasing value of Ns at the hydrogenated Si surface down to 101~ cm -2 and lower (B, open square), and (iii) decrease in Ns at the oxidized Si surface to values below 1011 cm -2 (C) with increasing number of cycles. This demonstrates the great potential of anodic oxidation for low-temperature passivation of Si surfaces. Figure 74B also shows that repetitive cycling of electropolishing and hydrogenation does not lead to any improvement of Ns for anodic oxidation in the nonoscillating regime at +3 V (B, solid squares). We remark that the difference between the PL intensities at different potentials is not as strong for the p-Si(111) surface as it is for the p-Si(100) orientation.
219
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
+_,v o4vl
(cycle)
0.5
ixlO 0
o
1011
(3)
10 10
I
z
03
El.O --0.5
', i
0.0
1011
(2)
,
101~
,
0 3
1011 __0"5 0.0
~
,
.... , .... , .... , .... , .................
100 200 300 400
,.
100 200 300 400
10 ~~
Time (s) FIG. 73. Time dependence of the anodic current, I, and the density of nonradiative surface defect centers, N S, as obtained from the PL intensity of p-Si(111) in 0.1 M NH4F (pH 4) for three subsequent oxidation (+8 V)/hydrogenation ( - 0 . 4 V) cycles (1 to 3). The horizontal dotted line marks the value of N s during anodic oxidation at +3 V (no oscillations).
The values of Ns at the anodic oxide/Si interface and the hydrogenated Si surface could be, to our opinion, further decreased by optimization of the electrolyte and the potential. There is a strong indication that interruption even after the first heavy current peak, before regular oscillations start, allows a further decrease in Ns. Obviously, any current minimum that follows a strong current maximum, which is induced by well-synchronized current bursts, is characterized by a very low concentration of reactive surface sites. This state of the anodic oxide/silicon interface can be used to optimize the interface passivation. The current bursts occur preferentially at places where the electrical field is enhanced. A nonuniformity on the microscopic scale leads to the enhancement of the electrical field. The local input of energy during the current burst causes a fast local oxidation. This fast oxidation process is far from equilibrium and leads to a local reconstruction in such a way that the nonuniformity on the microscopic scale will be smoothed. The locally reconstructed interface is quite uniform and practically free of reactive surface sites, which would cause the development of new current bursts. 2.5.4.
F O R M A T I O N OF O X I D E S IN A L K A L I N E S O L U T I O N
Figure 75 shows a typical treatment of the p-Si(111) surface (bottom: current density, i; top" PL intensity). At first, the oxide-free surface (HF dipped) is anodically oxidized in 0.1 M NH4F (pH 4) at +3 V for about 15 min to obtain the
220
RAPPICH AND DITTRICH
&
during hydrogenation at-0.4V
(A)
o E O v
~1 -0.4V
0
Time
I
A
I
1011 O4 n
v
(B)
E
nn
o
e-
E Z
o'}
10 ~~
H-term. after I-! +8V n +3V I
O4
|
v
E
to
1011
x_ d~
z
I
10 ~~
nn
El
17
I
O O Oxidation at +8V O max. Ns ~ I min. N s
O 9
I
(c) +3V
O 9
I
2 3 Number of oxidation cycle
FIG. 74. The charge that passes the electrode during etch-back of the oxide formed at +8 V (A), the minimum values of N S after hydrogenation at -0.4 V (B), and the average minimum and maximum values of NS during anodic oxidation at +8 V and +3 V (C) (values of I and PL are taken from Fig. 73). Reprinted with the permission of Elsevier Science B. V. [ 189], copyright 2000.
same starting condition for each experiment. The PL intensity is low during oxidation, where the breaking of Si-Si bonds leads to a high amount of nonradiative recombination centers (i.e., to a high defect concentration, Ns, which is about 1013 c m - 2 ) . The oxide is then etched back in the same solution by switching the potential to - 0 . 4 V, and the well-known current peak occurs, which indicates the
221
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE ~.
0.4
v
0.3
o~ 0.2 C
.~
_.1 13_
p-Si(111)
Pllh -0.4V A) [ '~. ' ]9 "~ il ' ~L,....
+3V, A) - - - - - -
i 2 E-lpA/om , B) i i pH: 9.1
0.1 0.0 400
E 300
A) 0.1 M NH4F (pH 4) ,B) alkaline solution
o
<=. 200 ._
100 '
'
'
'
I
500
,
,
'
'
"
'
1000
'
'
'
'
1500
Time (s)
,'
'
'
I
'
2000
'
'
,
I
2500
FIG. 75. Time dependence of the anodic current (a) and PL intensity (b) during anodic oxidation at +3 V and anodic current transient at - 0 . 4 V in 0.1 M NH4F (pH 4), followed by galvanostatic treatment in alkaline solutions (pH 9.1, 11.2, and 13.5) at a cathodic current o f - 1/zA/cm 2.
transformation from the oxidized to the hydrogenated state. Simultaneously, the PL intensity increases drastically when the current levels out. Ns on the Si(111) surface is then typically 1011 cm -2 or below [84, 189]. The PL intensity decreases slowly with time. This behavior is indicative of the formation of defects in the diluted HF solution (see Section 2.3). When an almost constant PL intensity is reached, the solution is replaced by an alkaline solution under galvanostatic conditions ( - 1 /zA/cm 2) to avoid uncontrolled oxidation. The behavior of the PL intensity is shown for different alkaline solutions (pH 9.1, 11.2, and 13.5), which are made from sodium borate or KOH, respectively. The introduction of the alkaline solution always leads to a lowering of the PL intensity. The higher the pH value, the higher is the etch rate of the H-terminated surface, and the higher is the defect concentration and, consequently, the lower is the PL intensity. After this procedure, a potential scan in the anodic direction is performed to investigate the electrochemically induced etch stop by hydroxide or oxide formation. Figure 76 shows a potential scan of p-Si(111) in borate-buffered solution (pH 9.2) starting from the cathodic potential (bottom: current density, i; top: PL intensity). The current density increases with potential and exhibits two current peaks that are associated with the formation of a passivating oxide layer [ 16, 181, 186]. The PL intensity increases with potential when an anodic current starts to flow. The maximum of the PL intensity is reached when the first relative current peak starts to decay. The PL intensity increases again just before the second current
222
RAPPICH AND DITTRICH
~"
0.2
p-Si(111)
..a
borate buffered solution
v
~
r(1) t-
0.1
. m
J
o_ 0.0
9
'
'
I
.
.
.
.
I
.
.
.
.
I
20 E o <
0
(a) -0.5
0.0
0.5
1.0
1.5
2.0
Potential (V) FIG. 76. Current-voltage scan (a) and PL intensity (b) of p-Si(111) in borate-buffered solution (pH 9.2). ""2.
.i
v
(b)
0.2
,
borate buffered solution (pH 9.2) p-Si(111)
~I
. m tO C
~
c--
0.1 9
i 1 1
15 EE 10
~
~
-0.24V
5
,
"-
t3 1 Potential (V)
-0.24V
0
0
'
'
'
I
20
,
'
I
40
'
'
Time (s)
'
i
60
,
,
,
i
80
,
FIG. 77. Time dependence of the current (a) and PL intensity (b) of p-Si(111) in borate-buffered solution (pH 9.2) during the application of a potential step (-0.24 ---, +0.07 ~ - 0 . 2 4 V). The inset shows the current potential scan of the sample, and the arrow marks the position of the anodic potential step.
maximum is reached. At higher anodic potentials (increasing oxide thickness), the PL intensity decreases to very low values (high defect concentration). Figure 77 shows a potential step experiment. The hydrogenated Si surface is etched in borate-buffered solution (pH 9.2) at a potential of -0.24 V. Then the
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
223
p-Si(111) ""7.
10-~
ID f
slope -0.11
J
c c.-
,,,
._1 13_
0 10 .2
-~
~
1. rel. maximum 2. rel. maximum
6
",
1'0 1'1 1'2 1'3 1'4 pH
FIG. 78. pH dependence of PL intensity at the first and second maxima of the anodic current during a potential scan (open and solid circles; see also inset in Fig. 77). The horizontal dash-dotted line gives the value of the PL intensity at pH 4.
potential is switched to +0.07 V for 8 s, to the increasing part of the first current peak (see inset). The current increases and decays to a constant value of about 10/zA/cm 2. The PL intensity increases strongly because of the electrochemically induced etch stop [148, 269] and decays slowly to the initial value when the potential is switched back to - 0 . 2 4 V. This behavior is a result of the etch back of the oxidized surface, leading obviously to a H-terminated Si surface again. A logarithm plot of the two relative maxima of the PL intensity at the first (open circles) and second (solid circles) maxima during anodic current flow as a function of pH is presented in Figure 78. The PL intensity decreases linearly with increasing pH, with a slope of about -0.1 at the first relative maximum. This behavior is a result of the higher etch rate of Si in higher concentrated alkaline solutions. In contrast, the PL intensity of the second relative maximum at higher anodic potentials shows a maximum around pH 9. The observed PL intensity points to Ns of about 5 x 1011 cm -2 eV -1 as deduced from the PL intensity of the hydrogenated state (typically 1011 cm -2 eV -1 ) and the linear dependence of the PL intensity on Ns [156, 189]. Similar low values of Ns of SiO2/Si interfaces have recently been observed in diluted HF solutions during electropolishing [ 189] (see also Section 2.4). Figure 79 shows the dependence of the PL intensity of the hydrogenated Si surface on the pH. The linear behavior of the PL intensity is elongated into the direction of much lower pH values and shows the same dependence on pH as it does in alkaline solutions [224]. This points to a more universal mechanism of defect formation, where, for example, only OH- ions are the etching species.
224
RAPPICH AND DITTRICH 10 2
lJ.
v
o~ t(D c-
101
"o.
slope =-0.09
.==.
"0-
"0
_J Q_ 9 10 0
0
' ~
' ~
"0
c-Si (~pL=1.15 lam) ' & ' ~
pH
' 110 ' 112 ' 14
FIG. 79. pH dependence of the PL intensity of the hydrogenated Si surface.
2.6. Thick Anodic Oxides on Si Low thermal budget processing of silicon-based semiconductors is of great interest for modem device passivation [28-30, 261,270], where thermal oxidation is not suitable. Anodic oxidation is performed at room temperature [7, 271 ], and a post-anneal below 500~ follows [23, 27], which permits the passivation of devices with specific doping profiles or very high resistivity [23,272], heat-sensitive materials like a-Si [15] or SiGe [32], without changing the morphology, crystallinity, or electronic properties. Furthermore, the liquid contact permits passivation and repassivation of etched grooves, trenches, etc., or of highly structured surfaces [27]. In addition, anodic oxidation of silicon (or SiGe) surfaces leads to a smoothing effect of the surface due to the electric field-enhanced growth process [27]. This behavior increases the breakdown voltage with respect to thermally grown oxides [270, 273]. The rate of oxide formation depends on the amount of water in the solution, the temperature of the solution, and the current density. The electronic properties (oxide charge and interface state density) depend only slightly on the temperature and current density, but they are strongly influenced by the amount of water [22]. The oxidation rate is strongly increased by the water content of the electrolyte, which then leads to a higher defect concentration at the interface. The final oxide thickness depends on the applied potential and the amount of water in the electrolyte.
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
225
2.6.1. L o w THERMAL BUDGET PROCESSING A solution of 0.04 M KNO3 in ethylene glycol with different amounts of water is used for the preparation of thick anodic oxides (d > 10 nm) on Si or SiGe. A small amount of water (typically 0.35%) is necessary to reduce the rate of oxidation with respect to pure water, where the rate is too fast at higher anodic potentials. This leads to a worse passivation of the SiO2/Si interface [261]. The total reactions in such a solution are as follows [7]. Oxidation at the Si anode: Si 4- 2H20 + 4h + --+ SiO2 + 4H +
(6.1)
CH2 - CH2
~ 2H2CO + 2H + 4- 2e-
(6.2)
--+ O z t + 4 H + + 4 e -
(6.3)
I
I
OH
OH
2H20 Reduction at the Pt cathode: 4H + + 4 e -
--+ 2Hzt
NO 3 + H20 + 2e- -+ NO 2 + 2 O H -
(6.4) (6.5)
The efficiencies of reactions (6.1) to (6.3) are about 1%, 98%, and 1%, respectively [7]. This means that only 1% of the current leads to the oxidation reaction of Si with water to form silicon oxide. The anodic oxidation of SiGe leads to an additional reaction, Ge + 2H20 4- 4h + --+ GeO2 4- 4H +
(6.6)
where it is known that GeO2 is soluble in water [274-277]. Figure 80 shows a photograph of the front view of the quartz chamber for anodic oxidation for 3-inch (maximum) wafers (left) and a schematic drawing of the cell from a side view (right). The Si sample is sucked up onto an O-ring seal by a vacuum in the quartz tube and electrically contacted with a small A1 plate. A P t ring is used as a counter-electrode. The electrolyte in the chamber is stirred, and the temperature is controlled by a thermostat. It should be noted that the layout of an oxidation chamber is defined by process parameters so that, in principle, several Si wafers can be oxidized at the same time with the use of another type of electrochemical cell. After the anodic oxidation is completed, the oxidized sample is cleaned in HCI:H202 :H20 (1:1:6), dried under nitrogen steam, and annealed in nitrogen or forming gas up to 800~ (maximum). The oxidized samples are characterized by a great number of analytical and imaging techniques, and by capacitance-voltage (CV), surface photovoltage (SPV), and pulsed photoluminescence (PL) measurements.
226
RAPPICH AND DITTRICH
Front and sid~ views of the electrochemical cell used for preparation of thick anodic oxides. Reprinted with the permission of Elsevier Science B. V. [27], copyright 2000.
FIG. 80.
Thermal oxidation in dry 02 steam at 1000~ for Si and rapid thermal oxidation (RTO) at 800~ for SiGe epilayers are used for comparison. 2.6.2. PREPARATION OF THE OXIDE LAYER Figure 81 shows the time dependencies of the potential and current on the temperature of the solution (A) and the initial current density at 40~ (B) during the oxidation process in ethylene glycol with 0.35% water. The oxidation starts with a galvanostatic process. In the beginning, the potential increases slowly. The increase in potential becomes faster with time because of the increasing thickness of the oxide layer. The thicker the oxide layer, the higher is the potential drop across the oxide. When the desired final potential is reached (in this case + 120 V, oxide thickness about 54 nm), the process is continued potentiostatically until the current has decayed to an almost constant value, as can be seen in the bottom part of Figure 81. Increasing both the temperature of the solution and the current density decreases the time of the oxidation process. The amount of electric charge that passes the electrode is plotted in Figure 82 as a function of the temperature of the electrolyte (A) and the initial current density (B) (values of current and time are taken from Fig. 81). The charge flow is reduced either by an increase in the
227
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE final potential +120 V
>S. -E
1oo 50
A)
t:1i (
~"'-'" ,, ~ " " -"'""'~" " ~ ' ~ Y ----41
:~"~ - ~
-
~c
-
&. o
...... 0
1
'
I
1.0
'
'
i
'
-
I
'
-""
i
|-
~" 0.8 E ~o 0.6
'
i
'
I
'
i
I . . ('B!" ? " ' " ~ '......... iii~'~1 ...
t
I
..... ........
~ I
........
I
40~ i
........
I
-
........
i
initial current I-I" /
. . . . . . . . . . . . . . . . . . . . . . .
', ',
-
'.~
.........
E 0.4
,
~
1
....
47 f 64
: ~
0.2
0
'
'
i
'
4000
i
.
.
8000
.
.
.
12000
10 ~
Time (s)
,
101
,
102
8 2
:":.... J0
103
10
4
Time (s)
Time dependence of the current density and potential during galvanostatic preparation of anodic oxides (A) at different temperatures and a constant current density of 1 mA/cm2 and (B) at 40~ and different initial current densities. The final potential is 120 V (oxide thickness ---54 nm). Reprinted with the permission of Elsevier Science B. V. [27], copyright 2000. FIG. 81.
12 O4
E o
O
rO
10
8
O.Oo
(A)
40~
I mA/cm 2
4'o'do'6'o
Temperature
(~
12
10 A
Xo
o ' ~'o'2'o'3'o
(B)
o
\zx~zx____~ '~'4'~'&'1'0 initial current (mA/cm 2)
The electric charge that passes the Si anode as a function of the temperature of the electrolyte (A) and the initial current density (B) (values of current and time are taken from Fig. 81).
FIG. 82.
temperature or by an increase in the current density. The charge flow saturates at a current density of about 7 m A / c m 2 at 40~ and does not change at higher current densities (Fig. 82B), whereas the charge decreases almost linearly with the temperature of the electrolyte and shows no tendency to saturate up to 60~
228
RAPPICH AND DITTRICH
500
E
anodicoxidation ethyleneglycol/ 0.04MKNO3
40O-
toto
E 300._~
~
~
S
9 n-Si(100) 0.35% HzO p-Si(lOO) o.6 %H~O ~
.
__
11.5 A/V
a~ 200 .-g_ x O 100 , , , - ~ " " ~ - . . ~ 4.4 Nv 0
100
200
3;0
400
5;0
Potential (V) FIG. 83. Dependence of the thickness of an anodic oxide on the final potential for anodization in
ethylene glycol with low contents of water.
The oxide thickness is independent of temperature and the current density; it depends only on the final potential and the amount of water in the electrolyte (see Fig. 83). Therefore, a constant charge at higher current densities, which is due to a reduction of the oxidation time, is a result of constant current efficiencies for reactions (6.1) to (6.3). The efficiency of oxide formation due to reaction (6.1) decreases with decreasing current densities, the competition of the other reactions overcomes this effect, and the net charge flow increases. The efficiency of oxide formation also shows a strong dependence on the temperature of the electrolyte; the efficiency at 60~ is twice that at 8~ A reduction of the charge flow reduces the overall power consumption during anodic oxidation. The oxide thickness depends linearly on the applied potential and on the amount of water in the supporting electrolyte, as can be seen from Figure 83 (4.5 and 11.5 ~ for 0.35% and 0.6 % water, respectively). 2.6.3. ELECTRONIC CHARACTERIZATION Figure 84 shows the interface state density distribution, Dit, as obtained from CV measurements. Dit is high for the as-prepared and cleaned oxide layers (about 5 x 1012 cm -2 eV -1 at midgap), regardless of the applied final potential during the growth process. Dit decreases by about one order of magnitude after drying in nitrogen at 400~ and annealing in forming gas at about 450~ This procedure leads to a Dit value at midgap of about 1-2 x 1011 cm -2 eV -1, whereas the Dit of thicker oxide layers (400 V, 180 nm, 3 x 101~ cm -2 eV -1 at midgap) is slightly below the value of the thinner layer prepared at 120 V (54 nm).
229
ELECTROCHEMICAL PASSIVATIONOF SI AND SIGE
1013
p-Si(lO0) CV analysis
as anodized +120 V
"=='li~
retiNa
,,=,I i'= 9== o
99
O4
E 1012
T
0
after FG anneal at 450~ AA
~- 1011
(~&
A,J'A & ~i,j, A A A'AAA
0 O (if)~
0%0 CPOooo6>Cbo ooCP~176
anodized at 400 V
10 l~
FG anneal at 450~ ,
I
-0.3
,
,
I
0.0
,
,
I
0.3
E-E i (eV) FIG. 84. Energetic distribution of the interface state density, Dit, for anodically oxidized p-Si(100)
samples after different drying and annealing procedures.
The dependence of Dit and of surface nonradiative defects (Ns) of anodically prepared oxide layers is investigated by CV and PL measurements, respectively. Figure 85 shows PL transients of differently annealed anodic oxide layers prepared on the same substrate, in the same solution, at the same current density and final potential to ensure comparative conditions. The inset shows the annealing condition and the Dit value at midgap as observed from CV measurement. No CV data have been obtained for sample F6. The lower the interface state density at midgap, the higher is the decay time of the time dependence of the PL. The integrated PL intensity scales with the reciprocal of Dit (see Section 2.2). Therefore, an increase in Dit by a factor of 10 decreases the PL intensity by the same factor. The as-anodized samples have high defect concentrations of about 1013 cm -2 eV -1 and a very low PL intensity. Annealing in nitrogen (or in a more pronounced way in forming gas) decreases the defect concentration at midgap to a typical value of about 1011 cm -2 eV -] or slightly below. The oxide charges are in the range of 10 ]2 cm -2. Figure 86 shows Dit at midgap and PL intensity as a function of the annealing condition. There seems to be a plateau for the values of Dit and PL between 400~ and 600~ The forming gas anneal
230
RAPPICH AND DITTRICH
100 -
E3
>~ 10 ~
p- Si (100)
F6- FG 800~ (no CV data)
anodically oxidized in ethylene glycol excit. 337 am, O.5 ns I =0.2 mJ/cm
~3 FFG~00:C((~~1100::I
~"~'~~
\~X ~
O3 t"
F5 - FG 600~ (1.5 1011)
RE - N2 4SO~ (7.0 101112 )
~
N3-N 2300~
as.an,
9-~ 10 .2
L N3~N6 ~F1 ~ F3 ~
.J 0..
~ F5. F
0
II
20
40
Time
1013)
6
as.an.
10 "3
)
as-oxidized (1.1
60
(ps)
I
80
100
"
120
FIG. 85. PL transients of p-Si(100) covered with an anodic oxide processed in forming gas and N2 at various temperatures. Reprinted with the permission of the American Institute of Physics [156], copyright 1999.
p-Si(100) prior anodicoxidation in ethyleneglycol
i E 1013 as anodized O 1012
> r c:i~ 1011 (a) ,
,
i
,
(b) --.-- 100 (/)
= c-
_J
n
O1
]II [ 10-2 as anodized 3C)0
~ N2gas anneal I 9 forminggas anneal 660
Annealing temperature (~
900
FIG. 86. Density of interface states, Dit, (a) and PL intensity (b) of anodically oxidized p-Si(100) as a function of the annealing condition.
decreases Dit by a factor of 10 with respect to the values obtained for the N2 anneal. Note that the samples are dried in nitrogen at 400~ before the forminggas anneal.
ELECTROCHEMICAL PASSIVATIONOF SI AND SIGE
231
2.6.4. PASSIVATION OF S T E P S AND T R E N C H E S Figure 87 shows SEM images of trenches on Si at a tilt angle of 30 ~ (A: as prepared by CF4-plasma etching; B: thermally oxidized at 1000~ C: anodically oxidized). The as-prepared trench shows a sharp step that is also visible after thermal oxidation. The electric field-enhanced anodic oxidation leads to a constant thickness of the oxide layer at the trench and to a rounding of the step where the oxide layer is thicker. The thickness of the thermal oxide (B) is somewhat smaller at the step. A similar behavior can be observed by comparing thermal and
FIG. 87. SEM side view (tilt angle 30 ~ of concave edges of c-Si (A) before and after (B) thermal
and (C) anodic oxidation.
232
RAPPICH AND DITTRICH
FIG. 88. SEM side view (tilt angle 30 ~ of convex edges of c-Si after (A) thermal and (B) anodic oxidation.
anodic oxidation of a inner comer of a trench, as visualized by the SEM images in Figure 88. The arrows point to the narrowing of the thermal oxide at a comer (A), which is not the case for anodic oxides (B). The kinetics during thermal oxidation that lead to smaller oxide thickness at concave and convex steps is discussed intensively by Wolters and Duynhoven [ 110, 278]. The open circles in Figure 89 represent the radius of a circle, which follows the curvature of the rounding of the step (see inset), as a function of the applied potential (oxide thickness) as obtained from SEM images (like Fig. 87). The ratio of x / r is always constant and amounts to about 0.4. This means that the anodic potential at steps is enhanced by about 40% with respect to the applied potential, which leads to a 40% thicker oxide layer at the step. Recall that the thickness of anodic oxides depends linearly on potential (Fig. 83). This result is not surprising, because it is known that the potential is increased at steps and tips. In addition, such a constant oxide thickness and rounding of steps after anodic oxidation leads to a high breakdown voltage of about 12 MV/cm, whereas the breakdown voltage of thermal oxides is only about 8-10 MV/cm [270, 273]. The surface smoothing effect during anodic oxidation can easily be seen from AFM images presented in Figure 90. This figure shows microcrystalline silicon surfaces before and after anodization (A: as-deposited layer; B, C: after oxidation at + 100 V and + 160 V). The oxide layer (thickness about 50 nm (B) and 90 nm (C)) has been etched back by 2% HF before image recording. The surface of the microcrystalline silicon becomes smoother with increasing oxidation potential.
233
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
n-Si(100) / ethylene glycol/0.04 M KNO3 / 0.35% H20
40
E t'-"
x/r=0.4
...._.~__-
30 X
v
P
20
I --
t
t
10
5'o ....
i
,
|
|
,
,
,
2;0
,
Potential (V) FIG. 89. Dependence of the radius of rounded concave shapes on the potential during anodic oxidation of Si edges (ratio x / r is constant, ~0.4).
Figure 91 shows a highly structured Si surface (poly-Si) covered with a uniform anodic oxide layer, even in the very narrow tails and holes, which can be reached very well by the liquid electrolyte contact. This procedure is also applicable to repassivation, especially of etched structures on silicon, as presented in Figure 92. First, grooves are opened in a thermal oxide on Si down to the Si surface. These grooves are then etched by KOH, which leads to an underetching of the thermal oxide layer, whereas the etch rate for Si is much faster than that for the oxide (Fig. 92A). The partly free-standing oxide layer can be seen at the top of the image. Such etched structures could then be completely repassivated by anodic oxidation due to the liquid contact (Fig. 92B). The anodic oxide layer connects very well to the underetched thermal oxide layer above.
2.7. Enhanced Passivation of SiGe by Anodic Oxidation SiGe is of great interest for modem semiconductor devices because of the enhanced capability of bandgap engineering [279] and the increased mobility of charge carriers created by the incorporation of Ge into the Si lattice as used in heterobipolar transistors [28, 29] or metal-oxide-semiconductor (MOS) structures [30]. Thermal oxidation of SiGe alloys above 600~ leads to Ge segregation at the oxide/SiGe interface [31 ] and relaxation of the strained SiGe lattice of an epitaxially grown thin film of SiGe on c-Si [33]. These processes induce defects in
234
RAPPICH AND DITTRICH
FIG. 90. AFM images of microcrystalline silicon surfaces (A: as-deposited layer, B, C: after oxidation at + 100 V and + 160 V, respectively). The oxide layer (thickness about 50 nm (B) and 90 nm (C)) was etched back by 2% HF before image recording.
the crystal lattice and at the interfaces, which strongly affect the electronic behavior of the device [32, 33]. Therefore, passivation of modem devices on the basis of SiGe requires methods of low thermal budget processing below 500~ [29]. Among these are plasma-assisted oxidation techniques [280-282], ion beam deposition [283], oxidation at high pressures [245], and anodic oxidation [32, 33].
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
235
SEM side view (tilt angle 30~ of a highly structured surface region of polycrystalline Si after anodic oxidation.
FIG. 91.
Some of these techniques involve new problems. For example, plasma oxidation is usually performed in a UHV chamber where electrons and oxygen ions are excited and speeded up in the direction of the positively polarized SiGe samples. The deposition temperature is low (<200~ but the ion bombardment may lead to local heating of the sample and, therefore, build-up of local irregularities (i.e., Ge cluster, Ge diffusion, etc.). High-pressure oxidation at 50-70 bar and 500~ defines high requirements for the chamber material and may be used for special devices only. Plasma-assisted oxidation or deposition techniques and anodic oxidation seem to be good candidates for low thermal budget passivation of SiGe alloys. 2.7.1. DEFECT CONCENTRATION AT THE OXIDE/C-SIGE INTERFACE Figure 93 shows interface state densities, Dit, of oxidized CZ-grown c-Si and cSil-xGex (x = 0.038, 0.057, and 0.096) as obtained from CV measurements as a function of energy with respect to the intrinsic level [224]. Dit at midgap of the anodic oxides on c-SiGe increases from 5 x 1011 to 18 x 1011 cm -2 eV -1 with increasing amounts of Ge (3.8 to 9.6 atomic %), which may be due to an increased stress by bond angle mismatch, in both the oxide layer and the SiGe lattice (Fig. 93b). The Dit values for thermal oxide on c-SiGe with 9.6 atomic % Ge is 3 x 1012 cm -2 eV -1 (Fig. 93a), which is half of the value observed by
236
RAPPICH AND DITTRICH
FIG. 92. SEM viewgraph of a KOH-etched trench in c-Si covered by a thermal oxide (A) and of the same trench after anodic oxidation (B).
deep-level transient spectroscopy (6.9 x 1012 cm -2 eV -1 [284]). Dit of anodically oxidized CZ-grown c-Si is plotted in Figure 93a for comparison and shows the lowest interface state density. The increase in the amount of defect states with increasing Ge content of the bulk SiGe is also reflected by the decrease in the PL intensity measured at room temperature. Figure 94 shows PL spectra of c-Ge and c-Si (top), oxide-free c-SiGe (middle), and anodically and thermally grown oxides on c-SiGe (bottom) as a function of the Ge content. In general, the PL spectra due to the band-band recombination of c-SiGe shift to lower energy with respect to that of c-Si as a result of the reduced band gap energy (Eg) with increased Ge, regardless of the surface conditioning. This confirms a higher defect state density with increasing Ge in the crystals (dislocations, stress by Si-Ge-Si bond angle mismatch). However, there is a similar linear dependence of the maximum of the PL intensity on Dit at midgap (Fig. 95), as obtained for oxides on silicon (see Fig. 19). The inset shows Eg (taken from the maximum of the PL spectra, Fig. 94)
237
ELECTROCHEMICAL PASSIVATIONOF SI AND SIGE
1013' O4 |
Sil-xGex
I forming gas anneal at 450~
1012.
E
=0 >
//
~,,~., 9 t
1011 (a)
|
.
.
.
,,
cz-si(loo)
.
!
,
9 9.6 at% Ge
> 0 a
zx 5.7at%Ge 9 3.8 at% Ge
10 la,
!
1012,
1011
f
,
ic oxide
(b) ,
!
-0.5
.
.
.
.
!
.
.
.
0.0
.
!
,
0.5
E - E i (eV) FIG. 93. Distributions of the interface state density, Dit, for Si l_xGex after thermal and anodic oxidation followed by forming gas anneal at 450~ (a) and (b) compare the roles thermal of anodic oxidation and of the Ge content, respectively.
as a function of the amount of Ge in the crystal. At least these bulk properties have an influence on the electronic behavior of the semiconductor/oxide interface. The growth process of single crystals of SiGe is limited to a Ge content of about 10 and 20 atomic % in CZ and FZ material, respectively. Higher Ge content is needed for faster access of, for example, heterobipolar transistors (HBTs). To achieve higher mobility of the charge carriers, SiGe epitaxy is used on c-Si(100) substrates, which is compatible with the hole Si technology. The next paragraphs are devoted to anodic passivation of epitaxially grown SiGe layers on c-Si. Figure 96 shows PL intensities of c-Si, c-Ge, and epi-SiGe layers (with ~10 and ,~30 atomic % Ge) on c-Si after different oxidation treatments. The inset shows the PL spectra recorded at room temperature, which are shifted to longer wavelengths, as expected for the increasing content of Ge [285-287]. The largest PL intensity (lowest Ns value) of c-Si is reached after thermal oxidation (which includes a forming gas anneal), whereas the PL intensity is about one order of magnitude lower for the Si surface covered by a native oxide. The Si surface is also passivated very well after treatment in 1 M NH4F solution (H-terminated). The PL intensity of the samples containing Ge decreases after the thermal oxidation in comparison with the untreated surface. The surface passivation of the SiGe
238
RAPPICH AND DITTRICH
Sil_xGex ........ 3.8 at% Ge ............. 5.7 at% Ge 9.6 at% Ge
(-.
/
[ /
I
:t:l:_j--,= y.,
~~ %
~." :-
anod,cs . 016
.
.
,2 ....'("'. . 019
.
1 '.2
'
Photon energy (eV) FIG. 94. PL spectra of c-Ge and c-Si (top), oxide-free c-SiGe (middle), and anodically and thermally oxidized c-SiGe (bottom) as a function of the Ge content.
"-2. "I
"O.
3.8 at% Ge
anodic oxides thermal oxide
5.7 at%
-~
0-1
O ~I
v
slope = -1
r
t'-
-
E
._1 EL >4
1.09 1.08
E
1.06
.4....~
o-
D D
1.07
10 .2
9.6 at%
1 "O-
,.
at % Ge Z
6
8 D
1'0
itmidgap
20
(1
011
40
cm 2 eV 1)
FIG. 95. Correlation of the PL intensity with the interface state density at midgap, Dit, for c-Sil_xGex with different amounts of Ge. Inset: Bandgap of c-Sil_xGex as a function of the Ge content as obtained from the maximum of the PL spectra of Figure 94. Reprinted with the permission of Elsevier Science B. V. [33], copyright 2000.
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
239
FIG. 96. PL intensities of c-Si, c-Ge, and epi-SiGe layers (with ~ 10 and ~30 atomic % Ge) on c-Si after different oxidation treatments. Inset: PL spectra of the samples recorded at room temperature. Reprinted with the permission of Elsevier Science B. V. [224], copyright 1998.
epitaxial layer can be improved by the deposition of a Si cap, which protects the SiGe from dissolution in the fluoride solution. Similar results have been obtained by anodic oxidation of SiGe epitaxial layers [32]. 2.7.2.
M O R P H O L O G Y OF O X I D I Z E D E P I - S I G E S A M P L E S
Figure 97 shows AFM images (top view) of (A) as deposited, (B, C) anodically oxidized at 50 and 160 V, respectively, and (D) rapid thermally oxidized epiSi0.74Ge0.26 layers. There is no relaxation of the strained SiGe lattice visible for the low thermal budget processed oxides as observed for the RTO processed sample. The regular lines in the AFM image in Figure 97D reflect the relaxed steps of the strained epi-SiGe lattice after heating above 600~ at the RTO process. The anodically treated samples did not show such an effect. Figure 98 shows transmission electron microscope (TEM) images of (A) anodically and (B) rapid thermally oxidized epi-SiGe layers on c-Si(100). From the left to the right of Figure 98A one can see the anodic oxide, with a thickness of ,-,18 nm; the SiGe layer (thickness ~34 nm); and the c-Si substrate. The image contrast of the epi-SiGe/Si interface is not high, but the interface can easily be seen. This is not the case for the thermally oxidized SiGe layer (Fig. 98B). One can see the slightly thicker oxide layer (~24 nm), but the epi-SiGe/Si interface cannot be well resolved. Most likely, the interface has many lattice distortions visualized by the strong irregular contrasts in the middle of the TEM image. Con-
240
RAPPICH AND DITTRICH
FIG. 97. AFM images (top view) of (A) as-deposited, (B, C) anodically oxidized (at 50 and 160 V, respectively), and (D) rapid thermally oxidized epi-Si0.74Ge0.26 layers.
FIG. 98. TEM images of (A) anodically and (B) rapid thermally oxidized epi-Si0.74Ge0.26 layers on
c-Si(100).
241
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
trast differences in TEM could also be a result of the preparation method, but they are typically much greater in dimensions, as can be seen, for example, from the darker to the lighter top part in the left TEM image (Fig. 98A). 2 . 7 . 3 . OXIDE COMPOSITION
Figure 99 shows Auger depth profiles of oxygen (a), silicon (b), and germanium (c) of anodically (solid lines) and rapid thermally (dashed lines) oxidized epiSil-xGex layer (x -- 0.26). The Auger intensity of oxygen in SiO2 is plotted in the top part of the figure and defines the oxide/SiGe interface. The anodic oxidation of the epi-SiGe layer shows Ge in the oxide layer and no Ge pile-up at the oxide/SiGe interface, which is not the case for thermal oxidation, where the oxide layer is free of Ge and the amount of Ge is enhanced at the oxide/SiGe interface. The Auger intensity of Ge is lower in the oxide layer than in bulk SiGe, which is due to dissolution of Ge by the oxygen incorporated into the SiGe lattice. Note that the Auger signal of Si ions in the oxide layer could not be measured; because of the experimental setup, the silicon Auger peak was out of the detectable range. Nevertheless, a top surface layer of the anodic oxides of some nanometers in thickness is free of Ge. It is well known that oxidized Ge dissolves in aqueous
]
400
Ioxoonl oxygen
t"
o
epi'Si~176
~]~
i~~ -
....
Nc " 400
anodicoxide
.. RTO oxide (a)
!
o
/
,,.f'"
.=_ o
<
[ .........
~
'
(b)
200
.... 0
50
100
-;-.-N150
200
Sputter time (s) Auger depth profiles O (a), Si (b), and Ge (c) of anodically (solid lines) and rapid thermally (dashed lines) oxidized epi-Si0.74Ge0.26 layers. The dotted line denotes the oxide/SiGe interface.
FIG. 99.
242
RAPPICH AND DITTRICH
1 :3
Ioxygen I 1 " " ~ 1 "/ .
,
EO
.
I epi-Sio.74Geo.26 I anodic oxide ......... RTOox de
i
.
. ,
Isi,conl
. . . .
~ ,
oxide/SiGe
i
/1"-
interface
__
.
!a)
;
"".".
i,,
.......
. . . . . . .
(~
"E
0-
o('-
0.3-
"",//
.....
....
i'" ............
',
o
i
, ........
i
~ ......
germanium ~
e
interface
(b)
~
o
o
(c)
0 . 0
.
.
-20
.
.
.
.
0
.
.
.
.
.
20 Distance
.
.
40
........ 60 .
(nm)
FIG. 100. Line scans of the relative concentrations of O (a), Si (b), and Ge (c) across the layer system as determined by ESI (the distance is scaled to zero at the oxide/SiGe interface). Reprinted with the permission of the Electrochemical Society [34], copyright 2001.
solution [277]. Therefore, dissolution of Ge 4+ ions occurs until a SiO2 layer is formed, which acts as a diffusion barrier for the Ge 4+ ions. This barrier has a thickness of about 5 nm in the case of the epi-SiGe layer with 26 atomic % Ge. The elemental distribution of the layer system as measured by Auger depth profiling is somewhat diffuse because of the sputtering process, which induces some inaccuracy. Therefore, we applied electron spectroscopy imaging (ESI) techniques to microtomed epi-SiGe samples. Line scans of the relative concentrations of O (a), Si (b), and Ge (c) across the layer system as determined by ESI are plotted in Figure 100. The distance is scaled to zero at the oxide/SiGe interface, which has been fixed by the position of the half-intensity of the oxygen-ESI signal at the interface. The concentration of Si is reduced in the oxide layer in comparison with the nonoxidized SiGe layer because of dilution by incorporated oxygen. The amount of Si and Ge across the SiGe layer is nearly constant for the anodically oxidized sample (solid lines), whereas the RTO sample (dashed lines) shows a slight increase in the concentration of Ge at the oxide/SiGe interface and a continuous decrease in the direction of the Si substrate. The former SiGe/Si interface is no longer well defined after RTO processing. The Ge atoms diffuse up to about 15 nm into the underlying Si substrate (as-deposited SiGe layer thickness,
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE
243
FIG. 101. Elemental maps of (A) anodically and (B) RTO processed Si0.74Ge0.26 layers recorded by ESI (oxygen: blue, silicon: green, germanium: red; note: computerized RGB mixing of the colors red and green leads to yellow). The black dotted line in (B) indicates the border of the Ge diffusion into the Si substrate after RTO processing. Reprinted with the permission of the Electrochemical Society [34], copyright 2001.
,-~46 nm). This behavior was not well resolved by Auger depth profiling, where the sputtering process starting from the oxidized surface seems to lead to an increased inaccuracy with sputtering time, which is obviously due to the dimension of the sputtering club. Note that the ESI signal of Ge could not be measured (it is out of detector range). Therefore, no Ge is plotted in Figure 100 for the anodic or for the thermal oxides. Ge is present only in the anodic oxide layer, as deduced from Auger (Fig. 99) and XP (Fig. 102) spectroscopy. Elemental maps of these samples recorded by ESI are presented in Figure 101 to give an overall impression of the elemental distribution of the system. Each element is defined by a specific color for a better visualization (oxygen: blue, silicon: green, germanium: red; please note: computerized RGB mixing of the colors red and green leads to yellow). One can well distinguish between the oxide layers (blue) and the non-oxidized SiGe layer. The SiGe/Si interface is well defined
244
RAPPICH AND DITTRICH
SiO2
Ge 4+
SiO x
Ge 3~
v
C
anodic oxide
O
'
I
25
2s
'
'
thermal oxide '
'
I
30
'
'
'
'
I
35
'
'
'
' / / '
I
95
'
' '
'
I '
100
'
'
'
I
'
105
'
'
'
I
' '
110
'
'
115
Binding energy (eV) FIG. 102. XP spectra of an anodic and thermal oxide prepared on an epi-Si0.74Ge0.26/Si structure plotted in regions of the Si 2p and O 2s peaks. Reprinted with the permission of Elsevier Science B. V. [33], copyright 2000.
only in the anodically oxidized sample (Fig. 101A). The RTO processed sample (Fig. 101B) exhibits a slight Ge enrichment at the oxide/SiGe interface and a diffusion of Ge into the Si substrate over the hole layer system. The black dotted line denotes the frontier of the Ge penetration into the Si substrate as measured in more detail by the ESI line scan (Fig. 100). XP and FTIR spectra are recorded to gain more information about the oxidation states of Ge in the anodically oxidized SiGe samples (rapid thermal oxidized samples are free of Ge in the oxide layer). Figure 102 shows XP spectra of anodically and rapid thermally oxidized SiGe, which reveal the 4 + state for Ge in the oxide layer. Alk,~ irradiation was used because Mgk~ excitation leads to an overlap of Ge-Auger and SiOx signals in the energy range between 102 and 110 eV. The thin top layer, which is free of Ge, has been etched back by diluted HF before the measurements. The thermal oxide on SiGe consists of pure SiO2 with a signal at 105 eV only (99 eV for Si2p), whereas the anodic oxide shows a peak at 35 eV, which is due to Ge 4+ (29 eV for Ge3d). In both cases there is a shift of 6 eV from the nonoxidized to the -+-4 state. From this measurement it can be concluded that Ge is chemically bonded as Ge 4+ ions into the anodic oxide network. FTIR spectroscopy has been applied to clarify whether Ge is incorporated as GeO2 and/or as mixed oxide species like Si-O-Ge into the oxide layer. Figure 103 shows FTIR spectra of anodically (A) and rapid thermally (B) oxidized epi-Si0.74Ge0.26 samples. Spectrum B exhibits no shoulder, is symmetric, and is due to pure SiO2. The thick arrow in Figure 103 denotes the peak position of the Si-O-Ge asymmetric stretching mode as obtained from the following analysis.
ELECTROCHEMICAL
e"
t,o
g_ _8 ,
rr
o
~_ s <<,
\i,
,
--" I
o
t4.,..
E tO
~A
]
,,'/~. \ d/ \\ B
I I
.~'~ \N,
A
/
"'J--A
bending modes k~l " ~ ~ . .
700
,
800
Vas
I
~.\
Si-O-Si _!_
I
i.~
/~
i
r
i.
-
~,.-,
,..."r"..
~
ooo '/ v Ge-O'-Gel
. . . .
245
P A S S I V A T I O N OF SI AND S I G E
"" .~"/t/
, ~ . . .
i/
I
,
" | At/
. . . . . .
.
.
900 1000 Wavenumber (cm -~ )
.
.
.
1100
........
1200
FIG. 103. FTIR spectra of anodically (A) and rapid thermally (B) oxidized epi-Si0.74Ge0.26 samples.
Inset: On the maximum normalized IR absorption spectra of thermally oxidized Si (Bt), anodically oxidized Si (At), and the anodically oxidized SiGe layer (A).
The inset of Figure 103 shows the normalized IR absorption spectra (normalized to their maximum value) of thermally oxidized Si (B'), anodically oxidized Si (A'), and the anodically oxidized SiGe layer (A). The oxides on pure silicon have a nearly identical thickness of about 54 nm. The typical shift of Vas(SiO2) of anodic oxides (A') to lower wavenumbers, which have a less compact network with respect to thermal oxides (B~), can easily be seen (A v about 20 cm -1). Spectrum (C) is the difference in spectrum (A) and spectrum (A'), the anodic oxides on SiGe and Si, respectively. Therefore, spectrum (C) represents the part of the IR absorption of the anodically oxidized epi-SiGe (A), which is due to the incorporated oxidized Ge species. This peak position (centered at about 990 cm -1) has recently been identified as the asymmetric stretching mode of Si-O-Ge (995 cm -1) by dissolution experiments of plasma-oxidized Si0.65Ge0.35 layers [280]. However, no absorption peak has been detected in the region of the asymmetric Ge-O-Ge stretching mode (850 to 880 cm -l [288]), which has been identified for plasmaoxidized SiGe layers with a similar amount of Ge (35 atomic %) [280]. It can be concluded that the Ge is homogeneously distributed in the SiGe layer during the growth process, so that each Ge atom has a neighboring Si atom (26 atomic % Ge in the layer). This distribution is not affected by the anodic oxidation process, and the Ge is chemically bonded in the network, leading to Si-O-Ge and not to GeO2 clusters.
246
RAPPICH AND DITTRICH
2.7.4.
P H O T O L U M I N E S C E N C E S P E C T R A OF O X I D I Z E D S I G E L A Y E R S
All of these results (Ge segregation, lattice distortion, relaxation) affect the electronic properties (e.g., defect concentration) of the thin epilayer system, as can be seen from PL spectra plotted in Figure 104. The spectra are recorded at room temperature. Note that the PL intensities are corrected for the different reflectivity for the exciting N2 laser beam (337 nm) of the respective sample, which was measured by UV-Vis spectroscopy. The shift of the PL intensity is much more pronounced with respect to the c-SiGe samples (Fig. 94) because of the higher amount of Ge in the crystal lattice (26 atomic % Ge instead of 10%). The observed bandgap for the strained Si0.74Ge026 layer of about 0.98 eV at room temperature is in good agreement with previously reported values of 0.94 eV [286] (at 150 K) and 1.042 eV [287] (at 6 K) for strained Si0.sGe0.5 and relaxed Si0.TGe0.3 layers, respectively. A slight shoulder is visible in the higher energy part of the spectra, as a result of the diffusion of light-induced charge carriers excited in the SiGe into the Si substrate, where they recombine radiatively (see the dotted line). The highest PL intensity (and therefore the lowest Ns) has been observed for the as-deposited and Si-cap protected sample (dashed line). The PL intensity is only slightly reduced by the anodic oxide layer (dotted line), whereas the lowest PL intensity is measured for the rapid thermally oxidized sample (solid line). The PL intensity of the anodically oxidized SiGe layer is about a factor of 2 higher than of the RTO processed sample. This indicates that Ns is approximately half of the value of the RTO-processed sample because of a better passivation by anodic oxidation.
epi-Sio.74Geo.28 / Si
/
. . . . . . . no oxide ............. anodic oxide RTO oxide
"--2". v
I
300 K
c-Si
ffl I-" r
_.1
0~
:7;"
0.6
r-'~
0.9
1.2
Photon energy (eV) FIG. 104. PL spectra of an epi-Si0.74Ge0.26/Si structure without an oxide layer and covered by anodic or thermal oxide. The PL spectrum of c-Si is shown for comparison.
ELECTROCHEMICALPASSIVATIONOF SI AND SIGE 2.7.5.
247
C O N C L U S I O N S AND O U T L O O K
An overview of electrochemical passivation of c-Si and SiGe surfaces is given in this work on the basis of the results obtained predominantly by our own in situ FTIR, PL, and PV investigations. Chemical and electronic surface passivation means that reactive surface sites and states in the forbidden gap are absent. Dangling bonds at Si atoms are free radicals, and, therefore, they serve as reactive surface sites. Dangling bonds at Si atoms can be well passivated by hydrogen, which forms Si-Hx bonds, or by oxygen, because of the formation of a Si/SiO2 interface. There are some very significant differences between electrochemically and chemically passivated Si surfaces. The point is that the electrochemical reactions are driven by the potential and by the distribution of the electric field on the Si surface. The electrochemical reactions are faster at Si surface regions where the electric field is enhanced. This leads to an equipotential surface with similar overall morphology of the Si surface. As a consequence, the formation of atomically flat facets is impossible at electrochemically passivated Si surfaces, because there always exists an initial roughness at a Si surface, which is smoothed during the electrochemical treatment. The process of such local smoothing leads to a local reconstruction, which is characterized by a relatively high degree of disorder but with low densities of reactive surface sites and surface states. The lowest densities of surface states are reached on electrochemically hydrogenated Si surfaces. The ideal electrochemically passivated semiconductor surface consists of surface atoms that are all in the similar position from the point of view of chemical or electronic reactivity. This is the most striking difference from ideal chemically passivated Si surfaces that consist of surface atoms with very different positions, i.e., at facets and steps between facets. The concept of dangling bonds as reactive surface sites and recombination active surface states is taken from experience with electronic properties of Si/SiO2 interfaces and of a-Si:H bulk material. It is well known that the concentration of spin centers (dangling bonds at a Si atom with only Si back bonds) correlates with the density and distribution of interface states at the Si/SiO2 interface [289] and in the bulk a-Si:H [290]. In the context of this work we assumed that the reactive surface sites are identical with the recombination active surface states. This assumption makes sense for the Si/electrolyte interface, because corrosive processes at the Si surface should go through localized intermediate states related to dangling bonds. For example, the importance of the etch rate on the PL intensity is shown in this work. However, it should be noted that the existence of dangling bonds at a clean Si surface does not mean, necessarily, that there are recombination active states in the forbidden gap. For example, the reconstructed Si(100) 2 • 1 surface contains a huge amount of dangling bonds (each Si-Si dimer contains two dangling bonds), but the nonradiative surface recombination is quite
248
RAPPICH AND DITTRICH
low. The dangling bonds are passivated during dissociative adsorption of water, whereas the PL intensity remains constant [213]. An opposite case is the reconstructed Si(111) 7 x 7 surface, which is characterized by a rather high nonradiative surface recombination [212]. The recombination active dangling bonds at Si(111) 7 x 7 surfaces belong to isolated Si ad-atoms. Dissociative adsorption of water molecules efficiently passivates such recombination active dangling bonds. Epoxide surface structures are also discussed as a possible origin for gap states at the Si surface [291 ]. The electrochemical reactions leading to hydrogenation and oxidation are discussed. However, it is practically impossible to develop satisfying models of the chemical and electrochemical reactions at Si surfaces, which include the formation and passivation of reactive surface sites as dangling bonds, an attempt has been made in [95]. The hydrogenated internal surface of porous silicon contains a low number of electronic states in the forbidden gap so that boron acceptors in meso-PS are not compensated for. The concentration of free charge carriers in meso-PS depends sensitively on the molecular or dielectric ambience [236]. This behavior is interesting for applications in sensors. Thin anodic oxide p-Si interfaces can be passivated through the application of electron injection processes, which demonstrates the possibility of a real room temperature passivation of Si surfaces covered with thin oxides. Once more, water molecules at the anodic oxide/p-Si interface play a dominant role in the electrochemical reactions driving the passivation of gap states. Thick anodic oxides are very homogeneous in their thickness because of the anisotropy of the process of anodic oxidation and the equipotential surface caused by the electric field. Passivation of trenches or steps can be well performed with the use of anodic oxidation, in contrast to the thermal oxidation process, which depends sensitively on the orientation of the crystal and the stress during thermal treatment. Ge has the disadvantage that GeO2 as a passivating layer is unstable in a wet atmosphere. Nevertheless, SiGe can be well passivated by anodic oxides regardless of the use of a thin Si cap layer, which is or is not oxidized. Low thermal budget processing, like anodic oxidation, is preferred for SiGe passivation to avoid diffusion processes. The grafting of Si-H surface bonds by Si-O or Si-C surface bonds is intended to functionalize parts of a Si surface, for example, by attached organic molecules, which may serve as reactive sites for other organic molecules with specific chemical groups [292-296]. As remarked, electrochemical grafting is an alternative technique for exchanging surface chemical bonds that can also be performed by optical excitation with ultraviolet light of, for example, Si-H or Si-C1 surface bonds [297].
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
249
It was shown by adsorption of molecules of malic anhydride at reconstructed Si(100) 2 x 1 surfaces that the formation of Si-C bonds does not lead to the generation of new recombination active states in the forbidden gap [298]. Our first experiments with electrochemical grafting confirm the result from ultra-highvacuum experiments. In the beginning, the electrochemical grafting of organic molecules leads to only a doubling of the concentration of surface nonradiative recombination defects, which decreases with further deposition time [299]. There are only a few inorganic materials such as silicon that are biocompatible. Chemical and biochemical microsystems can be fabricated on the basis of silicon, and, for example, microstructured porous silicon can be used as a biocatalytic surface [300]. Passivation and corrosion of Si surfaces are important in such systems and should be taken into consideration in medical applications [301].
Acknowledgments The authors thank W. Ftissel, J. Hersener, A. Klein, R. Knippelmeyer, A. Sch6pke, I. Sieber, and V. Yu. Timoshenko for helpful and fruitful collaboration, and W. Fuhs and E Koch for enlightening discussions. The authors are grateful to C. Murrell and O. Nast for critical reading of the manuscript. DaimlerCrysler AG and the Institute of Crystal Growth (IKZ) are acknowledged for providing us with the epi-SiGe and c-SiGe samples.
References 1. 2. 3. 4. 5. 6. 7. 8. 9. 10.
11. 12.
13. 14.
J. Ligenza, J. Phys. Chem. 65, 2011 (1961). W.A. Pliskin and H. S. Lehman, J. Electrochem. Soc. 112, 1013 (1964). W. Waring and E. A. Benjamini, J. Electrochem. Soc. 11 l, 1256 (1964). H.C. Evitts, H. W. Cooper, and S. S. Flaschen, J. Electrochem. Soc. 1l l, 688 (1964). E E Schmidt and A. E. Owen, J. Electrochem. Soc. 111,682 (1964). R.M. Hurd and N. Hackerman, Electrochim. Acta 9, 1633 (1964). E. Duffek, E. Benjamini, and C. Mylroie, Electrochem. Technol. 3, 75 (1965). W. Kern and R. C. Heim, J. Electrochem. Soc. 117, 568 (1970). E.A. Irene, J. Electrochem. Soc. 121, 1613 (1974). S.T. Pantelides and M. Long, in "The Physics of SiO2 and Its Interfaces" (S. T. Pantelides, Ed.), p. 339. Pergamon, New York, 1978. O.L. Krivanek, D. C. Tsui, T. T. Shenh, and A. Kamgar, in "Physics of SiO2 and Its Interfaces" (S. T. Pantelides, Ed.), p. 356. Pergamon, New York, 1978. W.D. Mackintosh and H. H. Plattner, J. Electrochem. Soc. 124, 396 (1977). A. Wolkenberg, Solid-State Electron. 24, 89 (1981). J.J. Mercier, E Fransen, E Cardon, M. J. Madou, and W. E Gomes, Ber. Bunsenges. Phys. Chem. 89, 117 (1985).
250
RAPPICH AND.DITTRICH
15. H. Hasegawa, S. Arimoto, J. Nanjo, H. Yamamoto, and H. Ohno, J. Electrochem. Soc. 135, 424 (1988). 16. R.L. Smith, B. Kloeck, and S. D. Collins, J. Electrochem. Soc. 135, 2001 (1988). 17. I. Montero, O. Sanchez, J. M. Albella, and J. C. Pivin, Thin Solid Films 175, 49
(1989). 18. I. Montero, L. Galan, E. De La Cal, and J. M. Albella, Thin Solid Films 193/194, 325
(1990). 19. J.-N. Chazalviel, Electrochim. Acta 37, 865 (1992). 20. H.J. Lewerenz, Electrochim. Acta 37, 847 (1992). 21. J. A. Bardwell, K. B. Clark, D. E Mitchell, D. A. Bisaillion, G. I. Sproule, B. M. Dougall, and M. J. Graham, J. Electrochem. Soc. 140, 2135 (1993). 22. G. Mende, H. Flietner, and M. Deutscher, J. Electrochem. Soc. 140, 188 (1993). 23. G. Mende, H. Flietner, and M. Deutscher, Nucl. Instrum. Methods Phys. Res., Sect. A
326, 16 (1993). 24. P. Schmuki, H. B6hni, and J. A. Bardwell, J. Electrochem. Soc. 142, 1705 (1995). 25. M.J. Jeng and J. G. Hwu, Appl. Phys. Lett. 69, 3875 (1996). 26. D. Lapadatu and R. Puers, Sens. Actuators A 60, 191 (1997). 27. J. Rappich, Microelectron. Reliab. 40, 815 (2000). 28. K. Ismail, S. Rishton, J. O. Chu, K. Chan, and B. S. Meyerson, IEEE Electron. Dev. Lett. 14, 348 (1993). 29. U. K6nig and J. Hersener, Solid State Phenomena 47/48, 17 (1996). 30. D. K. Nayak, J. C. S. Woo, J. S. Park, K. L. Wang, and K. P. MacWilliams, IEEE Electron. Dev. Lett. 12, 154 (1991). 31. E K. LeGoues, R. Rosenberg, T. Nguyen, E Himpsel, and B. S. Meyerson, J. Appl. Phys. 65, 172 (1989). 32. J. Rappich, I. Sieber, A. Sch6pke, W. Ftissel, M. Gltick, and J. Hersener, Mater. Res. Soc. Symp. Proc. 451, 215 (1997). 33. J. Rappich and W. Ftissel, Microelectron. Reliab. 40, 825 (2000). 34. J. Rappich, I. Sieber, and R. Knippelmeyer, Electrochem. Solid State Lett. 4(3), 1311-
1313 (2001). 35. J. Rappich, V. Y. Timoshenko, and T. Dittrich, J. Electrochem. Soc. 144, 493 (1997). 36. T. Dittrich, T. Burke, E Koch, and J. Rappich, J. Appl. Phys. 89(8), 4636-4642
(2001). 37. K. Heilig, H. Flietner, and J. Reineke, J. Phys. D: Appl. Phys. 12, 927 (1979). 38. K. Heilig, Solid-State Electron. 27, 394 (1984). 39. K. Fujiwara, Phys. Rev. B 24, 2240 (1981). 40. H. Wagner, R. Butz, U. Backes, and D. Bruchmann, Solid State Commun. 38, 1155 (1981). 41. E Stucki, J. A. Schaefer, J. R. Anderson, G. J. Lapeyre, and W. Gtipel, Solid State Commun. 47, 795 (1983). 42. Y.J. Chabal, Phys. Rev. Lett. 50, 1850 (1983). 43. H. Wagner and H. Ibach, FestkOrperprobleme 23, 165 (1983). 44. J.E. Demuth and B. N. J. Persson, J. Vac. Sci. Technol., B 2, 384 (1984). 45. Y.J. Chabal and K. Rafghavachari, Phys. Rev. Lett. 53, 282 (1984). 46. S. Ciraci, R. Butz, E. M. Oellig, and H. Wagner, Phys. Rev. B 30, 711 (1984).
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
251
47. Y.J. Chabal, Surf Sci. 168, 594 (1986). 48. E. Yablonovich, D. L. Allara, C. C. Chang, T. Gmitter, and T. B. Bright, Phys. Rev. Lett. 57, 249 (1986). 49. A. Venkateswara Rao and J.-N. Chazaviel, J. Electrochem. Soc. 134, 2777 (1987). 50. H. Gerischer and M. L~ibke, Ber. Bunsenges. Phys. Chem. 91,394 (1987). 51. M. Grundner and R. Schulz, AIP Conf. Proc. 167, 329 (1988). 52. Y.J. Chabal, G. S. Higashi, K. Raghavachari, and V. A. Burrows, J. Vac. Sci. Technol., A 7, 2104 (1989). 53. G.S. Higashi, Y. J. Chabal, G. W. Trucks, and K. Raghavachari, Appl. Phys. Lett. 56, 656 (1990). 54. D.B. Fenner, D. K. Biegelsen, and R. D. Bringans, J. Appl. Phys. 66, 419 (1989). 55. L.M. Peter, D. J. Blackwood, and S. Pons, Phys. Rev. Lett. 62, 308 (1989). 56. L.M. Peter, D. J. Blackwood, and S. Pons, J. Electroanal. Chem. 294, 111 (1990). 57. J.J. Boland, Phys. Rev. Lett. 65, 3325 (1990). 58. P. Dumas and Y. J. Chabal, Chem. Phys. Lett. 181,537 (1991). 59. P. Jakob and Y. J. Chabal, J. Phys. Chem. 95, 2897 (1991). 60. U. Memmert and R. J. Behm, Adv. Solid-State Phys. 31, 189 (1991). 61. Y.J. Chabal, Physica B 170, 447 (1991). 62. K. Itaya, R. Suguwara, Y. Morita, and H. Tokumoto, Appl. Phys. Lett. 60, 2534 (1992). 63. P. Jakob, Y. J. Chabal, K. Raghavachari, R. S. Becker, and A. J. Becker, Surf. Sci. 275, 407 (1992). 64. T. Bitzer and H. J. Lewerenz, Surf. Sci. 269/270, 886 (1992). 65. S.-L. Yau, E-R. Fan, and A. Bard, J. Electrochem. Soc. 139, 2825 (1992). 66. H.J. Lewerenz and T. Bitzer, J. Electrochem. Soc. 139, L21 (1992). 67. C. Stuhlmann, G. Bogdfiny, and H. Ibach, Phys. Rev. B 45, 6786 (1992). 68. P. Dumas, Y. J. Chabal, and P. Jakob, Surf. Sci. 269/270, 867 (1992). 69. M. Niwano, Y. Takeda, K. Kurita, and N. Miyamoto, J. Appl. Phys. 72, 2488 (1992). 70. M. B. Nardelli, E Finocchi, M. Palummo, R. D. Felice, C. M. Bertoni, and S. Ossicini, Surf. Sci. 269/270, 879 (1992). 71. H. Yao and J. A. Woollam, Appl. Phys. Lett. 62, 3324 (1993). 72. P. Jakob, Y. J. Chabal, K. Raghavachari, P. Dumas, and S. B. Christman, Surf Sci. 285, 251 (1993). 73. H.J. Lewerenz, T. Bitzer, M. Gruyters, and K. Jacobi, J. Electrochem. Soc. 140, L44 (1993). 74. U. Neuwald, H. E. Hessel, A. Feltz, U. Memmert, and R. J. Behm, Surf Sci. Lett. 296, L8 (1993). 75. P. Dumas, Y. J. Chabal, and P. Yakob, Appl. Surf Sci. 65/66, 580 (1993). 76. T. Bitzer, H. J. Lewerenz, M. Gruyters, and K. Jacobi, J. Electroanal. Chem. 359, 287 (1993). 77. P. Jakob, Y. J. Chabal, and K. Raghavachari, J. Electron Spectrosc. Relat. Phenom. 64/65, 59 (1993). 78. K. Utani, T. Suzuki, and S. Adachi, J. Appl. Phys. 73, 3467 (1993). 79. J.J. Boland, Adv. Phys. 42, 129 (1993). 80. T. Dittrich, H. Angermann, H. Flietner, T. Bitzer, and H. J. Lewerenz, J. Electrochem. Soc. 141, 3595 (1994).
252
RAPPICH AND DITTRICH
81. J. Rappich, H. Jungblut, M. Aggour, and H. Lewerenz, J. Electrochem. Soc. 141, L99 (1994). 82. K. Usada, H. Kanaya, K. Yamada, T. Sato, T. Sueyoshi, and M. Iwatsuki, Appl. Phys. Lett. 64, 3240 (1994). 83. H. Bender, S. Verhaverbeke, and M. M. Heyns, J. Electrochem. Soc. 141, 3128 (1994). 84. S. Rauscher, T. Dittrich, M. Aggour, J. Rappich, H. Flietner, and H. J. Lewerenz, Appl. Phys. Lett. 66, 3018 (1995). 85. J. Rappich and H. J. Lewerenz, J. Electrochem. Soc. 142, 1233 (1995). 86. J. Rappich, M. Aggour, S. Rauscher, H. J. Lewerenz, and H. Jungblut, Surf. Sci. 335, 160 (1995). 87. H.N. Waltenburg and J. T. Yates, Chem. Rev. 95, 1589 (1995). 88. H. Ogawa, K. Ishikawa, M. T. Suzuki, Y. Hayami, and S. Fujimura, Jpn. J. Appl. Phys. 34, 732 (1995). 89. M. Matsumura and H. Fukidome, J. Electrochem. Soc. 143, 2683 (1996). 90. J. Rappich and H. J. Lewerenz, Electrochim. Acta 41,675 (1996). 91. S. Miyazaki, J. Schafer, J. Ristein, and L. Ley, Appl. Phys. Lett. 68, 1247 (1996). 92. S. Cattarin, J.-N. Chazalviel, C. Da Fonseca, E Ozanam, L. M. Peter, G. Schlichthorl, and J. Stumper, J. Electrochem. Soc. 145, 498 (1998). 93. A. Bela'idi, J.-N. Chazalviel, E Ozanam, O. Gorochov, A. Chari, B. Fotouhi, and M. Etman, J. Electroanal. Chem. 444, 55 (1998). 94. H. Angermann, W. Henrion, M. Rebien, D. Fischer, J.-T. Zettler, and A. R6seler, Thin Solid Films 313/314, 52 (1998). 95. P. M. Hoffmann, I. E. Vermeir, and P. C. Searson, J. Electrochem. Soc. 147, 2999
(2000). 96. F. Bensliman, M. Aggour, A. Ennaoui, Y. Hirota, and M. Matsumura, Electrochem. Solid-State Lett. 3, 566 (2000). 97. J.-N. Chazalviel, A. Bela'idi, M. Sail, F. Maroun, B. H. Erng, and F. Ozanam, Electrochim. Acre 45, 3205 (2000). 98. T. Takahagi, I. Nagai, A. Ishitari, H. Kuroda, and Y. Nagasawa, J. AppI. Phys. 64, 3516(1988). 99. W. Kern, Semicond. Int. 94 (1984). 100. L.A. Zazzera and J. F. Moulder, J. Electrochem. Soc. 136, 484 (1989). 101. J. Ruzyllo, A. M. Hoff, D. C. Frystak, and S. D. Hossain, J. Electrochem. Soc. 136, 1474 (1989). 102. W. Kern, ]. Electrochem. Soc. 137, 1887 (1990). 103. J. Falta, D. Bahr, G. Materlik, B. H. Muller, and M. Horn yon Hoegen, App1. Phys. Lett. 68, 1394 (1996). 104. O.P. Karpenko, S. M. Yalisove, and D. J. Eaglesham, J. Appl. Phys. 82, 1157 (1997). 105. J. Falta, T. Schmidt, G. Materlik, J. Zeysing, G. Falkenberg, and R. L. Johnson, Appl. Surf. Sci. 162/163, 256 (2000). 106. T. Fujino, T. Fuse, J.-T. Ryu, K. Inudzuka, T. Nakano, K. Goto, Y. Yamazaki, M. Katayama, and K. Oura, Thin Solid Films 369, 25 (2000). 107. W.-X. Ni, A. Henry, M. I. Larsson, K. Joelsson, and G. V. Hansson, Appl. Phys. Lett. 65, 1772 (1994).
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
253
108. I.A. Buyanova, W. M. Chen, A. Henry, W. A. Ni, G. N. Hansson, and B. Monemar, Appl. Surf. Sci. 102, 293 (1996). 109. G. Hollinger, S. J. Sferco, and M. Lannoo, Phys. Rev. B 37, 7149 (1988). 110. D.R. Wolters and A. T. A. Z.-v. Duynhoven, J. Appl. Phys. 65, 5134 (1989). 111. S. P. Tay, A. Kalnitsky, G. Kelly, J. P. Ellul, P. DeLalio, and E. A. Irene, J. Electrochem. Soc. 137, 3579 (1990). 112. M. Hiroshima, T. Yasaka, S. Miyazaki, and M. Hirose, Jpn. J. Appl. Phys. 33, 395 (1994). 113. S. Hirofumi and I. Shuichi, Mater Trans., JIM 36, 1271 (1995). 114. K. Kobayashi, A. Teramoto, Y. Matsui, M. Hirayama, A. Yasuoka, and T. Nakamura, J. Electrochem. Soc. 143, 3377 (1996). 115. D.J. DiMaria and J. H. Stathis, Appl. Phys. Lett. 70, 2708 (1997). 116. J.-H. Kim, J. J. Sanchez, T. A. DeMassa, M. T. Quddus, R. O. Grondin, and C. H. Liu, Solid-State Electron. 43, 57 (1999). 117. Y. Jia, Y. Liang, Y. Liu, Y. Liu, and D. Shen, Thin Solid Films 370, 199 (2000). 118. A.N. Itakura, T. Narushima, M. Kitajima, K. Teraishi, A. Yamada, and A. Miyamoto, Appl. Surf. Sci. 159/160, 62 (2000). 119. T. Watanabe and I. Ohdomari, Appl. Surf. Sci. 162, 116 (2000). 120. P. O. Hahn, M. Grundner, A. Schnegg, and H. Jacob, in "The Physics and Chemistry of SiO2 and the Si/SiO2 Interface" (D. Helms, Ed.), p. 401. Plenum, New York/London, 1988. 121. P. Jakob, P. Dumas, and Y. J. Chabal, Appl. Phys. Lett. 59, 2968 (1991). 122. L. Lai and E. A. Irene, J. Appl. Phys. 86, 1729 (1999). 123. G.J. Pietsch, U. K6hler, O. Jusko, M. Henzler, and P. O. Hahn, Appl. Phys. Lett. 60, 1321 (1992). 124. Y.-B. Park and S.-W. Rhee, J. Appl. Phys. 86, 1346 (1999). 125. T. Narushima, A. N. Itakura, T. Kurashina, T. Kawabe, and M. Kitajima, Appl. Surf. Sci. 159/160, 25 (2000). 126. N. J. Harrick, "Internal Reflection Spectroscopy." Wiley Interscience, New York, 1967 127. H. Neugebauer, G. Nauer, N. Brinda-Konopik, and G. Gidaly, J. Electroanal. Chem. 122, 381 (1981). 128. A. Bewick and S. Pons, "Advances in Infrared and Raman Spectroscopy." WileyHeyden, Chichester, 1985 129. E Ozanam and J.-N. Chazalviel, Rev. Sci. Instrum. 59, 242 (1988). 130. Y.J. Chabal, Surf. Sci. Rep. 8, 211 (1988). 131. E. P. Boonekamp, J. J. Kelly, J. v. d. Ven, and A. H. M. Sondag, J. Appl. Phys. 75, 8121 (1994). 132. M. Nakamura, M.-B. Song, and M. Ito, Electrochim. Acta 41,681 (1996). 133. M. Niwano, J. Kageyama, K. Kurita, K. Kinashi, I. Takahashi, and N. Miyamoto, J. Appl. Phys. 76, 2157 (1994). 134. J.C. Knights, R. A. Street, and G. Lucovsky, J. Non-Cryst. Solids 35/36, 279 (1980). 135. G. Lucovsky, Sol. Energy Mater. 8, 165 (1982). 136. G. Lucovsky, J. Yang, S. S. Chao, J. E. Tyler, and W. Czubatyj, Phys. Rev. B 28, 3225 (1983).
254
RAPPICH AND DITTRICH
137. G. Lucovsky, E D. Richard, D. V. Tsu, and R. J. Markunas, J. Vac. Sci. Technol. 4, 681 (1986). 138. G. Lucovsky, M. J. Manitini, J. K. Srivastava, and E. A. Irene, J. Vac. Sci. Technol. B 5, 530 (1987). 139. E Lange, J. Appl. Phys. 66, 201 (1989). 140. J. E. Bateman, B. R. Horrocks, and A. Houlton, J. Chem. Soc. Faraday Trans. 93, 2427 (1997). 141. K.T. Queeney, M. K. Weldon, J. E Chang, Y. J. Chabal, A. B. Gurevich, J. Sapjeta, and R. L. Opila, J. AppL Phys. 87, 1322 (2000). 142. E Ozanam and J.-N. Chazalviel, J. Electroanal. Chem. 269, 251 (1989). 143. H. Ogawa and T. Hattori, Appl. Phys. Lett. 61,577 (1992). 144. D.W. Berreman, Phys. Rev. 130, 2193 (1963). 145. H. Shirai, Jpn. J. AppL Phys. 33, L94 (1994). 146. E Dumas, Y. J. Chabal, and G. S. Higashi, Phys. Rev. Lett. 65, 1124 (1990). 147. A. Venkateswara Rao, E Ozanam, and J.-N. Chazaviel, J. Electrochem. Soc. 138, 153 (1991). 148. J. Rappich, H. J. Lewerenz, and H. Gerischer, J. Electrochem. Soc. 140, L187 (1993). 149. L. Kronik and Y. Shapira, Surf. Sci. Rep. 37, 1 (1999). 150. E.O. Johnson, Phys. Rev. 111,153 (1958). 151. T. Dittrich and H. Flietner, Mater. Res. Soc. Symp. Proc. 358, 581 (1995). 152. S.M. Sze, "Physics of Semiconductors." Wiley, New York, 1981. 153. L. Elstner and T. Dittrich, unpublished observation, 1994. 154. Y.W. Lain, J. Phys. D: Appl. Phys. 4, 1370 (1971). 155. T. Dittrich, M. Brauer, and L. Elstner, Phys. Status Solidi A 137, K29 (1993). 156. V. Y. Timoshenko, A. B. Petrenko, M. N. Stolyarov, T. Dittrich, W. Fuessel, and J. Rappich, J. Appl. Phys. 85, 4171 (1999). 157. M.I. Galant and H. M. v. Driel, Phys. Rev. B 26, 2133 (1982). 158. E. Yablonovich and T. Gmitter, Appl. Phys. Lett. 49, 587 (1986). 159. A.G. Cullis, L. T. Canham, and E D. J. Calcott, J. Appl. Phys. 82, 909 (1997). 160. Y. Morita and H. Tokumoto, Appl. Phys. Lett. 67, 2654 (1995). 161. M. Grundner and H. Jacob, Appl. Phys. A 39, 73 (1986). 162. S. Maruno, H. Iwasaki, K. Horioka, S.-T. Li, and S. Nakamura, Phys. Rev. B 27, 4110 (1983). 163. S. Zaima, J. Kojima, M. Hayashi, H. Ikeda, H. Iwano, and Y. Yasuda, Jpn. J. Appl. Phys. 34, 741 (1995). 164. T. Bitzer, M. Gruyters, H. J. Lewerenz, and K. Jacobi, Appl. Phys. Lett. 63, 397 (1993). 165. M. Matsumura and S. R. Morrison, J. Electrochem. Soc. 147, 157 (1983). 166. H. Gerischer and M. Liibke, Ber. Bunsenges. Phys. Chem. 92, 573 (1988). 167. E Ozanam, J.-N. Chazaviel, A. Radi, and M. Etman, Ber. Bunsenges. Phys. Chem. 95, 98 (1991). 168. J. Rappich, V. Y. Timoshenko, and T. Dittrich, Ber. Bunsenges. Phys. Chem. 101,139 (1997). 169. M. Aggour, PhD-Thesis, Tu-Berlin, Berlin, 1994. 170. H.J. Lewerenz and M. Aggour, J. Electroanal. Chem. 351,159 (1993).
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
255
171. D.R. Turner, J. Electrochem. Soc. 105,402 (1958). 172. E Ozanam and J.-N. Chazalviel, J. Electron Spectrosc. Relat. Phenom. 64/65, 395 (1993). 173. J. S. Judge, J. Electrochem. Soc. 118, 1772 (1971). 174. R.E. Mesmer and C. E Baes, Inorg. Chem. 8, 618 (1969). 175. D. Koschel, Ed., "Gmelin Handbook Fluor, Gmelin Handbook of Inorganic Chemistry," Vol. 3, Supplement. Springer-Verlag, Berlin, 1982. 176. S. Verhaverbeke, I. Teerlinck, C. Vinckier, G. Stevens, R. Cartuyvels, and M. M. Heyns, J. Electrochem. Soc. 141, 2852 (1994). 177. J. Rappich, unpublished observation. 178. T. Dittrich, V. Y. Timoshenko, M. Schwartzkopff, E. Hartmann, J. Rappich, E K. Kashkarov, and E Koch, Microelectron. Eng. 48, 75 (2000). 179. V. Lehmann, J. Elektrochem. Soc. 143, 1313 (1996). 180. G.J. Pietsch, U. K6hler, and M. Henzler, J. Appl. Phys. 73, 4797 (1993). 181. J.W. Faust and E. D. Palik, J. Electrochem. Soc. 130, 1413 (1983). 182. E. D. Palik, V. M. Bermudez, and O. J. Glembocki, J. Electrochem. Soc. 132, 871 (1985). 183. O.J. Glembocki, E. D. Palik, G. R. de Guel, and D. L. Kendall, J. Electrochem. Sor 138, 1055 (1991). 184. P. Allongue, V. Costa-Kieling, and H. Gerischer, J. Electrochem. Sor 140, 1009 (1993). 185. P. Allongue, V. Costa-Kieling, and H. Gerischer, J. Electrochem. Sor 140, 1018 (1993). 186. S. A. Campbell, S. N. Port, and D. Schiffrin, J. Micromechanics Microeng. 2, 209 (1998). 187. P. Allongue, J. Kasparian, and M. Elwenspoek, Surf. Sci. 388, 50 (1997). 188. J. Kasparian and P. Allongue, in "ECS Meeting" (K. Rajeshwar, L. M. Peter, A. Fujishima, D. Meissner, and M. Tomkiewich, Eds.), Vol. 97-20, p. 220. Electrochem. Soc., Pennington, NJ/Paris, 1997. 189. J. Rappich, V. Y. Timoshenko, R. Wfirz, and T. Dittrich, Electrochim. Acta 45, 4629 (2000). 190. D. K. Biegelsen, N. M. Johnson, M. Stutzmann, E. H. Poindexter, and E J. Caplan, Appl. Surf. Sci. 22/23, 879 (1985). 191. H. Flietner, Surf. Sci. 46, 251 (1974). 192. T. Dittrich, H. Angermann, W. Ffissel, and H. Flietner, Phys. Status Solidi A 140, 463 (1993). 193. T.M. Buck and E S. McKim, J. Electrochem. Soc. 105,709 (1958). 194. H. Angermann, K. Kliefoth, and H. Flietner, Appl. Surf. Sci. 104/105, 107 (1996). 195. H. Angermann, W. Henrion, M. Rebien, J.-T. Zettler, and A. R6seler, Surf. Sci. 388, 15 (1997). 196. H. Flietner, in "7th Conf. Insulating Films on Semiconductors (INFOS)" (W. Eccleston and M. Uren, Eds.), p. 151. Hilger, Bristol, 1991. 197. H. Angermann, T. Dittrich, and H. Flietner, Appl. Phys. A 59, 193 (1994). 198. D. Gr~f, M. Grundner, and R. Schulz, J. Vac. Sci. Technol. A 7, 808 (1989). 199. E.A. Konstantinova, V. Y. Timoshenko, E K. Kashkarov, and T. Dittrich, Thin Solid Films 276, 265 (1996).
256
RAPPICH AND DITTRICH
200. G.W. Trucks, K. Raghavachari, G. S. Higashi, and Y. J. Chabal, Phys. Rev. Lett. 65, 504 (1990). 201. K. Yokogawa and T. Mizutani, Jpn. J. Appl. Phys. 32, L635 (1993). 202. M. Morita, T. Ohmi, E. Hasegawa, M. Kawakami, and M. Ohwada, J. Appl. Phys. 68, 1272 (1990). 203. G. Schlichth6rl and L. M. Peter, J. Electroanal. Chem. 381, 55 (1995). 204. K.C. Mandal, F. Ozanam, and J.-N. Chazalviel, Appl. Phys. Lett. 57, (1990). 205. J. Rappich, T. Dittrich, Y. Timoshenko, I. Beckers, and W. Fuhs, Mater Res. Soc. Symp. Proc. 452, 797 (1997). 206. P. d. Mierry, A. Etcheberry, R. Rizk, P. Etchegoin, and M. Aucouturier, J. Electrochem. Soc. 141, 1539 (1994). 207. J.E. Northrup, Phys. Rev. B 44, 1419 (1991). 208. Y.J. Chabal and K. Raghavachari, Phys. Rev. Lett. 54, 1055 (1985). 209. V. E Kiselev and O. V. Krylov, "Electronic Phenomena in Adsorption and Catalysis on Semiconductors and Dielectrics." Springer-Verlag, Berlin, 1987. 210. E.H. Poindexter, J. Non-Crystal. Sol. 187, 257 (1995). 211. E.H. Poindexter, Z. Naturforsch. 50a, 653 (1995). 212. T. Dittrich, T. Bitzer, V. Y. Timoshenko, and J. Rappich, submitted for publication. 213. T. Bitzer, T. Rada, N. V. Richardson, T. Dittrich, and F. Koch, Appl. Phys. Lett. 77, 3779 (2000). 214. J. B. Flynn, J. Electrochem. Soc. 105, 715 (1958). 215. R. Memming and G. Schwandt, Surf. Sci. 4, 109 (1966). 216. V. Lehmann and U. G6sele, Appl. Phys. Lett. 58, 856 (1991). 217. H. F611,Appl. Phys. A 53, 8 (1991). 218. R.L. Smith and S. D. Collins, J. Appl. Phys. 71, R1 (1992). 219. M.J. Eddowes, J. Electroanal. Chem. 280, 297 (1990). 220. V. Labunov, V. Bondarenko, L. Glinenko, A. Dorofeev, and L. Tabulina, Thin Solid Films 137, 123 (1986). 221. X. G. Zhang, S. D. Collins, and R. L. Smith, J. Electrochem. Soc. 136, 1561 (1989). 222. K.H. Jung, S. Shih, and D. L. Kwong, J. Electrochem. Soc. 140, 3046 (1993). 223. D. Kovalev, H. Heckler, G. Polisski, and E Koch, Phys. Status Solidi B 215, 871 (1999). 224. V. Y. Timoshenko, J. Rappich, and T. Dittrich, Appl. Surf. Sci. 123/124, 111 (1998). 225. T. Dittrich, I. Sieber, S. Rauscher, and J. Rappich, Thin Solid Films 276, 200 (1996). 226. J. Stumper, H. J. Lewerenz, and C. Pettenkofer, Electrochim. Acta 34, 1379 (1989). 227. J. Stumper, H. J. Lewerenz, and C. Pettenkofer, Phys. Rev. B 41, 1592 (1990). 228. J. Rappich, T. Burke, S. Lust, and T. Dittrich, to appear. 229. T. Dittrich, V. Y. Timoshenko, and J. Rappich, Appl. Phys. Lett. 72, 1635 (1998). 230. M. Ben-Chorin, A. Kux, and I. Schechter, Appl. Phys. Lett. 64, 481 (1994). 231. R. Schwarz, F. Wang, M. Ben-Chorin, S. Grebner, and A. Nikolov, Thin Solid Films 255, 23 (1995). 232. T. Dittrich, K. Kliefoth, I. Sieber, J. Rappich, S. Rauscher, and V. Y. Timoshenko, Thin Solid Films 276, 183 (1996). 233. G. Bomchil, R. Herino, K. Barla, and J. C. Pfister, J. Electrochem. Soc. 130, 1611 (1983).
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
257
234. G. Polisski, D. Kovalev, G. G. Dollinger, T. Stilima, and E Koch, Physica B 273/274, 951 (1999). 235. V.Y. Timoshenko, T. Dittrich, and E Koch, Phys. Status Solidi B 222, R1 (2000). 236. V.Y. Timoshenko, T. Dittrich, V. Lysenko, M. G. Lisachenko, and E Koch, submitted for publication. 237. T. Dittrich and V. Y. Timoshenko, J. Appl. Phys. 75, 5436 (1994). 238. A.B. Petrenko, T. Dittrich, and V. Y. Timoshenko, unpublished observation, 1999. 239. D.E. Aspnes and J. B. Theeten, J. Electrochem. Soc. 127, 1359 (1980). 240. T. Yasuda, Y. Ma, Y. L. Chen, G. Lucovsky, and D. Maher, J. Vac. Sci. Technol. A 11, 945 (1993). 241. Y. Ma, T. Yasuda, and G. Lucovsky, J. Vac. Sci. Technol. A 11,952 (1993). 242. S. Ichimura, K. Koike, A. Kurokawa, K. Nakamura, and H. Itoh, Surf. Interface Anal. 30, 497 (2000). 243. J. Xiang, N. Herbots, H. Jacobsson, P. Ye, S. Hearne, and S. Whaley, J. Appl. Phys. 80, 1857 (1996). 244. M. Mukhopadhyay, S. K. Ray, T. B. Ghosh, M. Sreemany, and C. K. Maiti, Semicond. Sci. Technol. 11,360 (1996). 245. C. Caragianis, Y. Shigesato, and D. C. Paine, J. Electron. Mater. 23, 883 (1994). 246. T. Aiba, K. Yamauchi, Y. Shimizu, N. Tate, M. Katayama, and T. Hattori, Jpn. J. Appl. Phys. 34, 707 (1995). 247. H. Angermann, K. Kliefoth, W. Ftissel, and H. Flietner, Microelectron. Eng. 28, 51 (1995). 248. H. Ibach, H. D. Bruchmann, and H. Wagner, Appl. Phys. A 29, 113 (1982). 249. N. Matsuo, N. Kawamoto, D. Aihara, and T. Miyoshi, Appl. Surf. Sci. 159/160, 41 (2000). 250. U. Neuwald, H. E. Hessel, A. Feltz, U. Memmert, and R. J. Behm, Appl. Phys. Lett. 60, 1307 (1992). 251. H. Ogawa, K. Ishikawa, C. Inomata, and S. Fujimura, J. AppI. Phys. 79, 472 (1996). 252. A. Shimizu, S. Abe, H. Nakayama, T. Nishino, and S. Iida, Appl. Surf. Sci. 159/160, 89 (2000). 253. M. Udagawa, M. Niwa, and I. Sumita, Jpn. J. Appl. Phys. 32, 282 (1993). 254. T. Umeda, S. Yamasaki, M. Nishizawa, T. Yasuda, and K. Tanaka, Appl. Surf. Sci. 162/163, 299 (2000). 255. M. Wasekura, M. Higashi, H. Ikeda, A. Sakai, S. Zaim, and Y. Yasuda, Appl. Surf. Sci. 159/160, 35 (2000). 256. R. Hasunuma, A. Ando, K. Miki, and Y. Nishioka, Appl. Surf. Sci. 162/163, 547 (2OOO). 257. M. Gotoh, K. Sudoh, and H. Iwasaki, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 18, 2165 (2000). 258. R. Hasunuma, A. Ando, K. Miki, and Y. Nishioka, Appl. Surf. Sci. 159/160, 83
(2ooo). 259. B. Nouwen and A. Stesmans, Mater Sci. Eng. A 288, 239 (2000). 260. J. A. Bardwell, E. M. Allegretto, J. Phillips, M. Buchanan, and N. Draper, J. Electrochem. Soc. 143, 2931 (1996).
258
RAPPICH AND DITTRICH
261. G. Mende, in "Semiconductor MicromachiningmTechniques and Industrial Application" (S. A. Campbell and H. J. Lewerenz, Eds.), Vol. 2, p. 263. Wiley, New York, 1998. 262. E Ozanam, A. Djebri, and J.-N. Chazalviel, Electrochim. Acta 41,687 (1996). 263. G. Mende, E. Hensel, E Fenske, and H. Flietner, Thin Soild Films 168, 51 (1989). 264. C.E. Blat, E. H. Nicollian, and E. H. Poindexter, J. Appl. Phys. 69, 1712 (1991). 265. H.J. Lewerenz and G. Schlichth6rl, J. Electroanal. Chem. 327, 85 (1992). 266. H.J. Lewerenz, H. Jungblut, and S. Rauscher, Electrochim. Acta 45, 4615 (2000). 267. M. Aggour, M. Giersig, and H. J. Lewerenz, J. Electroanal. Chem. 383, 67 (1995). 268. H. F611, J. Carstensen, M. Christophersen, and G. Hasse, Phys. Status Solidi A 182, 7 (2000). 269. E.D. Palik, J. W. Faust, H. E Gray, and R. E Greene, J. Electrochem. Soc. 129, 2051 (1982). 270. M. Gliick, J. Hersener, H. G. Umbach, J. Rappich, and J. Stein, Solid State Phenom. 57/58, 413 (1997). 271. G. Mende and G. Ktister, Thin Solid Films 35, 215 (1976). 272. J. v. Borany, G. Mende, and B. Schmidt, Nucl. Instrum. Methods 212, 65 (1983). 273. G. Mende and J. Wende, Thin Solid Films 142, 21 (1986). 274. M. V. Sulliva, D. L. Klein, R. M. Finne, L. A. Pompliano, and G. A. Kolb, J. Electrochem. Soc. 110, 412 (1963). 275. D.R. Turner, J. Electrochem. Soc. 107, 810 (1960). 276. A. Uhlir, Bell Syst. Tech. J. 35, 333 (1956). 277. H. Gerischer and W. Mindt, Electrochim. Acta 13, 1329 (1968). 278. D.R. Wolters and A. T. A. Z.-v. Duynhoven, J. Appl. Phys. 65, 5126 (1989). 279. R. People, J. Quantum Electron. 22, 1696 (1986). 280. M. Seck, R. Devine, C. Hernandez, Y. Campidelli, and J.-C. Dupuy, Appl. Phys. Lett. 72, 2748 (1998). 281. E W. Li, H. K. Liou, E. S. Yang, S. S. Iyer, T. E Smith, and Z. Lu, Appl. Phys. Lett. 60, 3265 (1992). 282. I. S. Goh, S. Hall, W. Eccleston, J. E Zhang, and K. Warner, Electron. Lett. 30, 1988 (1994). 283. O. Vancuawenberghe, O. C. Hellman, N. Herbot, and W. J. Tan, Appl. Phys. Lett. 59, 2031 (1991). 284. C.G. Ahn, H. S. Kang, Y. K. Kwon, S. M. Lee, B. R. Ryum, and B. K. Kang, J. Appl. Phys. 86, 1542 (1999). 285. L.C. Kimerling, K. D. Kolenbrander, J. Michel, and J. Palm, in "Solid State Physics, Advances in Research and Applications" (H. Ehrenreich and E Spaepen, Eds.), Vol. 50, p. 333. Academic Press, New York, 1997. 286. J. Olayos, J. Engvall, H. G. Grimmeiss, H. Kibbel, E. Kasper, and H. Presting, Thin Solid Films 222, 243 (1992). 287. L. E Tilly, E M. Mooney, J. O. Chu, and E K. LeGoues, Appl. Phys. Lett. 67, 2488 (1995). 288. I.N. Chakraborty and R. A. Condrate, J. Non-Cryst. Solids 81, 271 (1986). 289. E M. Lenahan, Microelectron. Eng. 22, 129 (1993). 290. J. Kocka, J. Non-Cryst. Sol. 90, 91 (1987).
ELECTROCHEMICAL PASSIVATION OF SI AND SIGE
259
291. M. Nishida, Phys. Rev. B 60, 8902 (1999). 292. M. Warntjes, C. Vieillard, E Ozanam, and J. N. Chazalviel, J. Electrochem. Soc. 142, 4138 (1995). 293. C. H. Villeneuve, J. Pinson, E Ozanam, J. N. Chazalviel, and P. Allongue, Mater. Res. Soc. Symp. 451,185 (1997). 294. P. Wagner, S. Nock, J. A. Spudich, W. D. Volkmuth, S. Chu, R. L. Cicero, C. P. Wade, M. R. Linford, and C. E. D. Chidsey, J. Struc. Biol. 119, 189 (1997). 295. P. Allongue, C. H. d. Villeneuve, and J. Pinson, Electrochim. Acta 45, 3241 (2000). 296. M.P. Stewart, E. G. Robins, T. W. Geders, M. J. Allen, H. C. Choi, and J. M. Buriak, Phys. Status Solidi A 182, 109 (2000). 297. J. Terry, M. R. Linford, C. Wigren, R. Cao, P. Pianetta, and C. E. D. Chidsey, Appl. Phys. Lett. 71, 1056 (1997). 298. T. Bitzer, T. Dittrich, T. Rada, and N. V. Richardson, Chem. Phys. Lett. 331,433 (2000). 299. E Hartig, T. Dittrich, and J. Rappich, submitted. 300. M. Bengtsson, S. Ekstr6m, J. Drott, A. Collins, E. Cs6regi, G. Marko-Varga, and T. Laurell, Phys. Status Solidi A 182, 495 (2000). 301. L.T. Canham, M. E Stewart, J. M. Buriak, C. L. Reeves, M. Anderson, E. K. Squire, E Allcock, and E A. Snow, Phys. Status Solidi A 182, 521 (2000). 302. T. Dittrich, M. Schwartzkopff, E. Hartmann, and J. Rappich, Surf. Sci. 437, 154 (1999). 303. T. Dittrich, S. Rauscher, V. Y. Timoshenko, J. Rappich, I. Sieber, H. Flietner, and H. J. Lewerenz, Appl. Phys. Lett. 67, 1134 (1995). 304. T. Dittrich, J. Rappich, and V. Y. Timoshenko, Appl. Phys. Lett. 70, 2705 (1997). 305. J. Rappich, Y. Timoshenko, and T. Dittrich, Mater Res. Soc. Symp. Proc. 448, 51 (1997).
This Page Intentionally Left Blank
THIN FILMS, Vol. 29
Subject Index
Boron penetration, 63-64 Breakdown, s e e Dielectric breakdown BST, see Barium strontium titanate film
AES, see Auger electron microscopy AFM, s e e Atomic force microscopy ALD, s e e Atomic layer deposition Alkaline solutions formation of oxides in, 219-224 hydrogenated Si surfaces, 168-170 Alternative (high-k) films, 104-121 Angle-resolved X-ray photoelectron spectroscopy (ARXPS), 115-118 Anodic oxidation enhanced passivation of SiGe by, 233-249 formation of hydrogenated Si surface, 171 initial states of, 201-206 Anodic potentials, passivation by process optimization, 212-219 APCVDs, s e e Atmospheric pressure chemical vapor deposition reactors Argon atmosphere, spectra of hydrogenated Si surface under, 141-144 ARXPS, s e e Angle-resolved X-ray photoelectron spectroscopy Atmospheric pressure chemical vapor deposition reactors (APCVDs), 16 Atomic force microscopy (AFM), 25 Atomic layer deposition (ALD), 16, 102 Atom scattering spectrometry, 34--40 ATR, s e e Attenuated total reflectance Attenuated total reflectance (ATR), 32 Attenuated total reflection (ATR), 137-159 Auger electron spectroscopy (AES), 27-30
Cathodic potentials, passivation by electron injection at, 206-212 Charge carrier mobility, 3 Chemical vapor deposition (CVD), 15-16 atomic layer, 16, 100 Cleaning methods, 7-9 Complementary metal-oxide semiconductor (CMOS), 3 Constant voltage stressing (CVS) method, 60 Conventional furnaces, 10 Cross-sectional transmission electron microscopy (XTEM), 68 Crystalline silicon (c-Si), 152-159 Crystal structures, see s p e c i f i c m a t e r i a l s , types, p r o c e s s e s
CVD, see Chemical vapor deposition CVS, s e e Constant voltage stressing
Dangling bonds, 45-46 Depassivation reaction, 45 Deposition methods CVD, s e e Chemical vapor deposition gate dielectric films, 16, 100, 103 PVD, s e e Physical vapor deposition silicon oxynitride films, 100 temperature and, 116 Dielectric breakdown gate current versus gate voltage, 21-24 percolation model, 59 reliability and, 54 temperature acceleration, 55 Dielectric films ultrathin gate films, 1-122
Barium strontium titanate (BST) films triple oxide, 107 see also specific applications, processes
Boltzmann constant, 46
261
262 Dihydride species, 141-144 Direct tunneling, 51 Drain current, 3
Elastic recoil detection (ERD), 34, 40 Electrical characteristics alternative gate dielectric films, 110-114 gate dielectric films, 83-89, 110-113 silicon oxide films, 51-63 silicon oxynitride films, 83-89 ultrathin films, 16-24 see also specific properties, effects, materials
Electrochemical hydrogenation competition with electropolishing, 188-196 porous Si, 182-200 Si surfaces, 159-182 alkaline solutions, 168-170 diluted HF solutions, 160-168 electronic states at, 170-174 Electrochemical passivation, Si and SiGe surfaces, 135-259 Electrolyte, spectra of hydrogenated Si surface in contact with, 141-144 Electron paramagnetic resonance (EPR), 45 Electron spectroscopies, 27-29 Electropolishing, competition with hydrogenation, 188-196 Ellipsometry, 30-31, 68 EPR, see Electron paramagnetic resonance E R D , see Elastic recoil detection Etch rate, role for surface state formation, 174-178
INDEX chemical deposition of, 14 deposition methods, 98-103 electrical characteristics of, 83-89, 108-113 hyperthermal methods, 12-14, 98-103 mechanistic aspects, 90-98 physicochemical characteristics of, 90-98, 114-118 preparation methods, 82-83 Si-based microelectronic devices, 1-122 silicon oxide, 51-81 silicon oxynitride, 82-104 thermal growth of, 9-11 thinning of, 24 ultrathin films, 1-122 Germanium (Ge) electrochemical passivation of surfaces, 135-259 Giant isotope effect, 45-47 Growth techniques, 71-81 CVD, see Chemical vapor deposition linear-parabolic growth law, 64 mechanisms of, 71-81 see also specific materials, processes
HEIS, see High-energy ion scattering HF solutions, diluted, electrochemical hydrogenation in, 160-168 High-energy ion scattering (HEIS), 34 High-k films, 104-121 High-resolution transmission electron microscopy (HRTEM), 114 Hot carrier degradation, 57 Hot electrons, 46 Hydrogen-related issues, 15, 50-51 Hyperthermal methods, 12-15, 98-103
Fourier transform infrared spectroscopy (FTIR) attenuated total reflection, 137-159 Si surfaces, 136
Gate dielectrics, 82 alternative materials, 104-121
ICs, see Integrated circuits Infrared (IR) absorption, 137-144 Infrared spectroscopy, 31-33 Integrated circuits (ICs), 3 Ion beam analysis, 36, 100
263
INDEX Ion scattering spectrometry (ISS), 34-40 Isotopic substitution, 73 ISS, see Ion scattering spectrometry
Jet vapor deposition (JVD), 102 Joule effect, 10
Lasers, see specific materials, p r o c e s s e s Leakage current direct-tunneling, 52 LEIS, see Low-energy scattering Linear-parabolic growth law, 65-67 Local reconstruction electronic states at internal surfaces of porous Si, 196-200 origin of surface states and, 178-182 Low-energy scattering (LEIS), 34 Low-pressure chemical vapor deposition (LPCVD), 16 Low thermal budget processing, anodic oxides, 225-226 LPCVD, see Low-pressure chemical vapor deposition
MOCVD, see Metalorganic chemical vapor deposition Monohydride species, 144 Moore's law, 4 MOSFET, see Metal-oxide-semiconductor field effect transistor MSI, see Medium-scale integration Multiple internal reflectance (MIR), 32 Multiple internal reflection (MIR)-ATR crystal, 138
Narrow nuclear resonance profiling (NNRP), 42 Nitridation, 83, 90-98 Noisy behavior, 55 Nonradiative Auger recombination, 153 Nonradiative bulk recombination, 152-153 Nonradiative recombination processes, 152-153 Nonradiative surface recombination, 152-153 NRA, see Nuclear reaction analysis Nuclear reaction analysis (NRA), 40-44 n-type silicon, dark and illumination, 145-149
M Optics, see specific devices, properties, Medium-energy ion scattering (MEIS), 34, 38, 71 Medium-scale integration (MSI), 4 MEIS, see Medium-energy ion scattering Metal-ferroelectric-semiconductor field effect transistors (MFSFET), 16-21 Metal-oxide-semiconductor field effect transistor (MOSFET), 1, 52 Metalorganic chemical vapor deposition (MOCVD), 16 MFSFET, see Metal-ferroelectric-semiconductor field effect transistors Microelectronic devices, 1-122, see specific types, c o m p o s i t i o n
MIR, see Multiple internal reflectance
methods
Oxide breakdown, 59 Oxide/c-SiGe interface, defect concentration at, 235-239 Oxide composition, 241-246 Oxide layer electronic characterization, 228-230 preparation of, in thick anodic oxides, 226-228 Oxides, formation in alkaline solution, 219-224 Oxidized epi-SiGe samples, morphology of, 239 Oxidized SiGe layers, photoluminescence spectra of, 246-247 Oxynitride growth, 92-98
264
Passivation by electron injection at cathodic potentials, 206-212 by process optimization at anodic potentials, 212-219 enhanced, SiGe, 235-245 steps and trenches, 231-233 PECVD, s e e Plasma-enhanced CVD Percolation model, 59 pH dependence, formation of ultrathin porous Si, 183-188 Photoluminescence spectra, oxidized SiGe layers, 246-247 Photovoltage, pulsed surface, 145-152 Photovoltage amplitude for n-Si, 147-149 simulated during electrochemical treatments, 151 under pulsed laser excitation, 150 Photovoltage transients, 147 during potentiostatic control, 151 Physical vapor deposition (PVD), 14 Physicochemical characteristics, 25-44, 90--98, 114-118 Pill, s e e Plasma immersion ion implantation Planar geometry, 3 Plasma-enahnced chemical vapor deposition (PECVD), 108 Plasma-enhanced CVD (PECVD), 16 Plasma immersion ion implantation (Pill), 14, 100 Polarity dependence, 57 Poling, s e e Switching Polysilicon depletion effects, 62-63 Pulsed laser excitation, one-dimensional, 150 Pulsed photoluminescence, 152-159 measurements during electrochemical processing, 153 Si surfaces, 136 spectrum of c-Si at room temperature, 154 Pulsed surface photovoltage, 145-152 PVD, s e e Physical vapor deposition
Radiative band-to-band recombination, 154 RAIRS, s e e Reflection absorption infrared spectroscopy
INDEX Raman spectroscopy, 31-33 Rapid furnace, 10 Rapid thermal CVD (RTCVD), 16, 108 Rapid thermal processing (RTP), 11-12 Reactive layer model, 77 Recoil spectrometries, 33-39 Reference electrode (RE), 139 Reflection absorption infrared spectroscopy (RAIRS), 32 Reliability, 54-55 RTCVD, s e e Rapid thermal CVD RTP, s e e Rapid thermal processing Rutherford backscattering (RBS), 34, 70 Rutherford backscattering (RBS) spectrometry, 34
Scaling, 4 Scanning probe microscopy (SPM), 25 Secondary ion mass spectroscopy (SIMS), 33 Semiconductor Industry Association's International Technology Roadmap for Semiconductors (SIAITRS), 61 Semiconductor manufacturing, 4, s e e a l s o s p e c i f i c types, m a t e r i a l s , m e t h o d s
Shockley-Read-Hall (SRH) recombination, 152 Si(111) surface, step on right side, 144-146 Si-based microelectronic devices, 1-122, s e e specific types
SIAITRS, s e e Semiconductor Industry Association's International Technology Roadmap for Semiconductors Silicon (Si) electrochemically hydrogenated surfaces, 159-182 electrochemical passivation of surfaces, 135-259 porous, 182-200 electronic states at internal surfaces of, 196-200 ultrathin, pH dependence of formation of, 183-188 surface state formation, role of etch rate, 174-178
265
INDEX surface state origin, local reconstruction and, 178-182 thin anodic oxides on, 200-224 Silicon oxide films, 52-81 electrical characteristics of, 52-64 gate dielectric films, 52-81 limitations concerning, 81 ultrathin, 81 Silicon oxynitride films, 82-104 deposition methods, 98-103 electrical characteristics of, 83-89 gate dielectrics, 82-103 hyperthermal methods, 98-103 limitations concerning, 103-104 mechanistic aspects, 90-98 physicochemical characteristics of, 90-98 preparation methods, 82-83 Silicon wafer cleaning, 7-10 SIMS, see Secondary ion mass sepctroscopy Single internal reflection (SIR)-ATR crystal, 138 SNMS, see Sputtered neutral mass spectrometry Soft breakdown, 55 Spaces program, 43 Spectrometries, see specific types SPM, see Scanning probe microscopy Sputtered neutral mass spectrometry (SNMS), 33 Stochastic theory, 42 Stressing temperature, 55 Structural analysis, see specific methods,
Thermal nitridation, 83, 90-98 Thermal processing, 10 Thick anodic oxides on Si, 224-233 Thin anodic oxides, 141 on Si, 200-224 Time-dependent dielectric breakdown (TDDB), 60 Transition layers, 70 Transmission electron microscopy (TEM), 25
U ULSI, see Ultra-large-scale integrated circuits Ultralarge-scale integration (ULSI), 4, see specific processes, devices, materials
Ultrathin films, 1-122 gate dielectric films, 1-122 hydrogen-related issues, 50 hydrogen and, 44-51 isotopic substitution, 72 physicochemical characterization of, 24-44 Si-based microelectronic devices, 1-122 Ultrathin silicon oxide films, 82 Ultrathin silicon oxynitride films, 103-104
instruments
Surface analysis, 34 Surface photovoltage, Si surfaces, 136, 145-152 Surface roughness, 8 Switching speed of, 3
V Very large scale integration (VLSI), 4, see specific devices, materials, processes
VLSI, see Very large scale integration
W TDDB, see Time-dependent dielectric breakdown TEM, see Transmission electron microscopy Temperature acceleration effect, 55 Temperature dependence deposition and, 115 Thermal annealing, 114-115 Thermal growth, of dielectrics, 10-12
Working electrode (WE), 139
X-ray photoelectron spectroscopy (XPS), 27, 68
This Page Intentionally Left Blank
Recent Volumes In This Series
Maurice H. Francombe and John L. Vossen, Physics of Thin Films, Volume 16, 1992. Maurice H. Francombe and John L. Vossen, Physics of Thin Films, Volume 17, 1993. Maurice H. Francombe and John L. Vossen, Physics of Thin Films, Advances
in Research and Development, Plasma Sources for Thin Film Deposition and Etching, Volume 18, 1994. K. Vedam (guest editor), Physics of Thin Films, Advances in Research and Development, Optical Characterization of Real Surfaces and Films, Volume 19, 1994. Abraham Ulman, Thin Films, Organic Thin Films and Surfaces: Directions for the Nineties, Volume 20, 1995. Maurice H. Francombe and John L. Vossen, Homojunction and Quantum-Well Infrared Detectors, Volume 21, 1995. Stephen Rossnagel and Abraham Ulman, Modeling of Film Deposition for Microelectronic Applications, Volume 22, 1996. Maurice H. Francombe and John L. Vossen, Advances in Research and Development, Volume 23, 1998. Abraham Ulman, Self-Assembled Monolayers of Thiols, Volume 24, 1998. Subject and Author Cumulative Index, Volumes 1-24, 1998. Ronald A. Powell and Stephen Rossnagel, PVD for Microelectronics: Sputter Deposition Applied to Semiconductor Manufacturing, Volume 26, 1998. Jeffrey A. Hopwood, Ionized Physical Vapor Deposition, Volume 27, 2000. Maurice H. Francombe, Frontiers of Thin Film Technology, Volume 28, 2001.
This Page Intentionally Left Blank