Silicon-Germanium Strained Layers and Heterostructures SEMICONDUCTORS AND SEMIMETALS Volume 74
Semiconductors and Semimetals A Treatise
Edited by R.K. Willardson CONSULTING PHYSICIST
12722 EAST 23RD AVENUE SPOKANE, WA 99216-0327
Eicke R. Weber DEPARTMENT OF MATERIALS SCIENCE AND MINERAL ENGINEERING UNIVERSITY OF CALIFORNIA AT BERKELEY
BERKELEY, CA 94720
Silicon-Germanium Strained Layers and Heterostructures SEMICONDUCTORS AND SEMIMETALS Volume 74 S.C. JAIN IMEC
M. WILLANDER Chalmers University
ACADEMIC PRESS An imprint of Elsevier Amsterdam
9 B o s t o n * L o n d o n ~ N e w York ~ O x f o r d ~ Paris
San Diego 9 San Francisco *Singapore 9 S y d n e y 9 T o k y o
Academic Press An imprint of Elsevier Elsevier Inc., 525 B Street, Suite 1900, San Diego, California 92101-4495, USA Elsevier Ltd, The Boulevard, Langford Lane, Kidlington, OXS 1GB, UK 9 2003 Elsevier Inc. All rights reserved. This work is protected under copyright by Elsevier, and the following terms and conditions apply to its use: Photocopying Single photocopies of single chapters may be made for personal use as allowed by national copyright laws. Permission of the Publisher and payment of a fee is required for all other photocopying, including multiple or systematic copying, copying for advertising or promotional purposes, resale, and all forms of document delivery. Special rates are available for educational institutions that wish to make photocopies for non-profit educational classroom use. Permissions may be sought directly from Elsevier's Science & Technology Rights Department in Oxford, UK: phone: (+44) 1865 843830, tax: (+44) 1865 853333, e-mail:
[email protected]. You may also complete your request on-line via the Elsevier homepage (http://www.elsevier.com), by selecting 'Customer Support' and then 'Obtaining Permissions'. In the USA, users may clear permissions and make payments through the Copyright Clearance Center, Inc., 222 Rosewood Drive, Danvers, MA 01923, USA; phone: (+1) (978) 7508400, fax:(+l) (978) 7504744, and in the UK through the Copyright Licensing Agency Rapid Clearance Service (CLARCS), 90 Tottenham Court Road, London W1P 0LP, UK; phone: (+44) 207 631 5555; fax: (+44) 207 631 5500. Other countries may have a local reprographic rights agency for payments. Derivative Works Tables of contents may be reproduced for internal circulation, but pemaission of Elsevier is required for external resale or distribution of such material. Permission of the Publisher is required for all other derivative works, including compilations and translations. Electronic Storage or Usage Permission of the Publisher is required to store or use electronically any material contained in this work, including any chapter or part of a chapter. Except as outlined above, no part of this work may be reproduced, stored in a retrieval system or transmitted in any form or by any means, electronic, mechanical, photocopying, recording or otherwise, without prior written permission of the Publisher. Address permissions requests to: Elsevier's Science & Technology Rights Department, at the phone, fax and e-mail addresses noted above. Notice No responsibility is assumed by the Publisher for any injury and/or damage to persons or property as a matter of products liability, negligence or otherwise, or from any use or operation of any methods, products, instructions or ideas contained in the material herein. Because of rapid advances in the medical sciences, in particular, independent verification of diagnoses and drug dosages should be made. First edition 2003 ISBN: 0-12-752183-6 ISSN: 0080-8784 O The paper used in this publication meets the requirements of ANSI/NISO Z39.48-1992 (Permanence of Paper). Printed in The Netherlands.
Contents xi
Preface to the first edition
xiii
Preface to the second edition Introduction 1.1 Development of SiGe technology . . . . . . . . . . . . . . . . . . 1.1.1 Ea.rly developments . . . . . . . . . . . . . . . . . . . . . 1.1.2 Recent developments . . . . . . . . . . . . . . . . . . . . . 1.2 Commercial p r o d u c t i o n of SiGe devices . . . . . . . . . . . . . . 1.3 L a n d m a r k s in the development of devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1 1 1 1 3 SiGe
Strain, stability, reliability and growth 2.1 Strain and dislocations . . . . . . . . . . . . . . . . . . . . . . . . 2.2 Strain and dislocation energies . . . . . . . . . . . . . . . . . . . 2.2.1 Strain energy . . . . . . . . . . . . . . . . . . . . . . . . . 2.2.2 Energy of periodic arrays of dislocations . . . . . . . . . . 2.2.3 Non-periodic arrays of dislocations . . . . . . . . . . . . . 2.3 Critical thickness . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3.1 Layers with free surfaces . . . . . . . . . . . . . . . . . . . 2.3.2 C a p p e d strained layers . . . . . . . . . . . . . . . . . . . . 2.3.3 Critical thicknesses of superlattices . . . . . . . . . . . . . 2.4 Stability of strained layers . . . . . . . . . . . . . . . . . . . . . . 2.4.1 Large area layers . . . . . . . . . . . . . . . . . . . . . . . 2.4.2 Small area layers . . . . . . . . . . . . . . . . . . . . . . . 2.4.3 Effect of trench isolation on stability . . . . . . . . . . . . 2.4.4 T h e r m a l stability of surfaces of Sil_~Ge~ layers . . . . . . 2.5 Stresses in q u a n t u m structures . . . . . . . . . . . . . . . . . . . 2.5.1 Edge-induced stresses . . . . . . . . . . . . . . . . . . . . 2.5.2 Effect of dimensions . . . . . . . . . . . . . . . . . . . . . 2.6 Poly-SiGe and poly-SiGeC films . . . . . . . . . . . . . . . . . . . 2.7 G r o w t h of strain relaxed layers . . . . . . . . . . . . . . . . . . . 2.7.1 Compositionally graded layers . . . . . . . . . . . . . . . . 2.7.2 Strain relaxation by hydrogen i m p l a n t a t i o n . . . . . . . .
5
9 9 12 12 13 16 17 17 20 21 22 22 24 25 26 29 29 31 33 35 35 36
CONTENTS
vi 2.7.3 2.7.4 Mechanism
G r o w t h on compliant s u b s t r a t e s . . . . . . . . . . . . . . T w o step strain relaxation . . . . . . . . . . . . . . . . . . of strain relaxation
3.1 3.2
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P r o p a g a t i o n of dislocations . . . . . . . . . . . . . . . . . . . . .
3.3
3.2.1 T h e o r y . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2.2 M e a s u r e m e n t s of dislocation velocity . . . . . . . . . . . . Nucleation, multiplication and blocking . . . . . . . . . . . . . . 3.3.1
Nucleation
..........................
3.4
3.3.2 Multiplication . . . . . . . . . . . . . . . . . . . . . . . . . 3.3.3 Blocking . . . . . . . . . . . . . . . . . . . . . . . . . . . . Strain relaxation . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.5
3.4.1 D o d s o n - T s a o t h e o r y and m e a s u r e m e n t s . . . . . . . . . . 3.4.2 Recent studies of strain relaxation . . . . . . . . . . . . . Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Strain, growth, and TED 4.1 4.2
in S i G e C l a y e r s
Introduction .............................. Strain and G r o w t h of Sil_x_yGexC~ layers 4.2.1 Vegard's law . . . . . . . . . . . . . . . . . . . . . . . . . 4.2.2 4.2.3
............
SiGeC layer growth on a thin SiGe buffer . . . . . . . . . Strain d i s t r i b u t i o n in S i G e C / S i layers . . . . . . . . . . .
4.2.4 4.2.5 4.2.6
4.3
4.4
G e l _ y C y / G e layers . . . . . . . . . . . . . . . . . . . . . . Stability of c a r b o n doped layers . . . . . . . . . . . . . . . D e t e r m i n a t i o n of s u b s t i t u t i o n a l c a r b o n concentration by IR and R a m a n m e a s u r e m e n t s . . . . . . . . . . . . . . . . 4.2.7 Effect of Ge on carbon incorporation . . . . . . . . . . . . T h e r m a l diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.3.1 Intrinsic t h e r m a l diffusion of Si, boron and phosphorus in Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.6
41 41 41 41 45 47 47 51 51 54 54 57 58 61 61 62 62 62 63 64 65 67 71 73 73
4.3.2
Diffusion of Ge in strained Si . . . . . . . . . . . . . . . .
75
4.3.3
Diffusion of boron in SiGe . . . . . . . . . . . . . . . . . .
76
4.3.4
Diffusion of Si and C interstitials . . . . . . . . . . . . . .
76
4.3.5
Surface and interface effects . . . . . . . . . . . . . . . . .
78
T E D and its suppression by c a r b o n . . . . . . . . . . . . . . . . . 4.4.1 T E D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
79 79
4.4.2 4.5
37 37
Suppression of T E D by C . . . . . . . . . . . . . . . . . .
82
Modelling of T E D in S i l _ x _ y G e x C y layers . . . . . . . . . . . . . 4.5.1 T r a p p i n g model . . . . . . . . . . . . . . . . . . . . . . . .
84 84
4.5.2
Outdiffusion of carbon . . . . . . . . . . . . . . . . . . . .
86
4.5.3
I m p r o v e d model
88
Conclusion and s u m m a r y
....................... ......................
90
vii
CONTENTS
5
B a n d s t r u c t u r e and related p r o p e r t i e s
91
5.1
91 91
5.2
Effect of strain on b a n d s t r u c t u r e 5.1.1
Splitting of bands
5.1.2
Bandgaps
5.5
5.6
5.8
5.9
92
B a n d offsets . . . . . . . . . . . . . . . . . . . . . . . . . .
93
5.1.4
E x p e r i m e n t a l studies of band offsets . . . . . . . . . . . .
96
Effective Density of States and Fermi energy
...........
98
Cubic SiGe Mloys . . . . . . . . . . . . . . . . . . . . . . .
98
5.2.2
Lightly doped strained layers
98
5.2.3
Combined effect of strain and heavy doping on effective masses and Fermi energy . . . . . . . . . . . . . . . . . .
................
B a n d g a p narrowing due to heavy doping . . . . . . . . . . . . . . Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
99 101 105
5.4.1
Hole and electron mobilities in unstrained S i l _ x G e . alloys 105
5.4.2
Electron mobilities in the strained S i l _ ~ G e . layers . . . .
5.4.3
Hole mobility in strained alloys . . . . . . . . . . . . . . .
111
5.4.4
Interface scattering . . . . . . . . . . . . . . . . . . . . . .
113
107
Electrical properties of SiGeC films . . . . . . . . . . . . . . . . .
116
5.5.1
Polycrystalline films
116
5.5.2
Single crystal films . . . . . . . . . . . . . . . . . . . . . .
.....................
117
Optical properties of unstrained alloys . . . . . . . . . . . . . . .
118
5.6.1
Optical absorption . . . . . . . . . . . . . . . . . . . . . .
118
5.6.2
Luminescence studies of cubic GexSil_~ alloys
5.6.3
O t h e r optical bands in Sil_~Ge~ alloys: plasma and dislocations . . . . . . . . . . . . . . . . . . .
5.6.4 5.6.5 5.7
...........................
5.1.3
5.2.1
5.3 5.4
..................
......................
......
120
Electron hole 123
Electroreflectance and R a m a n spectra of cubic alloys . . . 124 R a m a n scattering in the cubic alloys . . . . . . . . . . . . 124
Optical studies of strained layers
..................
124
5.7.1
Near b a n d edge luminescence . . . . . . . . . . . . . . . .
124
5.7.2
Bandgap ............................
128
5.7.3
Broad luminescence b a n d
129
5.7.4
Electroluminescence from strained layers . . . . . . . . . .
131
5.7.5
Q u a n t u m wells . . . . . . . . . . . . . . . . . . . . . . . .
132
..................
Optical studies of q u a n t u m wires and dots . . . . . . . . . . . . .
134
5.8.1
134
Q u a n t u m wires . . . . . . . . . . . . . . . . . . . . . . . .
5.8.2
PL and P R m e a s u r e m e n t s of GeSi Q W R s
5.8.3
Q u a n t u m dots
.........
........................
137 138
Superlattices (SLs) . . . . . . . . . . . . . . . . . . . . . . . . . .
140
5.9.1
140
B a n d s t r u c t u r e of SLs . . . . . . . . . . . . . . . . . . . .
5.9.2
Mobility in Sim Gen SLs . . . . . . . . . . . . . . . . . . .
142
5.9.3
R a m a n and Luminescence spectra of Sim Gen SLs . . . . .
143
CONTENTS
viii
6
7
H e t e r o s t r u c t u r e Bipolar Transistors
147
6.1 6.2
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.2.1 Ge profiles and layer s t r u c t u r e . . . . . . . . . . . . . . . 6.2.2 Comparison of different designs . . . . . . . . . . . . . . . 6.3 Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.3.1 Selective SiGe growth and epitaxial base . . . . . . . . . . 6.3.2 IBM technology . . . . . . . . . . . . . . . . . . . . . . . . 6.3.3 D B A G / T E M I C technology . . . . . . . . . . . . . . . . . 6.3.4 Hitachi technology . . . . . . . . . . . . . . . . . . . . . . 6.4 DC performance of SiGe H B T s . . . . . . . . . . . . . . . . . . . 6.4.1 Current gain ~ and Early voltage VA . . . . . . . . . . . . 6.4.2 G u m m e l plots . . . . . . . . . . . . . . . . . . . . . . . . . 6.5 AC characteristics of the H B T s . . . . . . . . . . . . . . . . . . . 6.5.1 Cut-off frequency . . . . . . . . . . . . . . . . . . . . . . . 6.5.2 M a x i m u m oscillation frequency fm~x . . . . . . . . . . . . 6.5.3 G a t e delay in digital circuits . . . . . . . . . . . . . . . . 6.5.4 Parasitic barriers at high current densities . . . . . . . . . 6.6 O p t i m i z a t i o n of B V c E o , fT and fmax . . . . . . . . . . . . . . . 6.6.1 Transistors with high B V c E o and high fT . . . . . . . . . 6.6.2 Simultaneous optimization of fT and fm~x . . . . . . . . . 6.6.3 T h e r m a l resistance . . . . . . . . . . . . . . . . . . . . . . 6.6.4 Yield and reliability . . . . . . . . . . . . . . . . . . . . . 6.6.5 L o w - t e m p e r a t u r e H B T s . . . . . . . . . . . . . . . . . . . 6.7 H B T s with SiGeC base-layers . . . . . . . . . . . . . . . . . . . . 6.7.1 Parasitic barriers and their suppression by C . . . . . . . 6.7.2 SiGeC transistors . . . . . . . . . . . . . . . . . . . . . . . 6.8 Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.8.1 Base design for low noise . . . . . . . . . . . . . . . . . . 6.8.2 Low frequency noise . . . . . . . . . . . . . . . . . . . . . 6.9 Circuit applications . . . . . . . . . . . . . . . . . . . . . . . . . . 6.10 S u m m a r y . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
147 149 149 153 154 154 156 157 159 161 161 163 165 165 165 166 169 169 169 171 173 173 177 178 178 181 183 183 187 188 192
F E T s a n d other devices 7.1 Ge channel MOS transistors . . . . . . . . . . . . . . . . . . . . . 7.2 Strained layer p-channel M O S F E T s . . . . . . . . . . . . . . . . . 7.3 Strained layer n-channel M O S F E T s . . . . . . . . . . . . . . . . 7.3.1 Si q u a n t u m well channel with tensile strain . . . . . . . . 7.3.2 Vertical SiGe n-MOS transistors . . . . . . . . . . . . . . 7.4 Modulation doped Field Effect Transistors . . . . . . . . . . . . . 7.4.1 E n h a n c e d mobility in 2D gas . . . . . . . . . . . . . . . . 7.4.2 Design of M O D F E T s . . . . . . . . . . . . . . . . . . . . . 7.4.3 AC and DC performance of M O D F E T s . . . . . . . . . . 7.4.4 Noise in n - M O D F E T s . . . . . . . . . . . . . . . . . . . . 7.4.5 Circuit performance of M O D F E T s . . . . . . . . . . . . . 7.5 Strained-layer M O S F E T s on insulator . . . . . . . . . . . . . . .
195 195 196 202 202 203 206 206 207 208 209 211 212
CONTENTS 7.5.1 Strained-layer p - M O S F E T s on insulator (strained SOI) 7.5.2 T h i n - b o d y M O S F E T s . . . . . . . . . . . . . . . . . . . . 7.6 High-k gate-insulators . . . . . . . . . . . . . . . . . . . . . . . . 7.7 M O S F E T s containing S i l - ~ _ y G e x C y alloys . . . . . . . . . . . . 7.7.1 Sil_yCy channel n - M O S F E T s . . . . . . . . . . . . . . . . 7.7.2 SiGeC p-channel M O S F E T s . . . . . . . . . . . . . . . . . 7.8 Ultra-shallow junctions . . . . . . . . . . . . . . . . . . . . . . . . 7.8.1 Series resistance . . . . . . . . . . . . . . . . . . . . . . . 7.8.2 High r a m p rates and spike anneals . . . . . . . . . . . . . 7.9 Application of SiGe to ultrashallow junctions . . . . . . . . . . . 7.9.1 Raised source and drain junctions . . . . . . . . . . . . . 7.9.2 Poly-SiGeC gate . . . . . . . . . . . . . . . . . . . . . . . 7.10 Resonant tunnelling diodes . . . . . . . . . . . . . . . . . . . . . 7.10.1 NDC and P V R . . . . . . . . . . . . . . . . . . . . . . . . 7.10.2 Resonant tunnelling of holes in GeSi devices . . . . . . . . 7.10.3 Electron tunnelling in GeSi RTDs . . . . . . . . . . . . . 7.10.4 Recent work . . . . . . . . . . . . . . . . . . . . . . . . . . 7.11 P h o t o d e t e c t o r s , waveguide switch and laser . . . . . . . . . . . . 7.11.1 IR p h o t o d e t e c t o r s . . . . . . . . . . . . . . . . . . . . . . 7.11.2 Optical waveguide switch . . . . . . . . . . . . . . . . . . 7.11.3 SiGe Q W r e s o n a n t - s t a t e t e r a h e r t z laser . . . . . . . . . . 7.11.4 Miscellaneous devices . . . . . . . . . . . . . . . . . . . .
ix . 213 215 215 218 218 218 219 219 222 226 226 228 231 231 232 234 235 236 236 239 240 241
Bibliography
243
Index
281
Contents of Volumes
287
This Page Intentionally Left Blank
P r e f a c e to t h e first e d i t i o n This book gives an account of the recent work on strained layers and Ge~Sil_~ heterostructure devices. Extensive work has been done on strain, dislocations, and mechanical stability of strained layers, and a large number of papers have appeared on these subjects during the last 15 years. This work is treated in detail in Chapters 2 and 3 and should be useful to engineers and material scientists concerned with effects of strain on the mechanical properties of crystalline layers of any material. The effects of strain on band structure, transport, and optical properties treated in Chapters 3 and 4 are more specific to GeSi strained layers. These chapters form the basis for understanding the design and modelling of strained-layer devices as discussed in Chapters 6 through 8. At the time of this writing, GeSi strained layer heterostructure bipolar transistors and optical detectors have shown great promise. One chapter is devoted to each of these two devices. Field effect devices are discussed in Chapter 8. Other devices that have been fabricated using GeSi strained layers are also discussed briefly in Chapter 8. In addition, this chapter contains a discussion of miscellaneous applications of GeSi alloys. The subject matter is treated at a level appropriate for students and researchers in material science, design, and modelling of semiconductor devices. In a monograph of this size, it is not possible to discuss the entire subject in a comprehensive manner. Excellent books and reviews on the MBE and CVD growth techniques have appeared. Growth technology and processes of device fabrication are not included in the book. However, the merits of the different technologies are discussed. Some four thousand papers of high quality have appeared on strained layers and devices in the last fifteen years. I have quoted over four hundred papers in the bibliography that are most relevant for a coherent discussion of the subject. To make the bibliography more useful, titles of the papers have been included. I must express my gratitude to the late Professor Sir K. S. Krishnan, F.R.S., who taught me the techniques of investigation. I have benefitted from interaction and collaboration with such a large number of colleagues that it is impossible to mention them all individually. Interaction and discussions with my graduate students at NPL, IIT and Solid State Physics Laboratory (all at Delhi) have been very stimulating. My several visits to Harwell Laboratory in the U.K. and interaction with Dr. A. B. Lidiard, Dr. A. E. Hughes, Dr. R. Bullough, Dr. A. M. Stoneham and Dr. A. Atkinson have been particularly useful. A considxi
xii
Preface
erable part of this book was written during the time I worked at the Clarendon Laboratory. I am very grateful to Professor R. A. Cowley and Dr. 3. M. Baker for providing me with facilities at the laboratory. I have derived considerable benefit from my stay at IMEC and as a visiting professor of KU Leuven, TU Aachen and TU Delft. I am indebted to Professor R. Van Overstraeten, Professor R. Mertens and Professor P. Balk for providing me with these opportunities. I wish to extend special thanks to Mr. Charles G. Arthur, Vice President and Associate EditoriM Director of Academic Press for his personal support for this project. He and his colleagues always showed the utmost consideration to me. It was due to the skill and efforts of his colleague Mr. Joe O'Toole that the project could be completed in a relatively short time. He deserves my sincere thanks. The help of Ms. Pascha Gerlinger, head of the production department, was invaluable in removing the deficiencies in the typing and structure of the manuscript. I am grateful to Mr. T. J. Gosling for reading and making valuable comments on parts of the manuscript. Finally, I must thank sincerely my wife Sudha for her unfailing support and help during the preparation of this book. S. C. Jain Clarendon Laboratory April 4, 1994
P r e f a c e to t h e s e c o n d edition The first edition of this book "Germanium-Silicon Strained Layers and Heterostructures" was published in 1994, but its writing was completed in early 1993. Since 1993 there has been a steady flow of new ideas, new understanding, new SiGe structures and new devices with enhanced performance. Several breakthroughs have been made in the growth and doping technology of these layers. High performance Heterostructure Bipolar Transistors (HBTs) for both analog and digital circuits have been developed and have gone into commercial production. The performance of the SiGe p-channel Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) has become comparable to that. of the n-channel Si-MOSFET. The second edition of the book describes the recent developments in the SiGe technology and modelling. Doping the SiGe layers with about 1% carbon suppresses undesirable transient enhanced diffusion of boron. Therefore SiGeC layers have acquired great importance. One whole chapter is devoted to the growth and diffusion in SiGeC layers. Effects of carbon on band structure, mobility, and device performance are discussed in other appropriate chapters. The number of papers that have been published recently on SiGe strained layers and devices is very large. We have quoted over 400 papers in the bibliography which are most relevant for a coherent discussion of the subject. To make the bibliography more useful, titles of the papers have been included. Only about 15% of these references are common with the first edition. According to the usage in the current literature, the name of the book has been changed from "Germanium-Silicon Strained Layers and Heterostructures" to "Silicon-Germanium Strained Layers and Heterostructures" The subject matter is treated at a level appropriate for students and senior researchers interested in material science, and in designing and modelling semiconductor devices. The book should be useful to engineers and scientists concerned with effects of strain on the properties of crystalline layers of any material and with the physics of Heterostructures. Almost all properties, mechanical, electrical, and optical, are affected by strain. One of us (SCJ) is grateful to Professor R. Mertens, Director of Materials, Components and Packaging (MCP) Division at IMEC, who introduced him xiii
xiv
Preface to the second edition
to strain and SiGe Heterostructures in 1986. J. Poortmans, R. Mertens and other members of the MCP Division did extensive work on material properties of strained SiGe. SCJ participated in this work. Considerable work on Heterostructures has been done in the group of M. Willander at Gothenburg University/Chalmers University. Some of this work along with the work done at Bell Laboratories and at IBM laid the foundations of the SiGe technology. We have collaborated in the Heterostructure work with many scientists and colleagues at Gothenburg University/Chalmers University of Technology and at IMEC. In our most recent work we have collaborated with Prof. Herman Maes, the then Director of STDI Division at IMEC, and with other members of the STDI Division. We have been benefited by discussions we had with our colleagues. We express our sincere thanks to Ms. Amanda Weaver Manager of Materials Division at Elsevier for her continuous support and help without which it would have been difficult to complete this project. Dr. Lucy Dickinson, publishing editor, did a thorough job of checking the manuscript and made corrections/modifications which improved the manuscript considerably. We are grateful to both Ms. Weaver and Dr. Dickinson for their help. We are also grateful to IMEC librarian Greet Vanhoof and her colleague Karin Schoubben for the excellent work they did in providing us with bibliographies and obtaining papers, books and Conference Proceedings from libraries abroad. Finally, we thank sincerely our wives for their unfailing support and help during the preparation of this book.
S. C. Jain IMEC, Kapeldreef 75 3001 Leuven, Belgium
M. Willander Gothenburg University/Chalmers University of Technology Department of Physics S-41296 Gothenburg, Sweden
Chapter 1
Introduction 1.1 1.1.1
Development of SiGe technology Early
developments
Extensive work was done on Sil_xGex strained layers and devices during 1980s and early 1990s [1]. It was found that the strain induced changes in the band structure can be exploited to design high performance semiconductor devices. Strained layers fabricated by selective area growth can be used for monolithic integration of microwave and optoelectronic devices, for close packing density and for vertical integration for system applications. Methods of growth and characterization were developed during this period. Improvements in the understanding of material parameters were made and several devices were fabricated. Electron mobilities in excess of 173,000 cm 2 V-1 s-1 at 1.5 K were reported. Double Heterostructure Bipolar Transistors (DHBTs, or simply HBTs), optical detectors and many other devices were developed. HBTs with cutoff frequencies of 94 GHz, detectors for optical communication (1.3 to 1.5 #m range) and for defence and space applications (8 to 18 #m range), MOSFETs with high transconductance, high-mobility MODFETs and many new devices were developed. Previously many of these devices were being fabricated using exclusively III-V compound semiconductors. Extensive studies of selectively grown SiGe stripes, SiGe quantum wires and quantum dots were made. Raman shift Aw3 and the shift AE of the heavy hole luminescence band due to strain were measured and used for determination of strain. Most of this work is described in the first edition of this book published in 1994 [1]. 1.1.2
Recent
developments
The progress in SiGe technology has been more rapid after the publication of the first edition of this book [1]. More than 400 papers are cited in the bibliography of the present book. The bibliography includes 21 papers published in 2002 [2][22], 60 published in 2001 [23]-[82], 44 published in 2000 [83]-[126], 34 published
2
CHAPTER 1. INTRODUCTION
in 1999 [127]-[160], 29 published in 1998 [161]-[189], 19 in 1997 [190]-[208], 17 in 1996 [209]-[225], and 12 in 1995 [226]-[237]. The above data do not imply that the number of papers published on SiGe was small in 1995 or in other earlier years. The number of papers quoted from any one year is not related to the total number published in that year. However the data does show that SiGe technology if of great topical importance. Recently significant advances have been made in our understanding of strain. Strain and strain relaxation in SiGe layers and devices have been discussed in Refs. [221, 197, 222, 163, 125]. Stresses in strained low dimensional samples (quantum wires and quantum dots) are highly nonuniform. Jain et al. (see references just cited) have shown that the stresses in low dimensional samples can be determined using the observed value of the Raman shift Aw3 or of the PL shift Aw3. Using the method of Jain et al. and the observed values of Aw3 and AE, the stresses in the low dimensional structures have been determined. In the early days SiGe HBT8 displayed reasonably high fT (unit current gain cutoff frequency) values and impressive performance in digital circuit gate delays [1,279]. At that time the analog circuits required higher voltages (10 V for analog as compared to 3.6 V for the digital circuits). Therefore development of the analog circuits was slow. However analog voltages have now decreased and the BVc~o are now comparable at 3.6 V for both anMog and digital circuits. Extensive work has been done to optimize the HBT for analog applications. HBTs with high values of fT and at the same time low values of intrinsic and extrinsic base resistances have now been designed and fabricated. Optimization of several parameters (low base-resistance, high fT, high fmax, low CB capacitance, and BVcEo ~ 3.6 V) has been done. Very recently record values of fmax -- 180 GHz [22] and of fT : 210 [82] GHz have been obtained. For analog circuits an additional figure of merit is the product of current gain/3 and Early voltage VA. Early effect with low values of the product/3VA is undesirable for the analog circuits. A large value of the product 3VA suppresses changes in the output current when large variation in bias voltage takes place [273]. In Si BJT, VA increases with the base doping NA but at the same time decreases, it is approximately inversely proportional to NA. Therefore the product/3VA can not be increased to high values. In SiGe HBTs both/3 and VA increase with strain induced bandgap reduction AEg. Therefore it is possible to obtain very high values of both fm~x and BVcF_,o, of fmax and fW and of the product /3VA [169, 200, 273]. High yield is necessary for high levels of integration. It is also important for reducing the cost and increasing the range of applications of the SiGe devices. SiGe BiCMOS technology is now as reliable as Si technology [62, 63]. Circuits involving 4000 to 1.8 million SiGe HBTs can be manufactured [176, 199]. Significant advances have also been made in p-channel MOSFETs [101]. The performance of SiGe p-MOSFETs has become as good as that of Si n-MOSFETs. This will have a great impact on CMOS technology. As the devices are scaled to 100 nm and sub-100 nm dimensions, transient enhanced diffusion (TED) of boron becomes a problem [20]. Though investigations of carbon doped layers were made in early and mid 1990s, and it was
1.2. COMMERCIAL P R O D U C T I O N OF SIGE DEVICES
3
Table 1.1: Estimated approximate costs ($/mm 2) of Si, SiGe and III-V circuits. The data are taken from the paper of KSnig and Gruhle [200]. Presumably the costs for the SiGe layers given in the table are for the MBE laboratory technology. The difference in costs of the Si and SiGe BiCMOS ICs is estimated to be very small. Technology Si SiGe GaAs InP
Wafer 0.005 0.005 0.03 0.22
After epitaxy 0.01 0.02 0.2 0.5
After process 0.09 0.12 0.5 1.2
found that carbon suppresses TED [235], its applications to SiGe HBTs and MOSFETs were made only recently. In view of the technical importance of suppressing TED, extensive work has been done on carbon containing SiGe layers. Material parameters of the SiGeC layers have been studied. Equilibrium substitutional solubility of C, C~q, is small and is well known [183]. Stangl et al. [184] have investigated lattice parameters of SiGeC layers with Ge concentration up to 1.8% and carbon concentration up to 2%. A significant negative deviation from the Vegard's law was found. Electrical properties of SiGe are significantly affected by carbon [137]. Shivaram et al. [115] have measured the minority carrier lifetime in p+-Si/p-SiGeC/n-Si/n+-Si diodes. Band-structure of Sil_x_yGexCy quantum wells grown on Si(001) [186] and band offsets of p+Sil_x_yGexCy/p-Si(lO0) [189] have been investigated. By analyzing the optical data it was concluded that carbon decreases the valence band offset by 26 9 1 meV/% carbon. This value is practically the same as the reduction of bandgap of the SiGe layers by carbon [206, 189]. It is concluded that whole of the bandgap reduction is due to the change of the valence band edge, the effect on the conduction band offset is negligible. A whole chapter, chapter 4, is devoted to diffusion in SiGeC layers. Bandstructure of Sil_~_yGexCy layers, their optical and electrical properties and application of carbon to HBTs and FETs are discussed in other appropriate chapters.
1.2
Commercial production of SiGe devices
SiGe HBTs have reached the market place. A review of the commercial applications has been published by Metzger [138]. Table 1.1 shows that the cost of SiGe circuits is only slightly more than that of the Si circuits and considerably lower than the cost of III-V circuits. The market for RF LSIs for microwave applications is increasing rapidly (see Fig. 1.1). Because of the low cost and other advantages, 10% of this i.e. $1.9 billion will be for SiGe ICs for wireless and optical communications. $1 billion will be for mixed signal and digital ICs
4
CHAPTER1.
INTRODUCTION
Figure 1.1: Present communication market for SiGe ICs is $ 30 million. It will rise to $ 459 in 2002 and to $ 1.8 billion in 2005. The total communication market in 2005 will be a staggering $ 1 9 billion [138].
and $ 800 million will be for the analog RFIC market. SiGe will share the market with GaAs for components found in the front-end of the receiver portion. These components are LNAs, IFs, mixers and VCOs [138]. Several companies are manufacturing SiGe HBT-based circuits [138]. IBM have an 8" SiGe BiCMOS line in Burlington Vermont with fW -- 50 GHz, fmax -- 70 GHz, and gate-length of the CMOS process - 0.4 micron. IBM offers SiGe products for RF front-end applications. The devices are designed mainly for receiver components such as LNAs, VCOs, mixers and for discrete HBTs. Their first products are hand-held cellular and GPS. At present 70% of GPS applications are in the automotive applications. Their front end devices and circuits work at 3 V and in the frequency range 800-1900 MHz necessary for GSM, CDMA and PCS. IBM's LNA has a noise figure of 1.2 dB. IBM has also made foundry agreements with customers who can fabricate devices of their own design at the IBM facilities. Commquest, now a subsidiary of IBM, is developing a single chip system for a mother board to make devices such as laptops as wireless devices [138]. Lucent Technologies have started manufacturing SiGe ICs for SONET/SDH applications at 10 Gbps using 0.25 #m SiGe process [138]. So far these components have been the domain exclusively of expensive GaAs technology. In comparison to GaAs, the SiGe devices are smaller and use less current and less power. The process was developed at Bell Labs and the first products are expected to reach the market soon [138]. Temic has a 6" SiGe HBT line at Heilbronn in Germany. Temic technology has changed from MBE-based technology developed originally at Daimler Benz
1.3. L A N D M A R K S I N T H E D E V E L O P M E N T OF SIGE D E V I C E S
5
(DB) to a CVD-based technology using standard commercial CVD equipment [138]. The Heilbronn facility also provides foundry type facilities. Temic has transferred the SiGe expertise to the existing Colorado Si BiCMOS facility in order to set up the SiGe BiCMOS production facility. Infineon in Germany have started manufacturing the first duM band SiGe LNAs and PLLs for mobile communication. NEC in Japan have set up a SiGe line at Yamagata for mass production of SiGe devices. More details of the commercial activities in this field are given in Ref. [138].
1.3
Landmarks devices
in the
development
of SiGe
Semiconductor heterostructure devices rely on the difference in the bandgaps of the two semiconductors used to fabricate the structure. The concept of the heterostructure device is not new: use of semiconductors of different bandgaps in a device was suggested by Shockley in a patent granted to him in 1951 [285]. The concept of a "heterostructure" contained in this patent is purely electrical, i.e., a semiconductor consisting of two zones that have different bandgaps. Ideally speaking, we want to have a piece of semiconductor with no discontinuities of any kind except a gradual or an abrupt change of bandgap. However, a heterostructure of different bandgaps can be constructed only by using two different semiconductors. In the early days of heterostructure devices, the emphasis was on finding a pair of semiconductors with differing bandgaps but with nearly the same lattice constant so that a good epilayer of one semiconductor could be grown on the other. In these lattice-matched heterostructures, the interface is of high quality without defects that can be detrimental to the relevant electrical properties. GaxAll_xAs and GaAs are closely lattice matched for all values of x. The bandgap of AlAs is much bigger than that of GaAs, and difference in bandgaps of GaxAll_~As and GaAs can be adjusted by varying the value of x. Ge is chemically compatible with Si and has a smaller bandgap so that it does offer the possibility of constructing heterostructures based on Si technology. Gnutzmann and Clausecker [253] suggested the possibility of fabricating a quasi-direct bandgap heterostructure using the zone folding effects of a superlattice and mentioned the possibility of using GeSi heterostructures for this purpose. The lattice mismatch between Si and Ge is rather large (4.2%), making it difficult to grow good quality layers of GexSil_~ alloys on a Si substrate. Many attempts to grow good Ge epilayers on Si were made in the late 1960s and early 1970s but device quality layers with good interfaces could not be grown (see review of Jain et el. [299] for references). The present success of strained layer epitaxy and devices is due to an important paper written many years ago by Frank and Van der Merwe [259] predicting that a coherent (or pseudomorphic) epilayer of a crystal can be grown on a substrate of slightly different lattice constant. The concepts of zones of different bandgaps in a device, contained in Shockley's 1951 patent, and of strained layer
6
C H A P T E R 1. I N T R O D U C T I O N
epitaxy, contained in Frank and Van der Merwe's paper [259], were published several decades ahead of their times, for these ideas have been exploited only in recent years. Following the patent on heterostructures granted to Shockley in 1951, Kroemer wrote a paper on the theory and advantages of wide-gap emitters for bipolar transistors [1]. Several experimental papers on wide-gap transistors appeared in the late 1960s and 1970s (see [284] for references). Early attempts did not produce greatly improved transistors mainly because of the limitations of technology. Advances made in the technology of epitaxial growth (liquid phase epitaxy, MBE, MOCVD) were largely responsible for the subsequent success in developing high-performance GaxAll_xAs HBTs and other III-V compound semiconductor heterostructure devices (several excellent reviews on the subject are contained in the book edited by Sze [270]). The two ideas, one of electrical heterostructure contained in Shockley's patent and the other of mechanical heterostructure proposed by Frank and Van der Merwe, can be combined to develop and fabricate GexSil_x heterojunction devices. E. Kasper and his collaborators in Germany [271, 272] combined the concepts of an electrical heterostructure and a strained mechanical heterostructure and produced device-quality GexSil_x/Si heterostructures. Bean et al. [297] investigated extensively the experimental conditions under which good Ge~Sil_~ layers can be grown on Si using MBE. They determined experimentally the critical thickness (discussed in chapter 2) of GezSil_~ epilayers on Si(100) for all values of Ge fraction x. Recently considerable work has been to improve our understanding of strain relaxation and dislocation generation [197]. This work is discussed in details in chapters 2 and 3. Considerable work on cubic (unstrained) SiGe alloys was done in 1950s and 1960s. Some of this early work Sil_xGe~ alloys is very important. Measurements of carrier mobilities in the alloys were made by several groups [1]. These measurements are important for determining the effect of alloy scattering on the mobility. Braunstein et al. [300] made extensive measurements of fundamental optical absorption in the alloys and concluded that the conduction band remains Si-like for x < 0.8 and changes abruptly to become Ge-like at about x = 0.85. Accurate measurements of the lattice constants of the Sil_~Ge~ alloys were made for all values of x [1]. A large part of the modern physics of strained layers is based on the results of these early measurements. People [302] and Van de Walle and Martin [341] calculated the bandgaps and band offsets of the Ge~Si~_~/Si(100) structures. Lang et al. [340] determined experimentally values of bandgaps of the strained layers that agreed with the theoretical results. People et al. [417, 418] were the first to observe a twodimensional hole gas (2DHG) in modulation-doped Ge0.2Si0.8/Si superlattices (SLSs). In these SLSs, Si layers were doped with boron and 2DHG was formed in the Ge0.2Si0.s layers. Jorke and Herzog [419] fabricated the first symmetrically strained Ge0.45Si0.55/Si SLSs grown on a relaxed Ge0.25Si0.75/Si buffer. On doping the middle portion of the Ge0.45Si0.55 layers with a narrow Sb spike, they observed the two-dimensional electron gas (2DEG) in the strained Si layers. More recently important advances have been made in the performance of
1.3. L A N D M A R K S I N T H E D E V E L O P M E N T OF SIGE D E V I C E S
7
HBTs [22] (chapter 6, and MOSFETs [101] and MODFETs [71, 98] (chapter 7. Advances made in resonant tunnelling diodes and other devices are also discussed in chapter 7.
This Page Intentionally Left Blank
Chapter 2
Strain, stability, reliability and growth 2.1
Strain and d i s l o c a t i o n s
There is a 4.2% lattice mismatch between Ge and Si, the lattice constant of Ge is bigger. Vegard's law is a reasonable approximation for the SiGe alloys Sil_~Ge~ alloys [1, 197, 125]. Therefore the lattice constants of the unstrained alloys is given by, a(X)Sil_xGex (1 - x)asi + XaGe. (2.1) -
-
The lattice constant a(x) of the cubic S i l _ , G e , alloy is also bigger than that of Si. The difference between the two lattice constants depends on Ge concentration x. If the epitaxial layer of the alloy is grown on a Si substrate, there is a lattice mismatch between the substrate and the layer. If the layer is sufficiently thin, the growth is coherent and the epilayer is pseudomorphic. The lattice mismatch is accommodated by tetragonal distortion of the epilayer. The epilayer is compressed in the lateral directions and is extended in the vertical direction as shown in Fig. 2.1(a). The lateral dimensions of the layers are large as compared to their thicknesses. The edge induced relaxation (discussed in section 2.4.2) of strain can be neglected for such layers. The strain is homogeneous and is known as the misfit-strain. If the thickness of the layer is large and/or if the lattice mismatch is large, strain energy becomes too large. In this case energy is gained by the introduction of misfit dislocations. Misfit dislocations cause relaxation of strain. A relaxed epilayer containing dislocations is shown in Fig. 2.1(b). The missing plane constituting the dislocation is shown in the 3D view in Fig. 2.1(c). This figure shows both the threading dislocation (TD) and the misfit dislocation (MD). The missing half-plane which gives rise to the MDs and TDs is also shown separately. The mechanism of generation of dislocations is illustrated in Fig. 2.2. Numerous plan-view and cross-section TEM photographs of MDs exist in literature. We show ~ plan view TEM picture of a 50 nm SissGe15 layer grown at 700~ [120]. Since the critical thickness of the
10
CHAPTER 2. STRAIN, STABILITY, RELIABILITY AND GROWTH
Figure 2.1: Cross-section (schematic) of an epilayer of thickness h under biaxial compression, (a) a pseudomorphic layer, (b) a relaxed layer with dislocations, and (c) a 2D view of the structure. The missing half-plane which gives rise to the misfit (MD) and threading (TD) dislocations is also shown separately.
Free surface ~
Epilayer Substrate Free surface
J - - Jpilayer Substrate Figure 2.2: Generation of misfit dislocations (a) by nucleation and expansion of a surface half-loop and (b) by motion of an existing threading dislocation.
2.1. S T R A I N A N D D I S L O C A T I O N S
11
Figure 2.3: Plan view TEM images of Si0.s5Ge0.~5/Si layer (a) as grown and (b) after anneal at 900~ for 330 min. Thickness of the Si0.85Ge0.151ayer, 50 nm, is more than the critical thickness. Therefore intense network of the dislocations is seen in the layer after heat treatment [120].
12
CHAPTER 2. STRAIN, STABILITY, R E L I A B I L I T Y AND G R O W T H
layer of this composition is only about 30 nm [120], some MDs are seen in the as grown layers. The net work of MDs becomes intense after heat treatment. The lattice mismatch is described by the so called misfit parameter fro(x) [125, 297] defined below. fro(X)
-" a(x)
- - asubstrate, asubstrate
(2.2)
where a(x) is the lattice constant of the relaxed cubic Sil_xGex alloy and asubstrate is the lattice constant of the substrate. Combining Eqs. (2.1) and (2.2), we obtain fm(X) - 0.042x, (2.3) for Sil_xGe~/Si layers. For a given value of fro(x), there is a critical thickness h~ up to which the layers remain pseudomorphic. If the layer thickness h exceeds h~ and the layers are in thermal equilibrium, the theory [254] predicts that dislocations will be introduced. Many SiGe devices (e.g. SiGe HBTs) have a thin single crystal Si layer on top of the strained Sil_xGe~ layer. The top Si layer, designated as the cap layer, increases the stability of the strained Six_~Ge~ layer. The onset of dislocations and relaxation of strain in the Sil_~Gex layer are delayed, they occur at larger layer thicknesses (and/or larger Ge concentrations) and at higher temperatures. The dislocation structure in the capped layers is shown schematically in Fig. 2.4. In addition to a layer with free surface, layers with a thin cap, with a very thick cap and with a cap of intermediate thickness are shown. In the layer with thin cap shown in Fig. 2.4(b), missing dislocation planes penetrate the cap and dislocation structure is similar to that of a layer with free surface shown in Fig. 2.4(a). In SiGe HBTs the thickness of the single crystal emitter (which works as a cap) is less than that of the strained SiGe base layer. Therefore the HBT structure corresponds to Fig. 2.4(b). If the cap is very thick as shown in Fig. 2.4(c), the dislocation dipoles are formed. With intermediate thickness of the cap shown in Fig. 2.4(d) both dipoles and singles are formed.
2.2 2.2.1
Strain and dislocation energies Strain
energy
The homogeneous strain energy consists of the energy due to misfit strain, the energy due to average strain of the dislocation arrays and the product of these two terms due to interaction between the two strains. The expression for the total homogeneous strain energy EH is,
E H = Bh where
bl)2 f~ +-. P
l+v B = 2 # 1 _ v-
where h is the layer and v is the Poisson ratio.
(2.4)
(2.5)
2.2.
STRAIN
AND DISLOCATION
13
ENERGIES
Figure 2.4: Dislocation structure (schematic) in relaxed SiGe layers. (a) 60 ~ dislocations in a SiGe layer without cap, (b) in the layer with thin cap, (c) 60 ~ dipoles in a layer with thick cap and (d) mixture of single dislocations and dipoles with cap of intermediate thickness.
2.2.2
Energy of periodic arrays of dislocations
The energy of a single isolated dislocation is given by (see e.g. [323, 197]) E ~ = 4~r(1 - u)
(1 - u cos 2 r In
.
(2.6)
The parameter p~ is introduced to account for the non-elastic part of the core energy of the dislocations 1 and q is the core radius of the dislocation line, usually taken to be equal to b [1, 197]. We now consider two perpendicular arrays of interacting dislocations with a periodic distribution. Their strain field consists of an average homogeneous part and a fluctuating part with average equal to 0. The energy of the arrays contained in a unit area of the layer is given by [197], ~a,','ay = Bh ""~D
--
+ p2 E D s ,
(2.7)
The first term in (2.7) is the energy associated with the average homogeneous strain and the second term is the energy due to the fluctuating part of the strain of dislocations in the arrays. E D s is the energy per unit length of a dislocation line (due to the fluctuating strain). A part of the energy (due to 1Values of pc are not known with any certainty. Different authors have used different values of this parameter [236].
14
CHAPTER 2. STRAIN, STABILITY, RELIABILITY AND GROWTH
average strain caused by the dislocation network) is included as Bh(bl/p) 2 in Eq. (2.7). Therefore Ens < E~, the difference E ~ - EDS is large for small values of p. An expression for EDS is given below, where [276]
;A[a0+al,n(l ) 2~rq
82e-S
8e -s
+ a 21 - -~e - s
]
- a 3 (1 - e-~) 2 - a2 ,
(2.8 /
B is defined in Eq. (2.5), h s = 4~-, (2.9) P and p is the distance between two neighbouring dislocations. EDs is the energy, per unit length, due to the fluctuating field of dislocations. The first term on the right-hand side of Eq. (2.7) gives contribution from the uniform biaxial strain, bl/p, due to the two arrays. Total energy ET of a partially relaxed layer is the sum of the energy EH and
2-E s [1971 p
ET = EH + 2--EDs. P For large p, EDS ,~ E ~ and ET can be written as [197] 2
E~ - EH + - g ~ . P
(2.10)
(2.11)
In Fig. 2.5, calculated values of ET/p of the strained epilayers with fm = 0.0042 (calculated for 60 ~ interacting dislocations using Eq. (2.10)) are plotted as a function of strain relaxation [bl/Pl for 7 different values of the epilayer thickness h [276]. Since elastic constants of III-V, Si and Ge are not very different, the values of energy shown in Fig. 2.5 are also valid for GeSi/Si, InGaAs/GaAs and other epilayers as long as f m = 0.0042. The calculated value of h~ is 236 A for this composition. Each curve shows a minimum, the minimum becoming stronger and moving to larger values of [bl/p[ as h increases. The position of the minimum tends to move to fm as h approaches c~. These results show that for each thickness, there is a definite concentration 1/p~ of dislocations per unit length needed for the epilayer to be stable (the corresponding strain relaxation is [bl/Ps [). These minima in energy give pairs of values of he and [bl/ps I for stable configurations. The values of Ibl/p~ [ at which minima occur for different values of h increase with h, first rapidly and then slowly. For any given thickness, the concentration ]bl/p~] of dislocations is smaller if interactions of dislocations are not properly taken into account and E ~ instead of EDS is used in the energy expressions. However the average concentration of dislocations decreases for a non-periodic distribution. The observed concentrations are always much smaller than the predicted values for a periodic distribution. The discrepancy arises partly due to the non-periodic distribution and partly due to the difficulty in nucleating the dislocations.
15
2.2. S T R A I N A N D D I S L O C A T I O N E N E R G I E S
0.05 ...
Curve
h (]k)
1 2 3 4 5 6 7
236, 260, 283, 307, 354, 472, 708,
0.002
0.003
0.04 -
% :a. 0 . 0 3 -
h~c 1.0 1.1 1.2 1.3 1.5 2.0 3.0
0.02
0.01 0
0.001
.... 0.004 0.005
[bll/P Figure 2.5" Total energy E T / # of the strained epilayers with fm = 0.0042 is plotted as a function of strain relaxation [bl [/p for 7 different values of h [276]. If the layer under consideration has a thick cap-layer on the top, the above expressions for energies are modified. If the cap is sufficiently thick, dislocation dipoles instead of dislocations are formed. A dipole consists of a pair of dislocations, one each at the upper and lower interfaces. Fig. 2.4 shows a schematic representation of a 60 ~ array of dislocations in an uncapped layer and dipoles in a capped layer. Both dislocations of the dipole are of 60 ~ type and a is the same as for the uncapped layers. The dislocations at the lower interface have a Burgers vector b and at the upper interface, a Burgers vector -b. The dipole spacing p is the spacing both in the upper and the lower array of dislocations (see Fig. 2.6). The angle O is the angle between the line joining the two dislocations of a dipole and perpendicular to the interface. For obtaining the total energy EPDcap of the arrays in a capped layer, E n s in Eq. (2.10) is replaced by 15?cap ~DS, EPDcap = Bh bl 2
2 Fcap
(2.12)
The total energy of the capped layer is given by, ~"T~r -- B h
(
fm +
+ p
DS"
(2.13)
The expression for ~cap * " D S is given in Refs. [197, 256] for interacting as well as non-interacting dipoles. The energy of an array of dipoles in a capped layer is
16
CHAPTER 2. STRAIN, STABILITY, RELIABILITY AND GROWTH
.v
r
p
~ ~,, i , , ~ ~ ,
vl
-~,,
,',,
",,
P Figure 2.6: Schematic representation of (a) 60 ~ dislocations in an uncapped layer and (b) 60 ~ dipoles in a capped layer [276].
considerably larger than the energy of the array of dislocations in an uncapped layer. If interactions between the dipoles or between dislocations are neglected, the energy of a dipole in the capped layer is approximately twice as large as the energy of a dislocation in an identical uncapped layer. 2.2.3
Non-periodic
arrays of dislocations
The energy of an array of dislocations is lowest if the distribution is periodic. However dislocations generally nucleate at heterogeneous sources (defects, impurities, ledges etc.) and therefore they are clustered. We therefore consider the energy of the arrays with irregular distribution of dislocations. The energy of interaction Ei(h, p) (per unit length of the dislocation lines) of a pair of dislocations is given by [324],
Ei(h,p) = Abl 2 [ln(4(h/p) 2 + 1)+
+Ab22 [ln(4(h/p) 2 + 1 ) -
4(h/p) 2 (4(h/p) 2 + 3)] (4(h/p)2 + 1) 2
4(h/p) 2 (12(h/p) 2 + 1)] (4(h/~)~ + 1) ~
+Ab32 [ln(4(h/p) 2 + 1 ) ( 1 - v)].
(2.14)
Let the number of dislocations be N + 1 with pi as the inter-dislocation spacing between the i th and (i + 1) th dislocations. The total interaction energy of the
2.3. CRITICAL THICKNESS
17
array is given by [324, 320],
E}""(N,h, {p}) = ~
i--1
EI(h, pi) + ~
i--1
EI(h, pi + Pi+l)
N-2 N-3 "~ ~ El(h, pi -3t-piT1 + piT2) 4- ~ El(h, pi + piT1 + piT2 2v piT3)
i=1
~=1
N-(N-1) + .........
+
~
] EI(h, pi + Pi+l"'" + Pi+(N-1))
9
(2.15)
i--1 To obtain the energy of the two perpendicular arrays per unit area of the layer we must add to the interaction energy given above (1) the self-energy ( 2 / ~ ) E ~ (~ is the average inter-dislocation distance in the array) of the dislocations and (2) the energy of interaction E~• between the two perpendicular arrays. The energy of interaction E~• is given by [324], m
2#h [ vbl 2 E}'.j_ = 7 - -
1 - r,
b32 ] 2 "
(2.16)
It has been assumed in writing the above equation that this energy depends only on the average spacing ~ and not on the details of the distribution of spacings, pi. Fig. 2.7 shows the numerical values of the energy of two orthogonal arrays of periodic and non-periodic interacting dislocations. We can see from this figure that interactions between dislocations farther than the next-near neighbours are important. It is not a good approximation to replace non-periodic arrays with average spacing ~ by periodic arrays with spacing p = ~. This is more clearly demonstrated by curves 3 and 4. The total energy of an epilayer containing non-periodic arrays is obtained by adding together all the contributions discussed above. The energy values calculated in this manner for an epilayer with fm = 0.0042 are plotted in Fig. 2.8. Curve 1 is for the periodic arrays. Curves 2 and 3 are for Gaussian distributions and curve 4 is for a uniform-random distribution. For a given misfit the number of dislocations is smaller if the distributions is irregular. The number is not sensitive to the details of distribution but it does depend on the standard deviation. The number decreases as the standard deviation a increases.
2.3 2.3.1
Critical t h i c k n e s s Layers with free surfaces
To calculate equilibrium critical thickness, the sum of the energies of dislocations and strain is minimized and the spacing between the dislocations is allowed to
18
CHAPTER 2. STRAIN, STABILITY, RELIABILITY AND GROWTH 5.0
4 -
3
3.0,,< =s ~ 2.0-
2
4.0
/
,
/ 1.0
-
0.0
'
I
0
'
I
0.2
'
0.4
0.6
h/g h/p Figure 2.7: Interaction energy of two orthogonal periodic and non-periodic arrays of 90 ~ dislocations. Curves 1, 2 and 3 are for the periodic arrays with p as inter-dislocation spacing. Curve 1 includes interactions between nearest neighbours only, curve 2 includes interactions between nearest and next nearest neighbours and curve 3 includes all interactions. Curve 4 is for 41 non-periodic dislocations. A Gaussian distribution of non-periodic dislocations with spacing ~ - p and standard deviation a = 0.44/9 is assumed for the non-periodic distribution [318]. 0.020
% 0.016
0.012
'
0
I
'
0.002
I
0.004
'
0.006
b/fi, b/p Figure 2.8: Plot of normalized total energy E~""/# vs h/y9 (non-periodic distribution) and ET/# vs h/p (periodic distribution). Curve 1 is for a periodic array, curve 2 is for a Gaussian distribution, and curve 4 is for a uniform-random distribution, both with the same standard deviation a -- 0.44~. Curve 3 is for a Gaussian distribution with a -0.7"~. Thickness h - 254/~ and f m - 0.0042
[3201.
2.3. CRITICAL THICKNESS 104
o<
\
",,,.
r~
03
~10 2 rj
19
:
\
No
\
\
"..~o --h
".~
c,MB-Cal""~ x
O~
]:O hc Bean 1984 x,, N'.. I A/~c Houghton 1989 "*k x~. 1@ hc~cap.Houghton.1989 . N\ ~ . . . . .
101 0.1
1.0
10
Mismatch (%) Figure 2.9: Critical thickness of Sil_xGe~ epilayers grown on Si substrate. Dashed curve gives the MB h~ calculated using Eq. (2.17) and solid curve is the hc,cap for layers with thick caps calculated by Jain [256]. Symbols show the experimental data: open circles are for MBE layers grown at 550~ by Bean [297], filled circles are for layers with thick caps and triangles are for uncapped layers grown at high temperatures by Houghton [255]. go to infinity. According to the equilibrium theory [254] the critical thickness is given by, 52(1 - zJcos 2 fl) In pchc (2.17) hc = 8r fro(1 + u)bl 7" Here b is the Burgers vector, ~ is the angle between the dislocation line and the Burgers vector and is equal to 60 ~ for 60 ~ dislocations, bl - b cos ~, q is the core cut-off parameter and is taken to be equal to b and pc is the core-energy parameter. The above expression for h~ is approximate in that it does not take into account properly the interactions between dislocations. A more rigorous calculation of hc has been made [1]. Correct values of h~ differ only slightly from the values given by Eq. (2.17). For Sil_xGex/Si system Eq. (2.17) reduces to [2551, 0.55 h~ = - - In (10he), (2.18) x where hc is measured in nm. The experimental values of the critical thickness of the SiGe/Si layers are compared with the MB values calculated using Eq. (2.17) in Fig. 2.9. Creation of dislocations requires nucleation and propagation energies (see Fig. 2.2) which are large. At the usual temperatures (~ 550~ at which the layers are grown sufficient energy is not available for the generation of dislocations. Therefore the layers are not in equilibrium. Many of the experimental techniques can not detect a small number of dislocations or a small value of strain relaxation. Experimental values of critical thickness are therefore much
20
CHAPTER 2. STRAIN, STABILITY, RELIABILITY AND GROWTH
larger than the theoretical values. This explains the large discrepancy between Bean's experimental data [297] and theoretical values shown in Fig. 2.9. If layers are grown at higher temperatures, experimental values of critical thickness are found to be smaller [299] than Bean's values shown in Fig. 2.9. 2.3.2
Capped
strained
layers
We have made calculations of the critical thickness hc,cap for a very thick cap (Fig. 2.4(c)) [256]. The calculated equilibrium values of hc,c~p are shown by the solid curve in Fig. 2.9. The equilibrium critical thickness hc,cap is twice as large as that of an uncapped layer at low mismatch and more than four times as large for the layers containing 80% Ge. For higher Ge concentrations the core energy parameters become important and elasticity theory does not give reliable results. The analytical expressions involved in the calculation of hc,cap are complicated. The expressions are given in our original paper [256]. The case of intermediate cap thickness, Fig. 2.4(d), has been treated analytically by Gosling et al. [257]. It is shown in this paper [257] that dislocation interactions [276] are very important in determining whether single dislocations or dipoles are formed. If interactions are neglected, the dipoles are not formed unless the cap thickness is at least 2 orders of magnitude larger than the strained-layer thickness. This result does not agree with the experimental observations. Dipoles have been observed when cap-layer thickness is about twice as large as that of the strained layer [255]. Gosling et al. [257] showed that the discrepancy can be resolved if dislocation interactions are included in the theory. They made detailed calculations for fm = 0.0084. The calculations predicted that dipoles should be formed at cap thicknesses similar to those that were used in the experiments [255]. We now discuss the case of thin caps shown in Fig. 2.4(b). In the IBM design of the SiGe HBTs typical thickness of the base layer is ~ 45 to 95 nm and that of the single crystal emitter (which works as a cap) is about half of the base thickness [169, 199, 126]. The Ge profile is not uniform, it is graded. In the German DB design the base thickness is smaller, 20 to 40 nm. All these cases correspond to Fig. 2.4(b). The following equations [1, 252] can be used to calculate the critical thickness of these layers irrespective of whether the Ge profiles are graded or uniform. heft -- hstrainedlayer -~- hcap~
(2.19)
and Xeff ~ ~
1 --/he~ x(z)dz, J0
/m,eff(Xe.) -- 0.042Xeff,
(2.20) (2.21)
where x(z) is the Ge concentration at depth z measured from the free surface. Eq. (2.17) remains valid for h~ = he,eft and f m = fm,eff(Xeff) also. Equilibrium value of ha,eft can be calculated for any value of fm,eff(x). Note that hc,~ff ~- heft
2.3. C R I T I C A L T H I C K N E S S
21
unless Eq. (2.17) is satisfied by hem and effective misfit parameter /m,eff- Note also that the value of critical thickness thus calculated is the combined thickness of the Sil_xGex alloy and the Si-cap. This is the theoretical equilibrium value. As discussed earlier the experimental value is larger. 2.3.3
Critical
thicknesses
of superlattices
A superlattice is a stack of several periods, each period consisting of two thin strained layers of different semiconductors. The layers are pseudomorphic and have a common in-plane lattice constant. In Ge-Si superlattices, each of the two layers consists of GexSia_~ alloy with two different values of the composition x. Let the lattice constants of the two layers (relaxed, without strain) of a period be al and a2 and the thicknesses, dl and d2. The thin layers of the superlattice acquire an in-plane (parallel to the interfaces) lattice constant equal to that of the substrate, designated as all. The strains in the two layers are given by all - al ~1 -- ~ ~ - . all
(2.22)
= all - as
(2.23)
all
In order for the lattice to be in thermodynamic equilibrium, its structure must satisfy two conditions. (1) Each layer of the superlattice must have a thickness less than its own critical thickness, given by hi,
h2 < h c l ( g l ) ,
hc2(~2).
(2.24)
The critical thicknesses he1 and he2 of the layers are somewhat larger than that of a single layer with free surface because these layers are sandwiched between two other layers. (2) The total thickness of the superlattice as a whole (i.e., the sum of thicknesses of all the periods) must be less than the critical thickness h~SL , which is equal to the critical thickness of the alloy with the same average composition as that of the superlattice [299, 302]. The critical thickness h SL of the superlattice as a whole can now be calculated using Eq. (2.17) with the misfit parameter f m = fSmL defined by,
_C,fmL
__
a~ - a~. = 0.042xa~,
(2.25)
aav
with xa. given by -Xav --
X l d l + x2d~ . dl + d2
(2.26)
The result of Eq. (2.25) implies that for the purpose of calculation of stability, the superlattice can be regarded as one single layer of GexSia_x alloy with a value xav equal to the average value given by Eq. (2.26).
22
C H A P T E R 2. S T R A I N , S T A B I L I T Y , R E L I A B I L I T Y A N D G R O W T H
The condition of minimum energy in a period consisting of these two layers therefore requires [1, and references given therein] eldl - -e2d2.
(2.27)
It can be easily shown that this condition leads to all = aav. If we now use a substrate with a lattice constant aav, the in-plane lattice constant continues to be equal to aav and the condition of minimum energy is satisfied. The superlattice fabricated on a buffer layer whose lattice constant is equal to a~v is known as a symmetrically strained superlattice. The second critical layer thickness of such a superlattice becomes infinite (see [99] for a recent review of symmetrically strained superlattices). Symmetrically strained lattices can be grown to very large thicknesses without generation of strain-relieving defects, provided the thickness of each layer is less than its critical layer thickness.
2.4 2.4.1
Stability of strained layers Large area layers
Stiffier et al. [252] studied extensively the stability of SiGe strained layers capped with thin Si layers grown by UHV/CVD. Different Ge profile shapes (box, triangle and trapezoid) were investigated. Samples with average Ge concentrations up to 20% were studied. Samples were examined for the presence of misfit dislocations after a 950~ anneal for 30 min. Values of effective layer thickness are plotted as a function of effective maximum strain in Fig. 2.10. These thicknesses are he,el or hmax up to which the layers will remain free of defects on thermal treatment. The MB critical thickness given by Eq. (2.18) is also shown in the figure. The effective maximum strain is the actual average strain only if the layers are pseudomorphic. The strain in the relaxed layers shown by the filled symbols is smaller. To avoid confusion the words "maximum effective strain" instead of the words "effective strain" are used. Stiffier et al. [252] found that the layers remained pseudomorphic if heft <_ 1.65hc. The relation h~,ef - hmax - 1.65h~ versus maximum effective strain is shown by the dashed curve. The layer is stable against the introduction of misfit dislocations if the effective thickness versus maximum effective strain point for the layer lies on the left of the dashed curve. More recently Schonenberg et al. [199] have investigated the stability of both laterally large and small SiGe strained layers. In this case also the layers were grown by UHV/CVD and were annealed at 950~ for 30 min. Their data points for large layers (blanket wafers) are shown by the three circles in Fig. 2.10. The values of maximum effective strain in the three cases are 0.136, 0.220, and 0.321%. The thickness of the SiGe layer is 95 nm and that of the Si cap layer is 25 nm which gives a value of 120 nm for the effective thickness in each case. According to Stiffier's criterion, the second sample with 0.220 strain should have remained stable but was relaxed (see however Ref. [150]).
2.4. S T A B I L I T Y OF S T R A I N E D L A Y E R S
500
!
23
!
Metastable
375 Relaxed (defects) r~
250
~ 125 000
0
0.0025 0.005 0.0075 0.01 Maximum effective strain
Figure 2.10: Solid curve is the MB critical thickness and dashed curve is the Stiffler's stability curve (ha,eft or hmax curve) for the IBM technology [169, 252]. The squares show the maximum effective strain and the effective thickness for the IMEC technology [126]. Circles are the data points also for IBM technology, Ref. [199]. Triangles are for the CVD layers studied by Ribot [160]. Filled symbols show the relaxed layers with dislocations and the open symbols show the pseudomorphic layers.
Gosling et al. [257] have derived analytical expressions for the energy of singles, dipoles and of the strained layers containing either singles or dipoles. Using their theory it is possible to determine the thickness of the cap beyond which the dipoles are formed. Our recent calculations [124] show that when kinetic effects are included in the theory, only singles should be formed in the SiGe layers with the cap thicknesses studied in Refs. [199, 252]. Caymax and Decoutere [126] and Regolini, Ribot and co-workers [160, 170] have studied the stability of CVD grown SiGe layers. Caymax and Decoutere [126] fabricated two samples. The first was a control sample of 100 nm thickness and had a uniform Ge concentration of 15%. The second was a sample used in IMEC technology [168]. This layer was 60 nm thick with a triangular Ge profile. The peak concentration of Ge in this layer was 15%. The layer was capped with a 20 nm Si layer. The layers were grown selectively in patterned regions with poly-buffered LOCOS isolation. The layers were annealed at 800~ for 2 h and then subjected to RTA at 1070~ for 10 s. The first layer relaxed with a large number of dislocations but the second layer remained free of all defects. The two square symbols in Fig. 2.10 show the data points for these two layers. The effective thickness and maximum effective strain in the three layers studied by Ribot et al. [160] are shown by triangles in this figure. The maximum effective strains in the three layers were 0.20%, 0.26% and 0.35%. After thermal treatment (900 to 1000 ~ for up to 30 min [170]) only one layer with 0.35% strain was found to contain dislocations.
24
C H A P T E R 2. S T R A I N , S T A B I L I T Y , R E L I A B I L I T Y A N D G R O W T H
Figure 2.11: Effect of mesa diameter on the heterogeneous nucleation and concentration of dislocations. The two straight line plots in (a) correspond to two different crystallographic directions of dislocations [249]. Figure (b) shows that a source at F generates a dislocation which traverses the whole large sample including the small shaded area A. If the small area A is not a part of the large area sample (see figure c) the source at F is ineffective in generating the dislocation in A.
2.4.2
S m a l l area layers
If the layers are laterally small (or simply small), generation of dislocations is suppressed. Suppression of plastic flow and generation of misfit dislocations in small layers occurs on two accounts. Firstly, the effective density of (heterogeneous) nucleation centers, known as sources, decreases in the small layers [249, 251]. This effect becomes significant when the lateral dimensions become less than 400 #m. Secondly, edge induced stress (or strain) relaxation becomes significant if layers are sufficiently small [221, 222, 125, 248, 227]. This effect becomes significant when the lateral dimensions are of the same order as the thickness of the layer. We first discuss case 1 i.e. reduction of effective number of sources studied by Fitzgerald et al. [249] in small InGaAs layers. Similar studies have been made in SiGe layers by Nobel et al. [251]. However the work of Fitzgerald et al. [249] is more extensive and their conclusions can be used to interpret experiments on SiGe layers also. Fitzgerald et al. [249] fabricated circular and rectangular mesas on a GaAs substrate. The linear dimensions of the mesas were in the range 67 to 400 #m. Ga~Inl_xAs layers with 1 - x = 0.05, and three values of thicknesses, 3500, 7000 and 82500 A, were grown on the mesas by MBE. To keep the strain small and avoid homogeneous nucleation, small values of 1 - x were chosen. The cathodoluminescence (CL) method was used to determine the concentration of dislocations. The measured concentration of dislocations in a circular mesa is shown in Fig. 2.11(a) for one set of samples. It is seen from Fig. 2.11(a) that the concentration of dislocations decreases dramatically with the decrease in mesa size. The explanation of this decrease is given in Figs. 2.11(b) and 2.11(c). As shown in Fig. 2.11(b), if the small circular layer 'A' is a part of the large area epilayer, the dislocation generated by a source F passes through the small layer. When the small layer is separated from the large area layer (Fig. c), the small area layer is free from the dislocations. As suggested by Fitzgerald et al. [249], the effective density of dislocation sources
25
2.4. S T A B I L I T Y OF S T R A I N E D L A Y E R S
Active area Shallow trench isolation oxide
Collector contact Undoped polysilicon
__1:~:_.::_.::_:.'_:.:_.:"_.:"_.::~ :_.:'.: :.:: :-::. ":. :'..: :.":.: ~: ~: ~: ~: ~
imm
7 nm oxide
~,
Deep trench isolation Polysilicon Oxide Figure 2.12: A typical device cross section prior to the growth of SiGe epitaxial layer [199].
decreases in small area mesas. 2.4.3
Effect of trench
isolation
on stability
Schonenberg et al. [199] studied the stability of small area trench-isolated SiGe layers grown on Si substrate. Samples were prepared on 8-inch patterned Si wafers with deep and shallow trench isolation as shown in Fig. 2.12. The deep trench isolation was filled with low-pressure chemical vapour deposited (LPCVD) silicon oxide and LPCVD polysilicon. The shallow trench was filled with annealed silicon oxide. Structures of three sizes were investigated: a small structure (similar to the IBM HBT [169]) which had an active area of 1.5 pm • 3.5 #m, a large structure with an active area of 37 pm • 180 pm and a blanket wafer with a very large area. The thickness of the SiGe layer was 95 nm and that of the single crystal Si cap layer was 25 nm in each case. Three Ge concentrations were used with graded profiles. The peak Ge concentrations were 5.5%, 9% and 13% and the corresponding strains (designated as effective strain eefr) averaged over the whole thickness of 120 nm were 0.136, 0.220, and 0.321%. The average concentration of Ge in the SiGe layer calculated from the effective values of strain given above are 4.53%, 7.33% and 10.7% respectively. Some blanket wafers were etched to transparency and examined for dislocations in a JEOL 4000FX electron microscope. The results obtained with the blanket wafers have already been discussed in section 2.3.2. The remaining wafers were processed through the deep and shallow trench isolation process steps. One group of samples were stressed by growing additional 47 nm of thermal oxide and the second group was annealed to minimize the stress in the isolation before the growth of SiGe layers. In all stressed samples (both small and large) dislocations were seen in the epilayer after 950~ anneal even with 0% Ge. In contrast no dislocations were seen in the finite area devices (after the same heat treatment) fabricated on the relaxed isolation substrate. The thickness predicted by Stiffier's criterion for the 0.321% effective strain is 82.5 nm. It
26
C H A P T E R 2. STRAIN, S T A B I L I T Y , R E L I A B I L I T Y A N D G R O W T H
Figure 2.13: Schematic cross section of the strained-Si/SiGe heterostructure [56]. increased from 82.5 nm to 120 nm due to small size effect. We can draw the following conclusions from the results of these experiments. 9 If the substrate is not stressed due to trench isolation (i.e. the stress is removed by a suitable anneal), small size increases the effective critical thickness by a factor ~ 1.5 for a lateral size of a few microns. 9 Substrate stress has a profound effect on the stability of the SiGe strained layers. Stresses cau~d by the side-wall oxidation of the deep trenches can reduce the critical thickness by an amount much larger than the enhancement by the small size effect. 9 Stability of the blanket wafers can not be extrapolated to the stability of the SiGe layer in an actual functional device. Even if the blanket layer is unstable during the 950~ anneal, it may remain stable in the device due to small size effect. On the other hand if the substrate is stressed due to isolation or for other reasons, the instability caused by the substrate stresses may dominate. In this case even if the layers are stable in the blanket wafers, defects may be generated during the processing steps. 2.4.4
Thermal
stability
of surfaces
of Sil_~Gex layers
Sugii et al. [56] also measured strain relaxation and surface roughening during the heat treatment of a GeSi heterostructure. The cross section of the sample used by Sugii et al. [56] is shown in Fig. 2.13. The layers were deposited by low pressure CVD (LPCVD). Before growing the top 25 nm Si strained layer, the surface of the Si0.TGe0.3 was treated by chemical-mechanical polishing (CMP) to remove surface roughness and was chemically etched. The samples were heated
27
2.4. S T A B I L I T Y OF S T R M N E D L A Y E R S
4000
--,
I
I
i
i
490
500
510
520
.......
3000
0
2000
N
1000
0 480
530
Raman shift (cm "l)
Figure 2.14: Raman spectra (Si-Si frequency) of the sample (shown in Fig. 2.13) heated at 850~ is shown [56].
for one hour at 850, 900, 950, 1000, and 1100~ respectively. Strain relaxation was determined by monitoring the Si-Si Raman frequency in strained Si as well as in relaxed Si0.TGe0.3 annealed at different temperatures. Typical Raman spectra of a sample annealed at 850~ is shown in Fig. 2.14. The peak in strained Si is at 512 cm -1 and in relaxed Si0.TGeo.3 it is at 500 cm -1. The frequencies did not change on annealing up to 900~ On annealing at higher temperatures the frequency in relaxed Si0.TGe0.3 showed a blue shift whereas the frequency in strained Si showed a downward trend. This is caused by the diffusion of Ge from the Si0.TGe0.3 layer into the strained Si layer. This was confirmed by SIMS measurements. The effect of heat treatment on the surface roughness was investigated by AFM. AFM images of the as-grown sample and samples annealed at different temperatures are shown in Fig. 2.15. The scan was along the (100} direction and the scanning area was 20 #m square. Fig. 2.15 shows crossing hatching along the (110} directions in all the samples. Root-mean-square (rms) roughness is about 0.65 nm in the as grown sample. It increases with the temperature of annealing and attains a maximum of about 1.1 nm at 1000~ and then starts decreasing. Slip lines are also observed as shown by arrows in Fig. 2.15. The increase in roughness was attributed to the formation of dislocation lines associated with the appearance of slip lines. At higher temperatures strain is reduced by the diffusion of Ge and the surface becomes more stable. Since strain in Si remains constant by heat treatment up to 950~ for one hour or less, the thermal budget for this kind of structure should not be more
28
CHAPTER 2. STRAIN, STABILITY, RELIABILITY AND GROWTH
Figure 2.15: AFM images of as-grown sample and samples heat treated at different temperatures [56].
2.5. STRESSES IN Q U A N T U M S T R U C T U R E S
29
than the equivalent of anneal for 1 h for device fabrication.
2.5 2.5.1
S t r e s s e s in q u a n t u m s t r u c t u r e s Edge-induced
stresses
The outward motion of the edges due to compressive stress relaxes the strain [221, 227]. This is known as the edge-induced relaxation of strain. We show stresses in a large area pseudomorphic GeSi layer grown on a Si substrate in Fig. 2.16(a). The stresses in the substrate are also shown. The edges of the
Figure 2.16: Figs. (a) and (b) show that edge-induced stresses are important in narrow stripes grown on a substrate but not in large area layers. T h r ~ mechanisms of edge induced stresses are shown in the other figures: (c) a pseudomorphic stripe pqrs before the edge induced relaxation takes place, (d) mechanism 1, (e) mechanism 2, and (f) mechanism 3. Dashed lines show the distortion caused by the stresses induced by the edges of the stripe. layer move out and compressive stress is reduced near the edges. The stresses in the substrate are also modified under the edges. These so called edge induced stresses (or edge-induced stress relaxation) are confined to narrow regions in the large layers. Most of the layer is free from these changes in stresses. Fig. 2.16(b) shows that the effect of edge induced stresses engulfs the whole volume of the stripe. The stress induced under the stripe in the substrate is also considerable. The stress in the stripe is highly non-uniform. A quantum wire is essentially a stripe with small area of cross-section. In LOCOS structures the stripe material is nitride deposited on a Si substrate. In strained layer devices and quantum wires the stripe material is InGaAs deposited on a GaAs substrate or GeSi stripe
30
CHAPTER 2. STRAIN, STABILITY, R E L I A B I L I T Y AND G R O W T H
Figure 2.17: Schematic diagram of the samples. ((~): stripe, l~ - l, (~): stripe, l~ >> l and (7): mesa, r~ >> r. Origin of x is not always at the edge of the stripe as shown in this figure. deposited on a Si substrate. Stress or stress relaxation is induced near the edges by three mechanisms shown in the lower two panels of Fig. 2.16. Fig. 2.16(c) shows the stripe/substrate (S-S) structure before the edge-induced relaxation of stress in the stripe pqrs takes place. In mechanism 1 shown in Fig. 2.16(d) the edges of the stripe move outwards. They also drag the substrate planes outwards, inducing stress in the substrate as shown. No vertical displacement takes place. Fig. 2.16(e) illustrates the second mechanism. In this mechanism the edges also move out but they do not drag the substrate planes. In this case the displacement in the top part of the edges is large but in the bottom part (in contact with the substrate) it is zero. In the third mechanism shown in Fig. 2.16(f) the horizontal planes become curved, making the stripe convex upwards. Actual edge-induced relaxation takes place by the superposition of the three mechanisms [192]. We have analyzed all existing analytical models of stress calculation and we have shown that analytical models are not capable of calculating stress distribution in S-S or other low dimensional structures [221, 223] taking all the three mechanisms into account. Though the stripes shown in the figure are under compression, similar arguments can be used for stripes under tension. In that case all edge-induced displacements and changes in stresses will change sign. Stresses in the S-S structures depend on the elastic constants, geometry and dimensions of the stripe and the substrate [192]. For small Ge content, the elastic constants of the stripe and substrate may be taken to be equal to a good approximation. Different geometries used for fabricating stripes, quantum wires and quantum dots are shown in Fig. 2.17. Following Jain et al. [192, 223], we use the notation (l,h) to denote a stripe of thickness h and width 21 as shown in Fig. 2.17(a) and Fig. 2.17(/~). A circular mesa (representing a quantum dot) of radius r and thickness h is shown in Fig. 2.17(7) and denoted by (r, h). The dimensions of the substrate are indicated by using a subscript 's'. In the structure designated as a structure (Fig. 2.17(c~)) an unstrained stripe is grown below the main strained stripe. The unstrained stripe works as a virtual
2.5. STRESSES IN QUANTUM STRUCTURES
31
(a)
=
1.0
(b)
,
0"6 [z = - O.5h
o 0.6
[3]
~ o 0.3 (40,10) ~ 0.0
0.2
o
-0.2 0
10
x/h
20
0.3 0.6 -6
0
6
12
x/h
Figure 2.18: (a) Stripe stress and (b) substrate stress as a function of x/h for two samples each with the same value of 1/h.
substrate. If its thickness h8 is sufficiently large, the main substrate plays no part in determining the stresses in the strained stripe. If the stripe is grown directly on the main Si substrate, as shown in Fig. 2.17(/3) the structure is known as the ~ structure. A circular mesa grown on a circular substrate is designated as the 7 structure (Fig. 2.17(7)). The stresses are determined uniquely by the ratio of horizontal and vertical dimensions, therefore no units are attached to the dimensions [192].
2.5.2
Effect of d i m e n s i o n s
If substrate dimensions are not much larger than the stripe dimensions, the stresses in the S-S structure depend on all the dimensions i.e. l, h, l~, and h,. In this case Finite Element (FE) calculations of stresses must be made individually for each sample. However if 4, h~ > > l, h, the stresses depend only on the ratio I/h [192]. This is illustrated in Fig. 2.18 where calculated stresses in two stripes and substrates are plotted. Dimensions of the two samples are different but the ratio 1/h is the same in the two cases. The stresses in the two samples are not exactly the same for the two samples. There is some discrepancy near the center of the stripe and in the exposed part of the substrate. More detailed calculations showed that for the (50.5) sample the substrate dimensions were not sufficiently larger than the stripe dimensions. By repeating the calculations with larger dimensions of the substrate the discrepancy disappeared. This result is of great practical importance. If stresses are plotted in terms of normalized coordinates 1/h they can be used for many samples with different values of 1 and h. We have given calculated values of stresses for 13 different values of l/h [192, 163]. The values of stresses for other values of I/h can be obtained by interpolation. Calculated stresses in c~ and ~ structures are compared in Fig. 2.19. Fig. 2.19(a) shows the stresses in the stripes in the c~ structures with different values
32
CHAPTER 2. STRAIN, STABILITY, RELIABILITY AND GROWTH 1.0
(a)
z=h
(b)
a
~0 0.6
~
0.5
~
~o 0.6] / ~ /
z=-0.25h 13 JA.~ r I D~
!
0.2 9
-0.2
~
.
.
. 10
(c)
1.0 =
100
1
l/h
10
-I .
JA
100
l/h
FE
o x/l= 1 2 " x/l=O.1
~ ; 1
10
100
l/h
Figure 2.19: (a) Stripe stresses versus 1/h for a structures at different distances x/l from the edge of the films, (b) same for 13 structures and (c) substrate stresses in 13 structures at different distances from the edge of the stripe. Stresses calculated using an analytical model, designated as JA model, are also shown for comparison in figures (b) and (c). of l/h and at different distances (shown on the curves) from the edge of the stripe. Values of l and h for each value of I/h used in Fig. 2.19(a) and Fig. 2.19(b) are given in Table 2.1. As expected the stress is maximum at the center Table 2.1: Values of 1 and h for samples with values of I/h shown in Fig. 2.19.
l/h
1 2 2* 3 4 1 10 20 10 30 20 h 10 10 5 10 5 * Solid symbols. Other values
5 10 10" 50 10 50 10 1 5 are for the open
20 50 100 20 50 100 1 1 1 symbols.
x -- 1 and decreases rapidly as the edge is approached (Figs. 2.19(a) and 2.19(b)). It becomes negative i.e. compression changes to tension (if the stress is tensile, it changes to compression) for smaller values of 1/h. The range of I/h over which the normalized stress has opposite sign is much larger near the edges. Solid symbols show the results for samples with different values of 1 and h but the same value of I/h as the open symbols on which they lie. The agreement of the open and the solid symbols demonstrates that the stress distribution is a unique function of I/h for the a structures also. Near the edges the stresses in the a and fl structures are quite different. Fig. 2.19(c) shows that the variation of stress with x and with depth z in the substrate is quite considerable. Using the Finite Element (FE) method we have calculated the elastic strain relaxation in small circular layers of radius R and thickness h i.e. in 7 structures. The normalised stress a/ao at the center of the small layers of several different dimensions is shown in Fig. 2.20. (a0 is the stress in the absence of the edgeinduced relaxation i.e. at the center of a large layer.) The thickness of the substrate does not affect the results provided it is larger than 2R. The strain
2.6. POLY-SIGE AND POLY-SIGEC FILMS
33
1.O k..
09 r
0.8 0.6
0.4 t ~ 0.2 o.o i
-0"20.1
i
. . . . . .
I
.
.
.
.
.
.
1
.
.
!
10
.
.
.
.
|...
10 0
R/h Figure 2.20: Normalised stress a/ao is plotted as a function of r/h for the small circular layers.
distribution depends only on the ratio R/h and not on R and h separately. Fig. 2.20 shows that the stresses in the layer are reduced for small values of R/h. If R/h is more than 100, there is no reduction of the stress at the center. The reduction increases rapidly as the value of R/h decreases. At R/h equal to 10, the reduction is about 20%. The stress becomes practically 0 at a value of R/h between 2 and 3. It becomes negative at R/h - 1 i.e. it changes from compressive to tensile. The results at the center of small square layers are practically the same. The situation at the edges is different. The reduction in stresses is large (not shown in the figure), it is large even at R/h = 100. Finally we show the calculated stresses in a SiGe quantum wire, which has been investigated experimentally, in Fig. 2.21. Layer thicknesses of the quantum wire are given on the left side of the figure. The structure of the quantum wire is shown later in Fig. 5.31(a) which shows it is an a structure. This is one quantum wire from the array of wires fabricated by Dietrich et al. [209]. FE calculations showed that interaction between the wires was negligible because of the a structure and because the height of the virtuM substrate was quite large. There was no stress in the main substrate on which all the wires were grown. Note the very large tensile stress in region 11 in Si and very large compressive stress in regions 2 and 3 in SiGe. Measured optical properties of the quantum structures are discussed in chapter 5.
2.6
Poly-SiGe and poly-SiGeC films
Polycrystalline Si has played an important role in Si IC technology. It is used as a gate material for MOS transistors, as emitters in bipolar transistors [311] and in thin film transistors (TFTs) for flat panel displays [122]. Polycrystalline
34
C H A P T E R 2. S T R A I N , S T A B I L I T Y , R E L I A B I L I T Y A N D G R O W T H
Figure 2.21: This figure shows the stress components axx in the quantum wire (shown in Fig. 5.31(a)) calculated by the FE method. Solid vertical and horizontal lines show the outer contour and interfaces before relaxation. The displaced surfaces and interfaces after the relaxation are shown by the dashed lines. The displacements are shown multiplied by a factor 14 for clarity. The dashed line for the lower interface between the GeSi and Si layers is hidden behind the narrow region 11 of high stress and can not be seen.
SiGe (or simply poly-SiGe) has some potential advantages over polycrystalline Si. Poly-SiGe films can be grown at lower temperatures, the work function of these films can be adjusted by varying Ge concentration, and dopant activation can be increased [55, 122]. TFTs with x = 0.16 have been demonstrated [55]. Polycrystalline films are generally grown by solid-phase crystallization of amorphous SiGe films [55, 122]. The grain size, grain orientations, defects, and surface roughness are important characteristics for applications of the films. Grain size and orientation have great influence on the threshold voltage and channel mobility of the TFTs. Rodr{guez et al. [55] have investigated in detail the solid-phase crystallization process and final structure of the polycrystalline Sil_xGex films. Raman spectroscopy, UV reflectance and x-ray diffraction techniques were used to characterize the films. Values of x in the range 0.20-0.38 were used. The crystallization temperature was in the range 525 to 600~ If the films contain low Ge content and/or if they are crystallised at low temperatures, the lateral grain size is much larger than the film thickness. The films are textured in (111) direction. The grains are elliptical and the inter-grain defect density is low. In this regime the nucleation mostly occurs at the top native oxide and SiGe interface. As the Ge concentration increases, nucleation in the bulk of the film also takes place and the size of the grain decreases. The (111) texture changes towards randomly oriented polycrystals. For the highest Ge concentration investigated, bulk nucleation dominates. The grain size decreases
2. 7. G R O W T H OF S T R A I N R E L A X E D L A Y E R S
35
further and no texture in the films is observed. The density of inter-grain defects increases. Yamaguchi et al. [54] made similar investigations of growth of polycrystalline SiGe films using ellipsometric spectroscopy. They also found that the crystalline fraction was large, greater than 0.8 if Ge fraction is less than 30%. For x > 0.8, the fraction of crystalline material decreased to 0.6. The fraction of crystalline material also decreased at higher growth temperatures.
2.7 2.7.1
Growth of strain relaxed layers Compositionally
graded
layers
Strained Sil_~Ge, alloy layers are grown using MBE, CVD and other methods. A comprehensive review of the growth methods is beyond the scope of this book. Some of these methods are also discussed in Ref. [125]. A list of references on the growth methods is given in Refs. [245, 1]. Recently several papers have appeared on the growth of the relaxed Sil_~Ge~ layers. These papers focus on the reduction of number of threading dislocations. These layers are used for the growth of Si layers under tensile strain to obtain high electron mobilities [67, 120, 77]. The work reported in these papers is discussed in this and the following subsections. High mobility of electrons and holes is required for designing and fabricating metal-oxide-semiconductor transistors (MOSTs). Both n-MOST and p MOST are needed in advanced complementary metal-oxide-semiconductor (CMOS) devices. Ge or high Ge content Sil_~Ge, layers on Si are needed for making optoelectronic devices [141]. For obtaining high mobility of electrons in the Si or SiGe layer, the layer must have in-plane tensile strain. This is accomplished by growing pseudomorphic Si or Sil_~Ge~ layers on relaxed Sil_yG% buffer layers. If y > x, Sil_~Ge~ layers grown on the buffer layers are under tensile strain. In view of the importance of the advanced CMOS technology, several groups have done considerable work to obtain Sil_yG% buffer layers with low threading density [67, 76]. Compositionally graded relaxed Sil_yG% layers have been employed as virtual substrates in many other semiconductor heterostructures. Some typical examples are InGaAs/GaAs light emitting diodes (LEDs), InGaP/GaP LEDs with a transparent GaP substrate, and high quality GaAs/Ge/SiGe/Si solar cells [76]. Graded relaxed Sil_yG% buffer layers still have some deficiencies. In these layers Ge concentration is zero or very small near the Si substrate. The concentration increases continuously and monotonically as the buffer layer grows. Misfit dislocations are produced to relax the compressive strain. If the length of the misfit dislocations is small, a large number of threading dislocations (TDs) is produced. The lengths of the misfit dislocations increase by the glide motion of the TDs. Therefore efforts are made to avoid impediments to the propagation of TDs and to keep the nucleation of fresh dislocations to a minimum. Existing dislocations can impede the motion of the TDs [322]. In the best graded layers
36
C H A P T E R 2. S T R A I N , S T A B I L I T Y , R E L I A B I L I T Y A N D G R O W T H
the concentration of the TDs is ,~ 105 cm -2. If thick relaxed layers are grown with uniform Ge concentration, the concentration of TDs is in the range l0 s_ 109 cm -2. The surface of the buffer layers is not atomically smooth due to the cross-hatch pattern related to the pile up of dislocations. A large concentration of TDs and surface roughness adversely affects the performance of the active device grown on the buffer. The other disadvantage is that the thickness of the graded buffers needed to relax the strain without excessive generation of TDs is very large. If there are no impediments to the glide of the TDs, the number of TDs does not depend on the final composition of the layer. The concentration depends on the growth temperature and grading rate [76, and references given therein]. However in practice the concentration of TDs depends on other factors. In addition to the existing dislocations, the combination of surface roughness and strain also traps the TDs. Trapping of dislocations results in the pileup of the TDs. Since TDs do not relieve strain, fresh misfit dislocations are nucleated. Lee et al. [57] fabricated high quality relaxed Sil_xGe~ layers with x = 0.7 in the top layer using UHV-CVD. First graded layers with x = 0 - 0.6 and grading rate 100/#m were grown on Si substrates. These layers had a crosshatch rough surface. Crosshatch roughness is associated with the relaxation of strain and pile of dislocations. The crosshatch roughness can be removed by chemical-mechanical polishing (CMP). CMP also reduces the defect density in the top layer and minimizes the generation of further nucleation of dislocations as the additional layers are deposited [57]. The growth is continued at 750~ to increase x to 0.7. A 60/k Ge layer is then deposited at a low temperature of 400~ This layer is used as the active hole channel in MOS FETs. The low temperature growth avoids ripples on the surface which reduce the hole mobility. Finally a thin Si cap is grown to serve as the interface between the Ge channel and SiO2. The mismatch between Si and Si0.3Ge0.7 buffer layer is ~,, 3%. Again a low growth temperature is used to avoid islanding. The mobility obtained in the Ge channel is high (see chapter 5). Recently Leitz et al. [76] have investigated the generation of TDs and relaxation of strain in Si0.rGe0.3 layers. Substrate offcut affects significantly the concentration of TDs. If epilayers are deposited on on-axis substrate, the TD density varies exponentially with temperature, from 3.7 x 106 cm -2 at 650~ to 9.3 x 104 cm -2 at 900~ If the substrate is an offcut substrate the TD concentration is higher at higher temperatures. The net generation of the dislocations is not purely glide limited and has an activation energy of 1.38 eV.
2.7.2
Strain relaxation by hydrogen implantation
Trinlmus et al. [104] have studied the effect of hydrogen implantation on the strain relaxation in 250 nm thick Si0.ssGe0.15 layers grown on Si substrate. Strain relaxation occurred more efficiently and at lower temperatures. Strain relaxation was complete in 7 min at a temperature as low as 800~ More importantly the threading dislocation density was low, below the limit of detection by TEM. Trinlmus et al. [104] have discussed possible mechanisms which relax
2. 7. G R O W T H
OF STRAIN RELAXED
LAYERS
37
the strain and avoid generation of threading dislocations. 2.7.3
Growth
on compliant
substrates
The idea of compliant substrates was suggested more than 10 years ago and the first compliant substrate was demonstrated experimentally a few years later. If the substrate is compliant, the strain relaxes by deforming the substrate rather than by introduction of misfit dislocations. In principle thin Si on insulator (SOI) is a compliant substrate. At sufficiently high temperatures the viscosity of SiO2 is small and a thin Si layer can share the strain by plastic flow of the oxide. However at the growth temperatures of SiGe (between 400 and 700~ SiO2 is rigid and the performance of SOI as the compliant substrate is not satisfactory. The dislocation density in relaxed SiGe layers grown on such substrates is high. Addition of B2Oa decreases the viscosity of SiO2 and can make it yield at lower temperatures. Luo et al. [73] fabricated improved compliant substrates by synthesising 20% B203 in SOI. The Si layer on top was thinned before the SiGe layer was grown. Raman measurements demonstrated that the compliant substrate shared a part of the strain. In another paper Luo et al. [72] reported that if a Si buffer is grown by MBE at very low temperatures, the Si buffer layer has a tensile strain. The low temperature growth creates a high density of point defects which produce tensile strain in the buffer layer. The strain reduces the mismatch between the buffer and the relaxed SiGe layers and helps in improving the quality of the relaxed SiGe layers. The effect of various growth temperatures and thicknesses of the Si layers on the quality of the SiGe layers was studied. Atomic Force Microscopy (AFM) measurements showed that the roughness of the surface of a 150 nm Si0.sGe0.2 layer was minimum (~ 1 nm) when the Si buffer layer was grown at 400~ The thickness of the buffer layer for minimum roughness was 200 nm. PL and Raman measurements confirmed that the quality of the relaxed SiGe layers grown on a 200 nm 400~ buffer was the best. A Nomarski image of a 500 nm Si0.TGe0.3 layer showed that the threading dislocation density was 1.5 • 105 cm -2. The orientation of the Si substrate plays an important role in the initial stages of growth of SiGe epilayers. To study the effect of substrate orientation Deng et al. [139] deposited Si0.67Ge0.33 and Si0.75Ge0.25 layers by MBE on (110) Si substrate at 650 or 700~ Unlike the growth on (100) Si, the islandic growth was completely suppressed. A high density of ledges oriented along the elastically hard (111> direction was observed. A low density of nanowires oriented along the single in-plane (110> azimuth was also observed. As the layers became thicker, nanowires disappeared and misfit dislocations oriented along the (110) direction were formed. 2.7.4
Two step strain
relaxation
Luan et al. [141] have produced high quality Ge epilayers on Si by the so called two step method. In the first step 30 nm layers were deposited by the hot-wall
38
C H A P T E R 2. S T R A I N , S T A B I L I T Y , R E L I A B I L I T Y A N D G R O W T H
Figure 2.22: (a) Plan-view and (b) cross sectional TEM images of the 600~ annealed sample having the following structure: 5 nm Si cap layer/50 nm Si0.TGe0.3 layer/Si(001) [67].
UHV/CVD method at a base pressure of 3x 10-9 Torr and at 350~ The furnace temperature was then raised to 600~ and #m of Ge layer was deposited in the second step. The layers were subjected to cyclic thermal annealing between a low (100~ and 780~ and high (900~ temperature. Threading dislocation density as low as 2.3 x 107 cm -2 was obtained on large area samples. The dislocation density in small area samples deposited by selective growth was 2.3 x 106 cm -2. Small mesas of Ge on Si were grown with no dislocations. Sakai et al. [67] have used a new two step strain relaxation method to obtain strain relaxed Sil_yGey buffer layers. First a 50 to 100 nm Si0.TGe0.3 layer is grown on a Si substrate at 400~ by MBE. Due to the low temperature of growth, strain relaxation by introduction of dislocations was not observed. A 5 nm Si cap layer was grown on the Si0.TGe0.3 layer at the same temperature. The sample was annealed for 5 min in the temperature range 600~ to 800~ TEM pictures of the a sample just after annealing are shown in Fig. 2.22. In the plan-view a network of dislocations running in two orthogonal (110} directions are seen. It is seen in the cross-sectional image that the dislocations are at the SiGe/Si interface. The RHEED pattern was streaky which is characteristic of a flat morphology. If the cap layer is not used, the RHEED pattern becomes spotty. Atomic Force Microscopy showed that the surface roughness was considerably reduced by the cap layer. Large relaxation of strain took place after the second layer of Si0.TGe0.3 was grown on the Si cap. Fig. 2.23 shows the TEM cross section images of the sample [hi after the second layer growth. This figure
39
2. 7. G R O W T H OF S T R A I N R E L A X E D L A Y E R S
(a)
100 nm
Si sub.
(b)
Figure 2.23: Cross sectional TEM imuges of samples after the growth of a 200 nm second SiGe layer (a) with and (b) without a Si cap layer [67].
SiGe(004)
'
'
i
~
Si(004)
F!1---3
I______~~after 2ndSiGelayergro~lth I![
I
q~ c
r
ibef0-r-ea-n~ 65
66
67
68
2 e [degree]
69
70
Figure 2.24: XRD pe~k profiles for SiGe(004) und Si(004) reflections in samples before and after annealing at 600~ and a sample after second SiGe growth. These samples had a 50 nm thick Si0.TGe0.3 layer and 5 nm thick Si cap layer. The thickness of the second layer was 200 nm. The dotted line indicates the ideal peak position for a 100% strain relaxed Si0.TGe0.3 layer [67].
40
CHAPTER 2. STRAIN, STABILITY, RELIABILITY AND GROWTH
shows that the number of threading dislocations is considerably reduced by using the cap layer. Relaxation of strain was determined to be 92% from the mean dislocation spacing and from tile x-ray diffraction profiles shown in Fig. 2.24. Fig. 2.24 also shows that that the peak due to first layer before annealing coincides with that obtained with completely strained layer. After annealing the first layer (and before depositing the second layer) the peak corresponds to 23% relaxation of strain. The results obtained in this work are important. They show that the use of a thin Si cap layer and two step relaxation of strain gives a high quality relaxed SiGe layer for buffer layers.
Chapter 3
M e c h a n i s m of strain relaxation 3.1
Introduction
Strain relaxes by the introduction of misfit dislocations. To understand the mechanism of strain relaxation it is necessary to understand how dislocations nucleate, propagate and multiply. The energy for homogeneous nucleation is very large. Without the assistance of defects the dislocations can not nucleate even at growth and higher temperatures. The layers free from dislocations can be grown with their thicknesses larger than the critical thickness. Such layers are metastable. When the metastable layers are annealed for longer times at sufficiently high temperatures the misfit dislocations are introduced and the strain relaxes. The concentration of dislocations can increase by nucleation of new dislocations or by multiplication of existing dislocations. Propagation of dislocations is involved in both cases. Motion of dislocations can be blocked by existing dislocations. Propagation, blocking, nucleation and multiplication of dislocations are discussed in the following subsections.
3.2 3.2.1
Propagation
of dislocations
Theory
Excess s t r e s s The dislocation velocity Vd can be represented by an equation of the type [1,321],
vd = ~0(O~x~)~ ~xp
(-E~/kT),
(3.1)
where v0 is a constant, a ~ c is the excess stress and Ev is the energy of activation for the glide motion of the dislocation. Excess stress is the driving force for plastic relaxation of strain. Values of m equal to 1 [321, 1] and 2 [325, 326] in 41
42
C H A P T E R 3. M E C H A N I S M
OF S T R A I N R E L A X A T I O N
Figure 3.1: (a) A small portion of the dislocation A moves to right to form a double kink B, (b) In a thin layer with free surface a single kink is formed. Eq. (3.1) have been used. The excess stress in a layer with free surface can be written as, aexc = a e - a t . (3.2) a~ is the misfit stress and at is the self stress associated with the line tension of the dislocation. The values of these stresses are well known [1]. Substituting these values one obtains the expression for the excess stress, a~x~ = 2 S # e . ~ + l u _ #b cos a(1 - u cos 2/3) In p~h .
1- ~
47rh(1 - -)
(3.3)
b
Here S is the Schmid factor and has a value 1/v/6. The self-energy of the dislocation line in a capped layer (i.e. of a dislocation dipole) increases by a factor ~ 2 [197]. If the layer has a thick Si cap on the top surface at is replaced by 2at in (3.3). a r and the velocity of the dislocation are reduced in the capped layers. The effects of dislocation interactions are neglected in the above expression for the excess stress. When the dislocations are clustered the spatial distribution of stress and dislocation velocities become nonuniform. The observed dislocation velocities in different regions of the same sample differ by a factor up to 3 [1]. Experiments also show that strain in the partially relaxed layers is highly nonuniform [1]. M e c h a n i s m of d i s l o c a t i o n p r o p a g a t i o n Consider the motion of a dislocation line from A to B shown in Fig. 3.1. Dislocations in covalent semiconductors move by generation and motion of double kinks [323, 339], shown in Fig. 3.1. According to this mechanism, the whole dislocation line does not jump one atomic spacing in one step; this would require simultaneous rearrangement of many atoms and would have a very high energy of activation. In the beginning a small portion of the line jumps, forming a double kink shown in Fig. 3.13. If the layer is not capped (i.e., it has a free surface) and is very thin, a single kink is formed, as shown in Fig. 3.lb. The kinks then run along the dislocation line moving an increasingly larger portion of the dislocation line to the new position. In the final configuration, after the kink has traversed the whole length of the dislocation, the dislocation line has moved one atomic spacing away from its original position. The kink model has
3.2. PROPAGATION OF DISLOCATIONS
43
been used to interpret experiments on Ge and Si with great success [323]. Hull et al. [334] have shown that the model can be used for calculating the dislocation velocities in strained layers also. T h e d o u b l e kink m o d e l
We now describe the double kink model formulated by Hirth and Lothe [323] and discussed by Hull et al. [334]. Let 2Fk be the energy required to nucleate an isolated double kink at low applied stresses. In strained epilayers the applied stresses can be very high (a few hundreds of MPa or greater) and at these high stresses 2Fk has to be corrected for the work done by the applied stress. A correction in 2Fk is also required to account for the energy of kink-kink interaction. Let the corrected energy be denoted by 2F~. The correction 2Fk2Fs known as Seeger-Schiller correction [334], is given by
2Fk - 2F~ - ( # ( l + u)aab3dp3) 1/2 2
(1-
'
(3.4)
where dp is the distance between Peierl's valleys and has a value 3.3/~. The average distance Xk between the double kinks is given by [334, 321] Xk=2cexp
~
,
where c is the kink jump distance (3.8 A). The rate of nucleation Jk of the kinks is given by [334]
uDbdpaexc ( Em + 2F~ ) kT exp (3.6) kT where VD is the Debye frequency and Em is the energy of activation for kink jumps along the line direction. The kink velocity vk along the dislocation line Jk =
is given by [334]
Vk :
kT
--~
.
(3.7)
The transverse dislocation velocity Vd is obtained by combining the nucleation and propagation rates of the double kinks [334],
Vd =
2uDcbdp' aezc I' Em + F~ ~ Ld kT exp ~ ) - kT Ld + Xk"
(3.S)
Here Ld is the length of the dislocation line. If Ld >> Xk, Eq. (3.8) reduces to
Vd =
2uDcbdp2a~ exp ( Em + F~ ) kT kT "
(3.9)
On the other hand if Ld << Zk, Eq. (3.8) becomes
Vd =
uDbdv2a~Ld exp ( Em + 2F~ ) kT kT "
(3.10)
44
CHAPTER 3. MECHANISM OF STRAIN RELAXATION
Louchet et al. [339, and references therein] found experimentally that the dislocation mobility increases with its length Ld in Si and Ge up to a certain critical length L0 and becomes independent of Ld for Ld > Lo. Measured values of L0 were 0.2 to 0.4 #m in Si and 1.5 #m in Ge. These results can be explained using the double kink theory of Hirth and Lothe discussed above. However, Louchet and co-workers have refined the double kink model. They performed a statistical analysis of the double kink formation and derived the following expression for the dislocation glide velocity: 1 - (1 + Ld/Xk)exp(--Ld/Xk)
Vd = V~
1 -- exp(--Ld/Xk)
'
(3.11)
where Xk is given by Eq. (3.5) and v~ is the velocity for Ld >> Xk and
vcr = BT~pp~naexcexp(-(2"156 - 0"7x) ) kT
(3.12)
Here BT~,pp~n = 1.15 x 10-3s m2kg -1 and kT is in eV. The Seeger-Schiller correction does not occur in these equations. T h e single k i n k m o d e l
To a first approximation, the activation energy for nucleation of a single kink is Fk instead of 2Fk. Hull et al. [334] have shown that the Seeger-Schiller correction is also reduced by a factor 2 for the single kink model. The nucleation energy for a single kink is therefore given by
Fk~ = Fk - ( #(l + ~')aab3dp3 u)
1/2
(3.13)
In the double kink model the kinks can nucleate anywhere on the dislocation line; in this case the total number of double kinks formed per second is JkLd. In the case of single kinks, the kinks can be formed only up to a depth s~ below the surface [334]. The distance s~ is given by
#(1 + v)bdp ) 1/2
(3.14)
The total number of kinks formed per second is reduced from JkLd (double kink model) to J~ks~/4 [334] in the single kink model where J~k is given by
J~k --
kT
exp
-
kT
'
(3.15)
and in this model the glide velocity Vskd of the dislocations becomes 1
V~kd = -~J~ks~)dp.
(3.16)
45
3.2. P R O P A G A T I O N OF D I S L O C A T I O N S
Numerical calculations show that as the thickness of an uncapped layer increases, the rate of nucleation of double kinks also increases; beyond a certain critical thickness the double kink model becomes more favourable even for the uncapped layer. The thickness at which transition from double kink to single kink nucleation takes place depends on the Ge concentration. 3.2.2
Measurements
of dislocation
velocity
Dislocation velocities in GeSi strained layers have been measured by three groups: Houghton [325, 326], Tuppen and Gibbings [321] and Hull et al. [334]. In all three cases strained GeSi epilayers were grown by MBE at temperatures between 450 ~ and 550~ Immediately after growth, the concentration of misfit dislocations in the layers was small. The layers were virtually pseudomorphic and were strained. The thicknesses and compositions of the epilayers were such that they were metastable. Thicknesses between 0.04 and 3 #m and values of x between 0 and 0.4 were used and both capped and uncapped epilayers were investigated. The layers were annealed at temperatures between the growth temperature and 800 ~ to 900~ Hull et al. [332] annealed the epilayers in situ in a JEOL 2000FX TEM using a Gatan single-tilt heating goniometer. Dislocation motion was observed and videorecorded. The propagation velocity was determined from the videorecordings. Tuppen and Gibbings [321] studied ~1 cm square samples prepared from larger epilayers by scribing and cleaving along (110} directions. The damaged edge regions of these samples act as highly efficient sources of the dislocations. Very high quality epilayers were selected for the experiments so that nucleation at other sites was minimized. During the annealing process, the dislocations originate at the damaged region and propagate toward the center. In many cases a scratch line was also drawn through the center of the layer along a (110) direction using a diamond-tipped scribing tool. This scratch line acts as an additional source of dislocations. The dislocation structure was monitored after successive anneals by etching the samples with Schimmel etch and observing the defects by the Nomarski optical microscopy. Nomarski micrographs reveal an etch pit at the end of each misfit dislocation line due to the threading arm of the dislocation that terminates at the free. surface. Houghton [325, 326] used TEM, Nomarski microscopy and other techniques to reveal the dislocation structure after successive anneals. The double kink model was fitted treating Fk as the fitting parameter. Good agreement between experiment and theory was obtained for Fk -- 1.0 eV. The value of Em is determined by using the relation E m + Fk = 2.2 eV for Si and 1.6 eV for Ge, and by using linear interpolation for alloys. For x - 0.2 (# ~64 GPa), F~ = 0.5 eV and average double kink separation Xk ~ 1 #m at 550~ were calculated. The activation energy is 2F~ + Em ~ 2.2 eV, which gives a value of 1.2 eV for Em. These results show that, for capped layers, experimental values of the energy of activation agree with the predictions of the double kink model. Typical results obtained by Tuppen and Gibbings [321] are shown in Fig. 3.2. The values calculated using Louchet's model [339] are also shown, both for the
46
C H A P T E R 3. M E C H A N I S M OF S T R A I N R E L A X A T I O N
10
o~..~
O
r
0.1
9
1
I
1.04
'
I
'
I
1.08 1.12 IO00/T (K)
'
1.16
Figure 3.2: Dislocation velocity versus 1 / k T for a 0.06 #m Ge0.171Si0.s29 layer with 0.38 #m capping layer. Line (a) shows the values of Vow, line (b) is the value corrected for small length of the dislocation line and solid square symbols show the experimental data. Line (c) represents the calculated values of the velocity if the dislocation is assumed to penetrate the capping layer up to the free surface [321]. large thickness limit and for the actual thickness of the layer used; the agreement with theory is quite good. Tuppen and Gibbing [321] also calculated the velocity in a capped layer, assuming that the dislocation penetrates through the capping layer up to the free surface and a dislocation dipole is not formed at the upper interface. The result of this calculation is shown in line (c) in the figure. The discrepancy between line (c) and experimental results is very large. These results confirm that the cap layer thickness was sufficiently large so that dislocations terminate at the upper interface, forming dislocation dipoles. Experiments with a thin uncapped layer were also performed [334]. The results agreed with the single kink model as expected. Hull and Bean have done extensive work on the measurements of dislocation velocities. Their results for several values of h and different Ge concentrations are shown in Fig. 3.3. The general features of these results are consistent with the double kink model of dislocation propagation. The experimental results of Hull et al. [334] and Tuppen and Gibbings [321] agree approximately with each other. For the cases where similar thickness and Ge concentrations were used, the actual values of the velocities reported by the two groups agree closely [334, see Fig. 14a]. Both Hull et al. and Tuppen and Gibbings find a linear dependence of velocity on excess stress. The values calculated using Louchet's model do not differ by more than a factor 2 from those obtained from the double kink model. The activation energies that fitted well with the data of Tuppen
3.3. NUCLEATION, MULTIPLICATION A N D BLOCKING I 12 [
L t t
,.10
UNCAPPED ."~~--~."~ '
\"~'%"~ "~"<.'~ ~ ~\t.
8
x "
h
Q
CAPPED I
I I" =:o 33.,= ,m I I" x=O.37.43nm I x=o,s. ,oo .ml
B
x
h
x=0.14,120
9 x=0.17,145
~ ~
"%~
"~'~
~
,,
~
" B
=6
I
x=0.18, 69 nm
I ~' x=0.24,60 nm " ] " X=0.30, 35 nm
47
I I
9
nn nn
x=o,, =,,.rn x=0.18. 69 nm ==o.,e,eT.m
9
x=O.33,45nm =. rim
10
i l
Be 9
4 2 7
8
9
10
1/kT [(l/J) x 1019]
11
7
I 8
,
I 9
1/kT [(l/J) • 1019]
Figure 3.3: Measured dislocation velocities in Sil_xGex layers of thickness h; (a) uncapped and (b) capped layers. The figure is constructed from the data taken from Hull 2000 [96]. and Gibbings [321] for thick epilayers can be expressed as (2.2- 0.7x) eV. They are similar to the values obtained by Hull et al. for thick buried layers. The velocities determined by Houghton [325, 326] are about three times higher than those of Hull et al. Houghton finds that the velocity depends on the square of the excess stress. However, Hull et al. [334] have shown that in a small temperature range the linear dependence can be reconciled with the square dependence by changing slightly the energy of activation. The value of the activation energy obtained by Houghton is 2.25 eV for 0.03 < x < 0.25, i.e., independent of Ge fraction x in the layers.
3.3 3.3.1
Nucleation, multiplication and blocking Nucleation
Homogeneous nucleation A large number of papers have been published on the homogeneous nucleation of dislocations in strained layers. Surface nucleation of perfect and partial dislocation half loops and nucleation of the loops at the interface or within the epilayer have been considered. Different dislocation configurations and heterostructure geometries have been discussed. An extensive list of references on nucleation is given in the paper by Perovic and Houghton [335]. The total energy of the loop can be written as [1], Etotal -- Eloop - Estrain ~- Estep Jr G s .
(3.17)
Here Ezoop is the self-energy of the semicircular loop of radius R, Est~ain is the reduction of the homogeneous strain energy due to interaction between the loop and the misfit strain, Estep is the energy of the surface step created or removed
48
CHAPTER 3. MECHANISM OF STRAIN RELAXATION 600
1
/ ~E~
6o0 1
/--,oo,
/
.......
~ -200
-400 -600 0
1oo
200 Radius (A)
300
Figure 3.4: Energies Ezoop, E~t~p, Estrain and Etot,l of a semicircular surface loop in Ge~Sil_~ layer are plotted as a function of its radius R. E,~t is the energy of activation. The figure is constructed from the data of Hull 1989 [331]. by the loop and G s is the entropy of the loop, which takes into account the number of ways the loop can be formed in the epilayer. The radius R = R~ at which the derivative of the energy Etotal with respect to R is zero is given by [11, x/~b(2 - v) 8p~R~ 1- v 28.8r&T(1 - v)] +2---7"v p b 3 ( 2 - v) j " Energy of activation Eact required for the process to occur is the value R = R~ [327, 331, 335]. Em,~ is given by the following equation, Emax = Eact
=
pR~b2(2V) [ln (8PbR~) - 3] 1 6 ( 1 - v)
(3.18)
Emax at (3.19)
Emax decreases as fm increases (its dependence on fm comes through R~). For any given fro, Emax depends strongly on the core parameter p~. Unfortunately the value of the core parameter is not known with any certainty. Calculated values (ignoring the entropy term) [331] of Eloop, E~t~p, E~t,-~n and Etot~Z of a 60 ~ semicircular loop at the surface of an epilayer as a function of its radius R are shown in Fig. 3.4. The values of the Ge fraction and core parameter p~ are 0.3 and 2, respectively. The values of R~ and E~t are also shown in the figure. For homogeneous nucleation the energy of activation is smaller for 90 ~ dislocations [331]. East and R~ for 60 ~ dislocations have been calculated by several authors using different values of the core parameter p~ (see [1]). For fm = 0.02, E ~ t 10 eV for p~ = 8/e 2 ~ 1 and > 100 eV for p~ = 4. It has been suggested that p~ = 1 is a reasonable value only for metals and p~ = 4 is required for
3.3. N U C L E A T I O N , M U L T I P L I C A T I O N A N D B L O C K I N G
49
covalent semiconductors [1]. For pc = 4 the value for the energy of activation is so large that homogeneous nucleation is unlikely to occur for any reasonable values of the misfit parameter. Hull and Bean [331] have suggested that 2 is a more reasonable value of the core parameter. Similar values of the energy of activation have been obtained for InGaAs/GaAs system [249]. Experimental observations [1, 236] suggest that the activation energies for loop formation are much smaller than predicted by the calculations just described. Recently Perovic and Houghton [335] used pc = 0.6 and calculated Rc and Eact for different values of misfit parameter. Their calculations show that Eact becomes 0 at fm = 0.015. According to their results, nucleation is almost barrierless at relatively low misfits. The only energy required is the energy of activation for the propagation of the dislocation loop during its growth. Their experimental results also seem to support the view that the nucleation is barrierless, at least for coherency breakdown, when only a few loops are created in very large-area samples. The small values of the activation energy can be understood only if we assume that nucleation is heterogeneous at low mismatch values. Because of the statistical nature of the composition of the alloy, there are regions of high local concentrations of Ge. In these regions the actual concentration of Ge is considerably higher than its average value. For pc <_ 2 and for average fm >_ 0.02, homogeneous nucleation in these regions becomes possible at a growth temperature of 550~ It is believed that for lower values of fm (< 0.015), dislocations are always introduced by heterogeneous nucleation [332, 236]. Since the activation is a function of strain Gosling et al. [322] assumed that the activation energy varies as 1/le I to interpret experiments. The nucleation rate was assumed to be [322]: Jn,,c = Joe -)~/kTjCI.
(3.20)
Here J0 is a constant pre-exponential frequency factor per unit area and ~/l~l is the activation energy. The equation can be used for both homogeneous and heterogeneous nucleation with different values of )~/1~1. Experiments on strain relaxation were explained satisfactorily using Eq. (3.20).
Heterogeneous n u c l e a t i o n Interfacial defects act as efficient sources of nucleation in GexSil_x/Si strained layers. Tuppen et al. [336] studied strain relaxation on annealing the MBEgrown GexSil_,/Si layers. They observed that dislocation nucleation occurred efficiently at the interfacial defects. The defects were identified as the micronsized oxide particles at the interface. Bunches of dislocations grew at these sites and spread to the neighbouring areas. Perovic and Houghton [335] demonstrated that ~-SiC precipitates at the interface act as very efficient sources of dislocations. Higgs et al. [337] have observed nucleation of dislocations in GexSil_~ strained layers deliberately contaminated with a very small amount
50
C H A P T E R 3. M E C H A N I S M OF S T R A I N R E L A X A T I O N 0.
I
I
I
I
I
I
I
I
_
-
25
fm---O.O1
-
~ 20~1 ~ 15 10 5
2 !
9
~Sro3/b3 Figure 3.5: The activation energy Eact in units of #b 3 plotted against 5r 3 in units of b3. Curve 1 is for 60 ~ loops and curve 2 is for 90 ~ loops. For 60 ~ loops the activation energy is reduced from 200 eV to 2 eV as 5r] changes from 0 to 4b3. For 90 ~ loops the activation energy changes from 70 eV to 2 eV as 5r 3 changes from 0 to 8b3. The figure is taken from U. Jain 1995 [236] of Cu impurity. Hull et al. [332] studied the nucleation of dislocations in boronimplanted 3000 A Si/1400/k Ge0.14Si0.s6 buried epilayers grown on Si(100) at 550~ and after successive 3-minute annealing at higher temperatures. The average spacing of the dislocations (strain relaxation is inversely proportional to this spacing) as a function of anneal temperature was plotted. The spacing was very small in the implanted layers indicating a large relaxation of strain. The number of dislocations generated in the unimplanted layers was small. The paucity of heterogeneous nucleation sites in high-quality epilayers is responsible for the lack of strain relaxation in metastable layers at low Ge concentrations. Uma Jain and collaborators [236] have investigated theoretically the process of heterogeneous nucleation. They considered defects of two shapes, spherical defects and circular platelet shaped defects placed at different locations with respect to the nucleating dislocation loop. The defect causes extra strain field and helps nucleate the dislocation loop. The calculated activation energy for different sizes of defects is plotted in Fig. 3.5. The defect consists of an inclusion of radius r 0 ( l + 5 ) fitted into a hole of radius r. Uma Jain et al. [236] have shown that in most practical cases their theory predicts that the defects make the activation energy for 60 ~ loops smaller than for the 90 ~ loops which agrees with experiments. They also showed that their theory agrees with the experimental results of Perovic and Houghton [335]. In summary, homogeneous nucleation has been studied theoretically by several authors. These authors have obtained widely different results for the activation energy for nucleation. The discrepancy arises because of the uncertainty in the value of the core parameter pc. When statisticM fluctuations in the concentration of Ge are taken into account, Pc = 2 seems to be a reasonable value. There is ample evidence that nucleation is facilitated by the presence of small
3.3. N U C L E A T I O N , M U L T I P L I C A T I O N A N D B L O C K I N G
51
amounts of impurities or other defects. In carefully fabricated epilayers, the defects do not exist in sufficient concentrations for the heterogeneous nucleation of dislocations to take place. Epilayers with small Ge concentration, grown at 550~ remain metastable unless heated to very high temperatures. 3.3.2
Multiplication
Numerous mechanisms of dislocation multiplication in metals and semiconductors have been proposed and discussed. The most well-known mechanism of dislocation multiplication in strained epilayers is the Hagen-Strunk mechanism (e.g., see [250]). In this mechanism, interaction between perpendicular misfit dislocations with the same Burgers vector results in the creation of two free-ended threading segments. These threading dislocations glide to create additional misfit dislocations at the interface. This mechanism requires the assistance of the image force and is effective only when the layer is thin. If multiplication can be avoided when the layer is thin, the mechanism will not be operative at later stages when the thickness of the film has increased. Multiplication of dislocations by this mechanism has been observed in both GeSi strained layers and InGaAs strained layers [197, and references given therein]. Tuppen et al. [321] studied relaxation of strain and creation of dislocations in GeSi strained layers. Initially large number of dislocations produced on closely spaced {111} planes were observed. The local stress in these regions became small and therefore sources became ineffective. Further increase of concentration of dislocations occurred by multiplication. As the annealing continued, relaxation spread across the whole sample. The rate of increase of the number of dislocations by multiplication, Jmult, has been discussed theoretically by several authors. Dodson and Tsao [1] gave a phenomenological models of the multiplication rate. Gosling et al. [322] reviewed several multiplication mechanisms and showed that in general the multiplication rate can be written in the form
1
Jm~tt = ~N~Joe-
A/kT[~1 = _ l ( e - k f m ) 2 Joe -'V/kTlel 4 besJ'
(3.21)
where )r represents an activation energy, and Jo is a frequency factor per unit area [322]. 3.3.3
Blocking
A threading dislocation is one that extends from the surface of a strained layer system, goes through the layer and penetrates the substrate or bends at the interface into a misfit dislocation. Such dislocations can exist because of imperfections in the growth process. They are efficient sources of misfit dislocations. The glide segment of the threading dislocation, which is the segment joining the interface to the free surface, or alternatively, that joining the two interfaces in a capped layer, glides under the influence of the misfit strain in the
52
C H A P T E R 3. M E C H A N I S M OF S T R A I N R E L A X A T I O N
Figure 3.6: Schematic illustration of the motion of a threading dislocation T through a strained layer and deposition of the misfit dislocation dl. The coordinate system used is shown. Also shown is a perpendicular misfit dislocation d2 (shown in white) along the xa-axis, with which the threading dislocation interacts [322].
layer, depositing interracial segments of misfit dislocation as it moves. If the motion is not impeded, the glide segment may leave the layer at a lateral surface, leaving behind an interfaciM misfit dislocation. Dislocation interactions considerably affect the densities of threading and misfit dislocations in strained epilayers [250, 322]. Threading dislocations with Burgers vector of the same sign repel each other. This repulsion interferes with the generation of misfit dislocations by the glide motion of the threading dislocations and results in a high density of threading dislocations [250]. In diamond and zinc-blende structures, two threading 60 ~ dislocations can have attractive interaction and react to form a 90 ~ threading dislocation. This reaction causes a reduction in the density of threading dislocation by 50%. However, the resulting 90 ~ threading dislocations cannot glide to form misfit dislocations. It has been observed in real time [250] that a gliding threading segment is impeded when it crosses a perpendicular misfit dislocation. Gosling et al. [322] have examined theoretically the strength of interaction between a moving threading dislocation and pre-existing misfit dislocations and has shown that under certain conditions the motion of the threading dislocation will be blocked and process of strain relaxation will be impeded. We now discuss the rate at which number of mobile dislocations decrease by blocking [322, 197]. Consider a misfit dislocation dl being deposited by a moving threading dislocation T in a strained layer as illustrated in Fig. 3.6. The free surface of the layer is at x2 - h and the substrate-layer interface is at x2 = 0. The glide plane of the dislocation is inclined at an angle a to the x2-axis. The coordinates of the bottom of the threading dislocation are (Xl, x2) = (x, y). It is depositing a misfit dislocation at a height y above the interface. A misfit
3.3. NUCLEATION, MULTIPLICATION AND BLOCKING
53
- 0.5
0.0 ~'~
I
1
,~11~
- -0.5 20
x/b
Figure 3.7: Surface plot of the driving force G(x, y) on the threading dislocation T in a 110 A thick strained layer (lattice-mismatched by 2% relative to its substrate) as it approaches a perpendicular misfit dislocation d2, with which it interacts. The Burgers vectors of the two dislocations have been chosen so that the interaction is repulsive. dislocation shown running along the x3-axis interacts and impedes the motion of the threading dislocation if the two dislocations have right kind of Burgers vectors [322]. There are four pairs of strain relieving Burgers vectors, only one of which causes significant blocking of the moving dislocation. For a given interaction, the probability that dislocation interaction can impede the motion of the threading dislocation is 1/4. Let the Burgers vector of the perpendicular misfit dislocation be a, defined relative to a line direction chosen positive in the direction of the positive x3axis. The local net force G(x, 0) acting on the threading dislocation, including the interaction with the orthogonal misfit dislocation, is given by the following expression to a very good approximation [322],
G(x,y)
-ES(h-Y)+Pb 2.5#b 2 + 27r(1 - ~) 1-3p
l+v)
i-r,
1 + u In 4
lel(h-y) ~'5 + h 2
[tan-l(y)-tan-l(h)]
}
(3.22)
Here ES(h-y) is the energy E ~ defined in equation (2.6), with h - y substituted for h. The term involving 2.5#b 2 is the force due to the interaction with the perpendicular misfit dislocation. The force G(x, y) calculated for fm = 0.02 and thickness of the layer h = 110 A is shown in Fig. 3.7. It is seen from the figure that there is a saddle-point in the plot. The saddle-point force G* is a minimum with respect to x and a maximum with respect to y. In the case considered, G* > 0 and the threading dislocation will cross over depositing a misfit location at a height near the saddle point. If the G* < 0, blocking will
54
C H A P T E R 3. M E C H A N I S M OF S T R A I N R E L A X A T I O N
occur provided Burgers vectors of the two dislocations are of the right type. If other dislocations are pre~nt in the layer, we replace the mismatch strain - f r o in all equations by the mean strain in the layer, e [1]. The number of dislocations blocked per unit time, Jblock is given by [322]: JUock = --(e + fm)NmVd H ( - G * ( e , h)) beII 4 '
(3.23)
where the Heaviside step function 1
H(x)=
0
ifx>0 otherwise.
If the propagating dislocation interacts with a closely bunched cluster of N misfit dislocations with identical Burgers vectors, the interaction force is multiplied by a factor N [322]. For further details for the method of calculation of blocking the paper of Gosling [322] may be consulted.
3.4 3.4.1
Strain
relaxation
Dodson-Tsao
theory
and
measurements
Theory Dodson and Tsao [338, and references given therein] were the first authors to modify plastic flow theory and make it applicable to the study of strain relaxation in epilayers. Some of the concepts introduced by Dodson and co-workers (see a discussion of this work in [338]) have proved useful. The expression for excess stress aCxc contains the factor e = fm + bl/p. When tile strained layers are annealed, the misfit strain relaxes by the introduction of additional misfit dislocations. During this process, p decreases continuously with time and both p and ar become functions of time t. We rewrite the equation for ar in the following form: 2# 1 + v [fm - r(h) - ~(t)l a~c(t) = v/g 1~- ~ where
-
bl
p(t) = I m -
(3.24)
(3.25)
Equilibrium strain r(h) can be obtained by equating the derivative of the total energy to zero [256]: r(h) = f m + bl/p~ =
1 1-vE~ 2#bl 1 + u h '
(3.26)
where p~ is the equilibrium spacing between the dislocations. The spacing p(t) tends to approach p8 as t ~ oc. The excess stress a~.xc(t) differs from the actual
3.4. S T R A I N R E L A X A T I O N
55
stress in that it allows for the fact that in thermodynamic equilibrium there is a stress and a corresponding strain r(h) - fm + bl/ps present in the epilayer. This stress is the driving force for motion and increase in concentration of the dislocations, and relaxation of strain. The glide velocity of dislocations discussed in the previous section can be written in the form
Vd = B d a ~ ( t ) exp - ~ -
,
where Bd is a constant and U is the energy of activation for glide motion. As discussed in the previous section, U = E ~ + F~ for Ld >> Xk. For smaller values of Ld the activation energy depends on Ld. For interpreting experiments on strain relaxation, U is generally regarded as constant [338]. Let the number of dislocations be N(t). It can be assumed to a good approximation that all dislocations are mobile [256]. The rate of increase of dislocation density by multiplication is given by [338] dN = Nvd5, dt
(3.28)
where 5 is a breeding factor. In the Dodson-Tsao equation, the breeding factor 5 is assumed to be proportional to the effective stress a~c(t) [338],
5 = B'da~c(t ). Remembering that N ( t ) = [256]
(3.29)
1/p(t) and - y ( t ) = - b l / p ( t ) = f m d7 dN de d-'t = - b l dt =-d-'~"
e(t), we obtain (3.30)
Combining Eqs. (3.28), (3.29) and (3.30), we obtain [256]
d__~7= B,dVdaex~(t)(7 + "YO) dt
(3.31)
where % has been added to account for the internal strain associated with the pre-existing defects. It is the background dislocation source and helps in starting the multiplication process. Substituting values of aexc and Vd from Eqs. (3.24) and (3.27) in nq. (3.31) we obtain the Dodson-Tsao equation [256], d7 d--[ =
C1
#2
( f r o --
where C1 -
4BdB'd
2 r(h) - 7) (~/+ 70),
( l + u )2 1
u
exp
U
(3.32)
333,
In their original work Dodson and Tsao solved the above equation numerically. Jain et al. [256] showed that since for a fixed thickness h, r(h) is independent of time, Eq. (3.32) can be integrated analytically. Following the procedure
56
C H A P T E R 3. M E C H A N I S M OF S T R A I N R E L A X A T I O N 0.010
"~ 0.005
0.000 0.00
0.02 fm
0.04
Figure 3.8: Strain in the epilayers as a function of fm for h=500 A. Curve, theory including the interactions; solid symbols: experimental data [297] and straight line: strain in a pseudomorphic layer [276]. of Jain et al. [256] we can obtain a useful relation between t and "y. The relation gives 7 as an implicit function of t. t
___
+
1 [ 70+7 Cl#2(fm - r - 7o) 2 In f m - r - -y
/.~-r+-YO_ln fm-r--V
"m _/m-r+'Y~ fm-r
fm-r
(a.a4) J'
where we have used r for r(h), for convenience. C o m p a r i s o n of D o d s o n t h e o r y w i t h e x p e r i m e n t s Dodson-Tsao [338] theory has been compared with the experiments of Bean et al. [297] by Jain et al. [276]. In Bean's experiments, the epilayers were grown by MBE at 550~ at a growth rate of 5 As -1 [297]. The time for which plastic flow occurs, giving rise to strain relaxation, was assumed to be dominated by a cooldown period of approximately 1000 s. C1 and "y0 were assumed to be adjustable parameters. For larger thicknesses, the growth time becomes significant and cannot be neglected in comparison with the cool-down period [276]. Jain et al. [276] added the growth time to the cool-down period to obtain the value of time t for all thicknesses. The fit of Bean's data with the theory (including interactions [276]) for h = 500 A is shown in Fig. 3.8. The fit of the data for h = 1000 A using the same value of C1 was very good; for h = 2500 A it was also reasonable. The values of 70 used in the three cases are 9.0 • 10 -4, 1.5 • 10 -3 and 1.0 • 10 -3, respectively. Values of 70 are different in the three cases because
3.4. S T R A I N R E L A X A T I O N
57
Table 3.1: Parameters C1 and ~0 for h = 500/~ obtained by fitting the plastic flow theory with interactions (Jain et al. [276]) and earlier theory (Dodson and Tsao [338]) to the experimental data. Dodson [338] Jain et al. [276]
C1~2(s-~)
70
46 14
3 x 10 -5 9 x 10 -4
70 is sensitive to experimental conditions and growth times. A more important result is that when interactions are included correctly [276], the theory can be fitted with experiment with one value of C1 in all the three cases. The values of the constants 70 and C1 obtained with interactions [276] and using approximate theory [338] for h - 500/~ are compared in Table 3.1. The effect of interactions on the values of the parameters is large. C1 is a material parameter and its temperature dependence determines the energy of activation of the glide velocity. Correct values of this parameter are required for predicting values of strain relaxation under different experimental conditions and for determining the stability of the strained layer heterostructure devices.
3.4.2
R e c e n t s t u d i e s of strain r e l a x a t i o n
Gosling et al. [322] have written a comprehensive paper on the effect of annealing and strain relaxation. The total length L of all the misfit dislocations is related to the number Nm of the mobile threading dislocations: dL d'-~ = Nmv,
(3.35)
where v as defined previously is the velocity of dislocations. The number of mobile dislocations increases on heating the metastable strained layers as,
dNm -- --Jblock -~- Jnuc "}- J m u l t , dt
(3.36)
where the rates J have been discussed earlier. Jbzock is the rate at which the mobile threading dislocations are rendered immobile by blocking, and Jnuc JmuU are the rates at which new dislocations are created by nucleation and multiplication respectively. The strain e is given by,
be//L e = - f r o + beI/ = - ] , ~ + ~ p 2A '
(3.37)
where A is the surface area of the device. Finally the rate at which strain relaxes is given by, d_.~ = bcHNmv (3.38) dt 2A " Hull et al. [331] performed a series of experiments on 35 nm Si0.75Ge0.25 layers grown on Si (100). The layers were grown at 550~ at the rate of 0.3 nm/s
58
CHAPTER 10 4
3. M E C H A N I S M I
10 9 ,,
OF STRAIN RELAXATION ,
,
(a)
'
&.-, 1 0 8 -
(b)
9
-
i~ 103
1
O~
O0
_L 600
7130
T (~
8130
900
106500
' 600
I
/00 T (~
800
900
Figure 3.9: Calculated values (open circles)of (a) average dislocation spacing and (b) threading dislocation density N t / A compared with experimental values (filled squares) [331] for 35 nm Si0.75Ge0.25 layers grown at a rate of 0.3 nm/s at 550~ and then annealed successively for 240 s at each of the temperatures for which a data point is shown. The line joining the calculated values is to guide the eye (from Gosling 1994 [322]). and then annealed successively for 240 s at six temperatures between 550~ and 850~ They measured threading dislocation concentration and average spacing between misfit dislocations. Using the above equations and Eqs. (3.20), (3.21), and (3.23) for the rates J, Gosling et al. [322] calculated dislocation concentrations and spacings to interpret experiments of Hull et al. [331]. The calculated and experimental observed values are plotted in Fig. 3.9 Wang et al. [61] used an intuitive approach and derived simple expressions for the change of dislocation density and relaxation of strain during annealing or with change of thickness of the layers. The expressions involved 5 unknown constants. The constants were adjusted to fit the experimental data. Wang et al. [61] also compared their theory with the same experimental results [331] as were used by Gosling et al. [322] and discussed above. The simple theory of Wang et al. [61] agreed with a large body of experimental data as shown in Fig. 3.10. Note that the top two figures have the same experimental data as in Fig. 3.9. The agreement between theory and experiment is similar to that obtained by Gosling et al. [322] shown in Fig. 3.9.
3.5
Summary
We have reviewed some of the extensive work that has been done on propagation, nucleation, blocking and multiplication of dislocations. This work allows calculation of the calculation of concentration of the misfit and threading dislocations and relaxation of strain during annealing of the metastable Sil_xGex layers at a given temperature and for a given time. Hull and collaborators have published reliable results of annealing the Sil_xGe~ layers at high temperatures.
3.5.
59
SUMMARY 10
4
- -
-
-
-----EF---
ca~..ulatlon r e s u l t s
9
E
oxperlmontal
results
9
103
(a) i 102 500
t
i
|
I
9 ~,
t
i
600
|
I
i
700
i
|
|
. . . .
800
900
T (%) 10 e -
Ii
Q
i
l
calculation results expedrrmntal results
J t
108 ,-?,
J
Q.
10 ~
(b) 10 s 500
600
700
800
900
T (~
0.45 0.40 0.35
I '
---o-- calculation results 9 experimental results
0.30 Q v Q.. co
0.25 II
0.20 0.15
(c)
0.10
sgo 660 ogo 7~0 7 ~ 8b0 8~0 T (~
Figure 3.10: Comparison of experimental values of Hull et al. [331] with theory of Wang et al. [61] for 35 nm Si0.75Ge0.25/Si layers. The experimental data points are the same as those used by Gosling et al. in Fig. 3.9.
60
CHAPTER
3. M E C H A N I S M
OF STRAIN RELAXATION
In 1994 Gosling et al. [322] made comprehensive calculations of strain relaxation and compared the calculated values with the experimental results of Hull et al. [331]. The calculated values agreed with the experimental values within a factor 2. The scatter in the data of such experiments is large. Therefore the agreement is regarded as satisfactory. More recently Wang et al. [61] developed a simplified theory of strain relaxation in 2001. Their theory also showed similar agreement with the experiments of Hull et al. [331]. Our understanding of the generation of dislocations and relaxation of strain has advanced considerably in recent years.
Chapter 4
Strain, growth, and TED in SiGeC layers 4.1
Introduction
Osten [156] has enumerated several advantages that accrue by adding C to Si or SiGe devices. Carbon causes tensile strain. In Sil_xGe~ layers it compensates for some of the compressive strain and increases the critical thickness. For the same thickness, it increases thermal stability of the Sil_~Gez layers. Sil_yCy layers grown on Si substrates can be used for n-channel FETs or for p-n-p HBTs [156]. A potential application is the possibility of fabricating tensile/compressive superlattices useful for optoelectronic applications. Due to Transient Enhanced Diffusion (TED) boron spreads into the emitter and collector regions, the junctions move outwards and the base widens. Since additional base regions thus created have no Ge they have wider bandgap. Lack of Ge at the EB junction reduces the DC current gain ~. Lack of Ge at the CB junction creates parasitic barriers for the flow of electrons into the collector and degrades the collector current, Early voltage, and AC performance of the transistor. We have published recently two reviews of the work done on SiGe HBTs [62, 63]. More details of the degradation caused by TED of boron arc given in these reviews. TED of boron is suppressed by supersaturation of C concentration [62, 63]. Suppression of boron TED is a great advantage in designing and fabricating SiGe HBTs. Understanding and suppression of the Transient Enhanced Diffusion (TED) are important for both CMOS and BiCMOS [20] technologies also. Reverse short channel effect, emitter push effect, and difficulty in forming shallow junction are typical examples of the problems caused by TED. With decreasing dimensions the problems caused by TED increase. Unfortunately solubility of C in Si, SiGe and Ge is very low. It is extremely difficulty to grow good quality Sil_~_yGe~Cy layers with values of y more than a few tenths of a percent. Growth and characterization of the Sil_x_~Ge~Cy are discussed in this chapter. 61
62
C H A P T E R 4. S T R A I N , G R O W T H , A N D T E D I N S I G E C L A Y E R S
Suppression of TED by C is also covered.
4.2 4.2.1
Strain and Growth of Sil_x_yGezCy layers Vegard's
law
Finkman et al. [59] have reviewed the literature on the application of Vegard's law to Sil_~_yGe~Cy layers. If we assume that Vegard's law is valid for relaxed Sil_~_yGe~Cu, linear combination of lattice constants of Si, Ge and diamond gives the lattice constant a(x, y) of Sil_~_yGe~Cy layers, a(x, y) = (1 - x -
y)asi + xac~ + yac.
(4.1)
This equation predicts that for Ge:C ratio of 8:2, the strains caused by Ge and by C compensate each other and the lattice constant of the layer becomes the same as that of Si. If we use the value of SiC for ac, the value of the ratio comes out to be 9:4. Theoretical calculations predict a large bowing parameter and different values of the Ge:C ratio for complete compensation of strain [59, and references given therein]. Experimental values of the ratio show wide scatter mainly because it is difficult to determine accurately the fraction of C which is substitutional. However most experimental results suggest a value < 10 for the ratio for complete compensation of strain. To determine substitutional C concentration from x-ray diffraction (XRD) measurements, Eq. (4.1)is extensively used.
4.2.2
SiGeC
layer growth
on a thin SiGe buffer
Sakai et al. [58] examined the initial stages of growth of Sil_~_yGe~Cy layers on Si. Both experiments and theory suggest that there is a repulsive interaction between Ge and C [58]. This interaction gives rise to atomic scale phase separation between Si-C and Si-Ge in the very early stages of growth. For large carbon concentration, the surface of the growing film is very rough. In the case of Si0.478Ge0.478C0.044 layers the growth becomes 3-dimensional at a thickness of 4 mono-layers (ML) and a c(4 x 4) surface reconstruction is observed. The presence of carbon induces a higher than average local concentration of Ge in the surface layer thus exceeding the critical thickness for island formation. STM pictures show that in addition to the islands, fairly flat terraces bounded by single layer steps are formed. The quality of the surface and tile bulk of the layer improves dramatically if one or two ML of SiGe are grown between the substrate and the epilayer. An STM image of Si0.473Ge0.473C0.054(6 ML)/Si0.5Ge0.5(1 ML)/Si(001) structure is shown in Fig. 4.1. This figure shows that in spite of very large carbon fraction, a planar surface morphology is formed. Neither 3-D islands nor c(4 • 4) reconstruction is now observed.
4.2. S T R A I N A N D G R O W T H OF S I I _ x _ y G E x Cy L A Y E R S
63
Figure 4.1" STM image (140• 140 nm 2) of a sample with a Si0.473Ge0.473C0.054(6 ML)/Si0.5Ge0.5(1 ML)/Si(001) structure. Note that a planar morphology is formed in spite of the large carbon fraction (Sakai 2001 [58]).
4.2.3
Strain
distribution
in SiGeC/Si
layers
Choi et al. [105] have used XRD, SIMS, and IR absorption to determine strain and structure of the SiGeC layers. The growth of SiGe layers with high concentration of carbon is difficult because of low solubility of carbon in Si, large lattice mismatch and tendency of SiC to form. However layers containing up to 2% of carbon have been grown with MBE and CVD for moderate Ge concentrations. The highest substitutional carbon concentration reported so far is 2.2 at.% which is three orders of magnitude higher than the solubility of carbon in Si [59] (see however section 4.2.2 for higher carbon content layers). Choi et al. [105] fabricated SiGeC layers by rapid thermal CVD (RTCVD). Detailed investigations were made of Si0.887_yGe0.113Cy layers. Carbon concentration varied between 0 and 0.0184. Some results with Si0.sGe0.2 and Si0.Ts4Ge0.2C0.016 were also reported. In the IR absorption spectra of the as grown samples a peak at 607 cm -1 was observed. This peak, shown later in Fig. 4.5, arises from local vibrations of substitutional carbon atoms [59]. The peak shifts to higher frequencies with increasing carbon concentration. For large carbon concentration the crystal quality degrades which gives rise to shift and broadening of the IR peak. On addition of carbon the XRD peak shifts towards the substrate peak which suggests that carbon reduces the compressive strain of the Sil_xGex layers. Only one XRD peak was observed in the Si0.Ts4Ge0.2C0.016 layers. The peak position coincided with that of the substrate. This suggests that now the compressive strain produced by Ge and tensile strain produced by C compensate each other completely. If carbon concentration is further increased, the peak is
64
C H A P T E R 4. STRAIN, GROWTH, A N D TED IN SIGEC L A Y E R S
observed to the right of the substrate peak indicating that now the sample has tensile strain. The effect of the rapid thermal oxidation of the layers depends on the concentration of carbon in the samples. In carbon free samples the oxidation does not relax the strain. If the carbon is present in low concentrations (below that required for complete strain compensation), strain increases in the oxidised samples. This happens because carbon forms CO and CO2 and gets out of the layers. If carbon concentration is high, some carbon is still left in the layers after the oxidation. The residual carbon is redistributed during oxidation in high and low carbon containing regions. The two regions are under tensile and compressive strain respectively. This behaviour is different from that obtained in samples annealed in nitrogen ambient. In the nitrogen annealed samples, SiC precipitates are formed. 4.2.4
Gel_uCu/Ge
layers
Figure 4.2: Cross-sectional TEM image of 30 periods alternating 10 nm Ge and 3 nm Ge0.979C0.021. The image shows no sign of extended carbon related defects or misfit dislocations (Duschl 1999 [140]). Growth of Gel_yCy layers becomes increasingly more difficult as the carbon concentration y increases. This happens because carbon in the nearest neighbouring position of Ge forms a high energy configuration. The solubility of carbon in Ge is very low, much lower than in Si. The estimated solubility is 10s atoms/cm -3 at the melting point of Ge [140]. Until recently only a few atomic layers of pseudomorphic Gel_yCy could be grown. Most experimental work was done on thicker relaxed layers. Recently Duschl et al. [140] succeeded in fabricating high quality Gel_yCy/Ge superlattices on Ge substrates with carbon concentration of 1.2 and 2.1 at.%. A cross-sectional TEM image of a 30
4.2. STRAIN AND GROWTH OF S I I _ x _ y G E x Cy LAYERS
' (a
ib) 529
~,
65
-1
1[ r]
~,
N .
-1.0 -0.5 0.0 0.5 ~ | (degrees)
,
1.0 500 550 Wavenumber (cm "I)
Figure 4.3: (a) XRD rocking curves of Gel_yCv/Ge superlattice. Simulated curve for sample boron is also shown. Pendelosung fringes are seen clearly in the inset. (b) IR absorption of the superlattice (Duschl 1999 [140]). period superlattice is shown in Fig. 4.2. Ge0.979C0.021 layers appear as thin dark lines with smooth planar interfaces. The figure shows the high structural quality of the superlattice. The superlattices were subjected to RTA in forming gas at different temperatures in the temperature range 300 to 800~ The superlattices were stable up to 450~ At higher temperatures a loss of carbon content was observed. The rate of loss had an activation energy of 2.6 eV. For determining carbon concentration and strain relaxation, XRD rocking curves were measured. XRD curves for two superlattice samples A and boron are shown in Fig. 4.3(a). Sample A contains 1.2% and 2.1% carbon respectively. High quality of the superlattice is reflected by the higher order superlattice peaks and observable Pendelosung fringes around the zero-order peak (see inset of Fig. 4.3(a)). The IR absorption spectra of the superlattices, after subtracting the spectra arising from the Ge substrate is shown in Fig. 4.3(b). The IR peak seen at 529 cm -1 is due to local vibrational mode of substitutional 12C. The corresponding peak in Si is at 605-607 cm -1 [59]. 4.2.5
Stability
of carbon
doped
layers
Critical thickness The lattice constant of diamond (cubic carbon) is 0.356683 nm which is 34% smaller than the lattice constant of Si. For the same Ge content the critical
66
C H A P T E R 4. S T R A I N , G R O W T H , A N D T E D I N S I G E C L A Y E R S
thickness hc of Sil_x_yGe~Cy is larger than that of the Sil_xGe~ layers because tensile strain caused by C compensates some of the compressive strain caused by Ge. Experiments with MBE grown Sil_~_yGe~Cy/Si show that between 800~ and 900~ the layers relax by interdiffusion. Below 800~ the layers have high stability i.e. no relaxation of strain is observed [142, and references given therein]. Liu et al. [142] have investigated the thermal stability of Sil_~_yGexCy/Si layers grown by rapid thermal chemical vapour deposition (RTCVD). Three samples were grown with compositions Si0.77Ge0.23C0.0, 8i0.762Ge0.23C0.008, and 8i0.758Ge0.23C0.012. A 60 nm Si cap was used on each sample to complete the quantum well structure. The critical thickness calculated by the authors were 10, 17 and 23 nm respectively. High resolution XRD, Fourier transform infrared (FTIR) spectroscopy and defect etching techniques were used to characterize the samples before and after different heat treatments. After annealing for two hours at 800~ no chemical change or strain relaxation was observed i.e. all the structures were stable. On annealing at 1000~ the substitutional carbon peak in the FTIR spectrum disappeared. Instead an amorphous like peak attributed to SiC precipitates was observed. The vertical lattice constant of Si0.758Ge0.23C0.012 sample increased after this heat treatment presumably due to elimination of Si and C and increase of the effective Ge concentration. For the same reason Sil_x_yGe~Cy showed formation of misfit dislocations even if their initial thickness was less than the critical thickness.
P r e c i p i t a t i o n of SiC Liu et al. [142] have investigated the thermal stability of Sil_~_yGe~Cy single quantum wells. Sil_~_yGe~Cy layers were grown by RTCVD at 625~ The maximum C concentration was about 2%. Si caps were grown at 700~ C concentration was determined using x-ray diffraction measurements and Vegard's law. It was assumed that strain was completely compensated when C:Ge ratio was 1:8.3. The Ge content in all the three samples was 23%. C concentration in two samples were 0.8% and 1.2% and in the third sample it was zero. The samples were annealed at temperatures between 800~ and 1000~ As grown samples were pseudomorphic and free from defects. They remained defect free after a 800~ anneal for two hours. A relaxation of strain began in all samples after an additional anneal at 900~ for two hours. On a further anneal for 2 hours at 950~ the relaxation in 0% and 0.8% carbon sample continued but the vertical lattice constant of 1.2% C sample started increasing suggesting formation of SiC precipitates [142]. The effect of 1000~ on the 1.2% carbon layer is shown in Fig. 4.4. On annealing at 1000~ precipitation of C occurred in the 0.8% C samples also. The atomic fraction of SiC precipitated was 0.0072 in the 8% C sample and 0.0094 in the 1.2% C sample.
4.2. S T R A I N A N D G R O W T H OF S I I _ x _ y G E x Cy L A Y E R S . '
I
.
.
"
.
67
.
I
'
I
"
I
-C
.....
as~ovm
-0.2%
-----
annealed at 1000 'C
• " i I
==
!
s
I I
I
it 9ilk
~t'iC
9
I
~L_
It
=. -I
%*.; tl
IJ
; ;'.I ,"~ .1 ~;, ;,1
l I.
,
500
'I 1~.
I
600
,
I
TOO
,
I
800
.....
" "
i
900
Wavenunl)er(cm") Figure 4.4: FTIR spectra of a 40 nm Si0.698Ge0.28C0.012 sample before and after annealing at 1000~C. After annealing, the substitutional carbon absorption peak at 600 cm -1 vanished, and a broad peak from 670 to 900 cm -1 was observed, very similar to the absorption spectrum of amorphous silicon carbide. (Liu 1999 [1421.
4.2.6
D e t e r m i n a t i o n of s u b s t i t u t i o n a l C concentration by IR and R a m a n m e a s u r e m e n t s
IR and Raman spectroscopy are powerful techniques for investigating microstructure of strained layers [227, 221, 222, 1]. Investigations of Sil_x_yGexCu strained layers grown by RTCVD have been made recently by Finkman et al. [59]. Concentration of Ge in the layers varied between 9.5 and 10.5 at.% and that of carbon, between 0 and 1.25 at.%. The thickness values were in the range 1040 to 1550 A. Typical IR spectra of the Sil_x_yGe~Cy layers is shown in Fig. 4.5 for different values of carbon content y. Si-C local mode stretching vibration gives the IR absorption peak at 605 cm -1 . The line width increases on the high energy side as the concentration of carbon increases. No absorption due to SiC precipitates is present. The area under the IR peak is plotted as a function of carbon concentration (determined from XRD) in Fig. 4.6. The area is linearly related to the carbon concentration. Carbon concentration determined from SIMS measurements is also plotted along the y-axis. A linear relation between IR peak area and carbon content and good agreement between XRD and SIMS measurements show that all of the carbon is present at the substitutional sites. Earlier work had also shown [59] that in the CVD layers almost the whole of the carbon goes in the substitutional positions whereas in the MBE grown layers, up to 20% of the carbon occupies interstitial positions. However experimental results of Kanzawa et al. [121] discussed later show that the amount of carbon
68
C H A P T E R 4. STRAIN, GROWTH, AND TED IN SIGEC L A Y E R S
~'
=
a
500
~
I
i
i
i
600
I
9
I
J
i
700
I
I
9
I
i
i
800
.
9
i
I
i
i
:
900
m
1000
Energy (cm -1) Figure 4.5: IR absorption spectra of Sil_~_uGe~Cu layers (Finkman 2001 [59]).
1.2
0.4
SIMS
o.8 I
0.2
-"s- IR
t~
0.0,
0.4 r~
0.0 0
0.4 0.8 1.2 carbon content y (at.%)-XRD
Figure 4.6: Relation between are~ of IR pe~k, carbon content determined by XRD ~nd that determined by SIMS is shown (Finkman 2001 [59]).
4.2. STRAIN AND GROWTH OF S I I _ x _ y G E x Cy LAYERS
69
Si-Si (layer) l
xlO----~j
SiOeC %0e=9.?, %C=0.35
t
~. 9
Si-Oe
~
.
~
-
,._ Si-Si (substratc)
xSO0 C
-'"'w. ,'~'.'~=-~
I O0
,
t
r- ,,
500 300 400 Raman shift (cm "1)
200
..Li..a. ,qr"---~
i-~-,
600
700
Figure 4.7" Background subtracted Raman spectra of a 155 nm SiGeC layer (Finkman 2001 [59]). that can be built in the substitutional positions depends strongly on the Ge fraction x present in the layers. The Raman spectrum of a Si0.8995Ge0.0970C0.0035 strained layer is shown in Fig. 4.7. The spectrum consists of four groups corresponding to Ge-Ge, Si-Ge, Si-Si and SiC (localized carbon mode in the Sil_~_yGexCu layer) vibrations. According to selection rules only first order modes are allowed in z(x, y)2 configuration in the Raman spectra. However due to defects present in the substrate some weak second order peaks are also observed in the background spectrum. To observe the spectra clearly only from the epilayer, Raman spectra from an identical blanket wafer was subtracted from the observed spectra. Si-C vibrational peaks obtained in this manner are shown in Fig. 4.8. The peak for each carbon concentration is composite, the shoulder on the high energy side is due to a satellite peak. The main peak is due to randomly distributed carbon. The satellite peak is due to a pair of two third nearest-neighbour carbon atoms [59]. It was already predicted in 1996 by Riicker et al. [218] that energy of the Sil_~_yGexCu layer would be smaller if some of the carbon is present in the form of such pairs. The height of the composite peak increases with carbon concentration. The positions of the main peak and the satellite peak are given bY, O)Si-C
605.6 + 276y cm -1 main peak,
(4.2)
627.4 + 354y cm -1 satellite peak.
(4.3)
-~-
and 02Si-C
--
The full width at half maximum of both peaks is ~ 20 cm -1 . The satellite peak
70
CHAPTER 4. STRAIN, GROWTH, AND TED IN SIGEC LAYERS
T ~ I
T
I
T---T
1 2 5 at.%
.w..~
f~
G,) ~
560
580
600
620
640
660
680
700
Raman shift (cm "1 ) Figure 4.8: Raman spectra of the Si-C vibrational mode in Sil_~_uGe~Cu for different values of carbon concentration y (Finkman 2001 [59]).
4.2. S T R A I N AND G R O W T H OF S I I - x - y GEx Cy L A Y E R S
71
shifts faster with carbon concentration than the main peak. Relative intensity of the satellite peak increases super-linearly with carbon concentration. The shift of the Si-Si Raman peak in Sil_~Ge~ alloys has been discussed in detail by Jain [1,227, 221] and others [59]. For small strain, the strain induced shift may be taken to be proportional to strain and we may write [59], WSi-Si -" 5 2 0 - 68x + AWSi-Si.
(4.4)
The second term in the above equation is due to change in chemical composition and the third term, i~dSi--Si, is the strain induced change in tile frequency and is taken to be equal to -psie(x) where -psi is a constant of proportionality. Experimentally determiqed values of PSi vary from 570 to 830 cm -1 . The equation for ~dSi-Si(X, y) in Sil_~_yGe~Cy can be written as, a)Si-Si(X, y) = 520 - 68x + AWSi-Si(Y) -- PSig(X, y).
(4.5)
A w s i - s i (y) is the shift in the Si-Si Raman frequency due to addition of carbon in strain free bulk alloy. Finkman et al. [59] obtained consistent results by taking p - 700 cm -1 . Final equation for the shift in Si-Si Raman frequency due to addition of carbon can be written as, 02Si_Si(X , y) "-- 5 2 0 - 68X q- A02Si-Si(Y)-~- 7005(X, y).
(4.6)
The strains induced by Ge and carbon can be added [59], y) =
+
(4.7)
Observed Raman shift in the Sil_~_uGexCy layers is plotted as filled triangles in Fig. 4.9. These shifts are combined effects of chemical composition and strain. The shifts were corrected for strain as explained above. The corrected shifts are shown by filled circles. The points lie well on a straight line and confirm that all carbon is substitutional in these experiments. 4.2.7
Effect of Ge on carbon
incorporation
Kanzawa et al. [121] determined the maximum carbon concentration that can be incorporated substitutionally in Sil_x_uGexCy layers. The layers were grown by ultra-high vacuum chemical vapor deposition (UHV-CVD). Composition of the samples was varied by changing the partial pressure of GeH4 and SiH3CH3 gases. XRD spectra of samples containing 27% Ge and grown with different pressure of SiH3CH3 gas are shown in Fig. 4.10. Concentration of C was changed by changing the ratio RSiH3CHa. The ratio RSiH3CHa is equal to the SiH3CH3 gas pressure divided by the total gas pressure. Changing the carbon concentration does not change Ge incorporation in the layer. The Si substrate gives a strong signal at 0 s due to (004) reflection. The peaks seen between -1000 and -2500 s are due to the (004) reflections from the Sil_x_yGe~Cy layer. For RSiH3CHa < 0.027 the Sil_~_yGe~Cy peak shifts to higher angles with increasing RSiH3CH3- This shift arises due to partial compensation of compressive strain and decrease in
72
CHAPTER 4. STRAIN, GROWTH, AND TED IN SIGEC LAYERS
7"
o 517
9 9 experiment 9 corrected
516~
[
t> "'"
9
9
1.5 < 5,
9
o~..q
-
1.... o
9
"9
515'
0.0
0.4 0.8 1.2 carbon content y (at.%)
'-2.5
Figure 4.9: Filled triangles show the observed Si-Si Raman frequency in the strained Sil_x_yGexCy layer as a function of carbon concentration. Filled circles are the Raman shifts relative to SiGe in the relaxed Sil_=_uGe=Cu alloy (Finkman 2001 [59]).
o=.,.
-E v
~
t~ 0 r
-3000 -2000 -I 000
0
I000
O (arcsec) Figure 4.10: XRD spectra for different values of ratio RSiH3CH3(see text) for 100 nm Sil_x_yGe=Cy layers with x - 0.27 (Kanzawa 2000 [121]).
4.3. T H E R M A L D I F F U S I O N ,lll~
73
"l"l i I i ~ I i i t i i i i t i ~,
2.5
Ge: 27%
2.0
.o.,"
(a)
2.0
e-, O O
O
1.0
~ 1.5
....... m
o.
- ...~"'"
-
SubsL C (XRD)o
Total C ( S I M S )
I,,,,,,,,, 0.00
m
~
....::.211
0.5
(b) m
0.02
i,,,,,0.04
Rs,~3c.3
].0
m
-
o.5 O0
I
I
I
10
20
30
40
Ge content (%)
Figure 4.11: (a) Dependencies of substitutional concentration on RSiHaCHa for Sil_x_yGexC~ layers containing different Ge concentrations. (b) Relation between maximum substitutional carbon concentration (Cmax) and Ge content (Kanzawa 2000 [121]).
(100) lattice spacing. TEM showed no defects in whole of the layer for values of RSiHsCHs < 0.027. For RSiHsCHs > 0.033 position of this peak remains fixed.
This suggests that concentration of substitutional carbon does not increase by increasing further the value of RSiHsCHs. Total concentration of carbon was determined from SIMS measurements. Both total and substitutional concentrations of carbon in the layer are shown in Fig. 4.11(a). Similar experiments were made with different concentrations of Ge in the layer. The maximum concentration of substitutional carbon which could be incorporated in the layer decreased as the Ge concentration increased as shown in Fig. 4.11(b).
4.3 4.3.1
Thermal diffusion Intrinsic thermal diffusion of Si, B and phosphorus in Si
Interpretation of TED experiments requires the knowledge of intrinsic inert diffusivity D eq of dopants in Si. The values of D eq for various dopants used in the literature and in the computer codes are more than 15-20 years old [95]. Though a very large number of papers on the measurement of the diffusivity appeared in those days, the results showed a large spread. The effect of substrate defects on the diffusivity was not recognized. The quality of the substrates has improved considerably since then. The effects of TED were not taken into account properly. Spreading resistance and radioactive tracer techniques were used in many diffusion experiments as compared to the more accurate SIMS
74
CHAPTER 4. STRAIN, GROWTH, AND TED IN SIGEC LAYERS
technique used these days. Haddara et al. [95] have determined recently the intrinsic diffusivity of boron and phosphorus in Si with great care (see Fig. 4.12). For phosphorus the Arrhenius plot shown in Fig. 4.12 consists of two '
'
'
'
I
'
'
'
'
I
.
.
.
.
I
.
.
.
.
I
'
'
'
I
'
'
'
"'
(a) 10"12
~
D - 2.53 exp(-3.61/kT)
o.,.,I
_
~ *~
o- "Haddara (2000) ~ - FLOOPS
10 "
*!.
l
D~ "/Literature , ]values
10"6
. . . .
:
7.5
10 "12
,. 1o.3
. . . .
J
8
~ -
.~; "~
. . . .
,
8.5
. . . .
_",..,
9
..... ,.,-,
,
9.5
,
.
.
10
.
10.5
[
"5" 10"~3I. 9 Hadd
*}
FLOOPS
D Literature values
lo " 5
~
o
/,
y = 7.87 exp(-3.75/kT) ...... 10
"16
.
,
7
a
,
I
7.5
,
I
,
,
I
i
,
,
8
9 I
8.5
,
-
,
L
,
1/kT
I
9
,
i
.
~
'
. . . .
9.5
i,
,'~',
10
10.5
Figure 4.12: (a) Recent values of P diffusivity compared with earlier literature values and with values used in SUPREM and in FLOOPS computer codes. (b) Same as (a) but for boron [95]. straight lines with a break at IO00~ experimental data well,
The following equations describe the
D p - 1.71 • 10 -3 exp(-2.81 eV/kT) cm2/s below 1000~
(4.8)
DR = 2.53exp(-3.6 eV)/kT cm2/s above 1000~
(4.9)
for phosphorus and
DB = 7.87 exp(--3.75 eV)/kT cm2/s,
(4.10)
4.3.
75
THERMAL DIFFUSION
Temperature ('C)
11501100 1050 1 0 ~ 2 ....
10"~
I
J
-,x\,,.
950
,
,
900 i
I
850 |,
|
i
I
8OO !
i
h \'.., %
a
g m a
2
3%
,a
,
r
10 "'6
~
6 4
2
Ge in Si
I0-17s 6
0.70
0.75
0.80
0.85
0.90
0.95
1031T (K"z) Figure 4.13: Arrhenius plot of Ge diffusion coefficient in strained Si. Literature values of the diffusion coefficient in unstrained Si are also shown (Sugii 2001 [561). for boron. It is seen from Fig. 4.12 that the values of the diffusivity used in SUPREM and FLOOPS computer codes are in large error particularly at low temperatures. These errors can become serious when simulating low temperature processing. For the next generation devices the existing computer codes may not remain adequate. Recently, Shima et al. [38] have investigated the formation of ultra shallow boron junction in Si. BF + was implanted at 3 keV and B + at 0.6 keV to a dose of 1 x 10 is cm -2 without a screen oxide. During subsequent rapid thermal processing for dry oxidation (2 nm) at 650~ for 30 s, SIMS profiles showed that there was a large segregation of boron at the interrace on the Si side. Computer modelling (SUPREM) was unable to predict the pile-up of boron.
4.3.2
D i f f u s i o n of G e in s t r a i n e d Si
Sugii et al. [56] have investigated Ge diffusion into strained Si grown on fully relaxed Si0.TGe0.a buffer layers. The sample structure was shown in Fig. 2.13 and temperature and time of heat treatment were discussed in section 2.4.4. Ge depth profiles were determined by SIMS. The diffusion coefficient of Ge in strained Si was determined by analysis of the depth profiles. The experimental v~lues of the diffusion coefficient are shown in Fig. 4.13. Literature values of diffusion coefficient of Ge in unstrained Si are also shown. Diffusion coefficient of Ge in the strained Si is much larger.
76 4.3.3
C H A P T E R 4. STRAIN, GROWTH, AND TED IN SIGEC L A Y E R S Diffusion of boron
in SiGe
The diffusion flux JB of boron atoms in SiGe is given by [116],
Ju = --DB
V C s + --~TVHu
.
(4.11)
The diffusion coefficient DB and enthalpy Hu depend on Ge concentration and are taken as phenomenological parameters [116]. The diffusion coefficient is given by.
DB(X) -- DB(O)exp ( - Q B x
"
(4.12)
where DB(O) is the diffusion coefficient in pure Si and x is fractional Ge concentration. The value of activation energy QB determined experimentally is 0.7 eV [116]. The diffusion coefficient in p-doped Si is given by,
DB,si(P) = Di 1 + ~p/ni i+~
(4.13) '
where Di is the intrinsic diffusivity, p is the density of holes, and ni is the intrinsic carrier density. Enhancement of the diffusion coefficient at extrinsic doping is determined by the parameter ~. The enthalpy in SiGe strained layers is given by, HB -- HBO q-- A E v - e r (4.14) where HBO is the enthMpy of the neutrM boron atoms and A E v is the shift of the valence band edge with Ge fraction x. The electrostatic potential r is determined by solving Poissons equation. These results emphasize the importance of electrostatic potential and enthMpy in determining the diffusion coefficient. As compared to Si the enthalpy of boron ions decreases in the SiGe alloys. The diffusion coefficient of boron is suppressed by addition of Ge to Si. Experiments show that boron segregates in SiGe whereas As and P tend to segregate in Si [188, 116]. Outdiffusion of boron from SiGe layers into Si is much less than outdiffusion of boron from Si. The reason for this is the reduced diffusion coefficient of boron in SiGe layers as well as the tendency of boron to segregate in SiGe. 4.3.4
D i f f u s i o n o f Si a n d C i n t e r s t i t i a l s
Si interstitials Carbon and boron in Si diffuse with the aid of Si interstitiMs. Diffusivity of boron and C at a given point in the sample is proportional to the concentration of Si interstitials at that point. During annealing the interstitials diffuse and their spatial distribution changes. Therefore the diffusivity of the interstitiMs is important. One method which has been used extensively to determine the diffusivity of the self-interstitials is to perform diffusion experiments with samples containing
4.3.
77
T H E R M A L DIFFUSION T (~ 1200 I
10-4
1100 I
1000 I
900 I
800 I
700 'I
........
I0-6 _
~
.......
.7.~........_
~d~
-
I0 -8 r 101 o
10-12
:
10-14 I
I
i 0.7
I
I
I
I
[
I
I
I
i
0.8
I 0.9
i
I
I
I
I
I
I
l.O
1/T (10-3K -l)
Figure 4.14: Si self interstitial diffusivities as a function of inverse temperature. Lines (a) to (e) show literature values determined from metal diffusion experiments and (f) represents the expected diffusivity in a boron marker experiment if boron atoms act as traps for Si interstitials with a trapping energy Ep = 1.9 eV and 2D boron concentration per marker of N g D = 1.5 x 101:3 cm -2 (Gossmann 1995 [233]).
boron doping superlattices [288, 233]. The interstitials are injected by surface oxidation or by low energy Si ion implantation. Analysis of the diffused profiles of boron spikes yields the diffusivity DI. The other method is to study the diffusion of Au, Pt and Zn. The values of diffusivities derived from the two experiments are shown in Fig. 4.14. The values derived from the metal diffusion are considerably higher. Trapping of the interstitials by vacancies and vacancy clusters in the oxidised or implanted Si has been proposed to explain this large difference in the observed diffusivities [287]. Gossmann et al. [233] performed experiments to determine whether the interaction of the interstitials with boron atoms also affects the diffusivities. Experiments were made with two boron doping superlattice samples. The 2D boron concentrations/spike in the two samples were 1.5 x 1013 cm -2 and 1.8 x 1012 cm -2 respectively. The interstitials were injected by surface oxidation at 810~ for 15 min. Intrinsic boron diffusion coefficient DB,int (which is proportional to the average self-interstitial concentration) decreased monotonically with depth and, within experimental errors, was the same in the two samples. Gossmann et al. [233] showed theoretically that if trapping of interstitials by boron atoms is important the diffusion coefficient of boron should be inversely proportional to the concentration of boron in the spikes. Gossmann et al. [233] concluded that the low diffusivities shown in Fig. 4.14 is not due to trapping of self interstitials by boron atoms. Additional experiments showed that the extracted diffusion coefficient was independent of
78
CHAPTER 4. STRAIN, GROWTH, AND TED IN SIGEC L A Y E R S
the spacing between the spikes. It was concluded that any other defects related to the boron spikes were also not responsible for the low values of the observed diffusion coefficient. C interstitials
Substitutional C atoms in Si give a localized vibrational mode (LVM) at 607 cm -1 (77 K) [286]. The strength of this band is a measure of the substitutional C concentration. On irradiating the samples by 2 MeV electrons Si interstitials and vacancies are produced. Si interstitials kick-out C atoms from the substitutional sites to the interstitial positions. Interstitial C atoms give rise to an LVM band at 920 cm -1. Concentration of interstitial C atoms can be determined by measuring the intensity of the 920 cm -1 band. If Si crystal containing interstitial C~ atoms is annealed at temperatures near 300 K, Cis are trapped by substitutional C8 atoms and form di-carbon centers. The di-carbon centers give an electronic absorption band at 969 meV. C,is are also trapped by interstitial O atoms, the C-O complexes give an electronic absorption band at 789 meV. Tipping and Newman [286] performed annealing experiments with samples containing a concentration of C8 traps much larger than interstitial oxygen traps. The concentration of oxygen traps was 5 x 10 TM cm -3 which was smaller than the concentration of C~ traps by three orders of magnitude. They monitored the 920 cm -1 band as a function of annealing time at different temperatures. The intensity of the band decreased exponentially with time which shows that the concentration of interstitial C~ atoms decayed by the first order kinetics. By analysing the experimental results they determined the diffusion coefficient of interstitial Ci atoms at each temperature of annealing (see Fig. 4.15). The low temperature values are determined by epr method and are taken from the literature [286, and references given there in]. 4.3.5
Surface
and
interface
effects
Effect of surface on the diffusion profiles of boron in boron doping superlattices has been investigated. Depth profiles of boron marker layers in Si were studied in inert (Ar) ambient and in vacuum. Annealing was done at 810~ for times in the range 15 min to 645 min. The intrinsic boron diffusivities were derived from the analysis of the diffused profiles of boron marker layers. In the vacuum annealed samples, the diffusivity was smaller near the surface. It increased monotonically with depth. Diffusivity values near the surface were smaller than those at a depth of 600 nm by about an order of magnitude. This decrease was absent in samples annealed in Ar ambient. These results indicate that Si self-interstitial concentration decreases near the surface if annealing is done in vacuum. Though the native oxide was removed before insertion of the samples into the furnace, some oxide must have formed during the pump down period and during annealing. SiO2 reacts with Si forming SiO which evaporates. The net effect is that Si surface is etched and its physical structure changes. This effect could lead to low interstitial concentration in the surface layers [234].
4.4. TED A N D I T S SUPPRESSION B Y C A R B O N
79
Temperature (K)
300 I
250 'I
200 I
10-14
.=
10-16 "7 10-18
10-20
I
3
4
5
1/T (10.3 K"1)
Figure 4.15" Diffusion coefficient D~C of carbon interstitials in Si versus 1/T. The straight line fit is DI c = 0.44exp(-0.87 eV/kT) (Tipping 1987 [286]). Similar experiments with C doping superlattices have also been performed [185]. Observed diffusion in the surface region was considerably faster resulting in the pileup of C near the surface. Results of computer simulation based on the kick-out mechanism agreed with the experimental results except in the surface region. To study the effect of surface, if any, on the vacancy concentration, similar experiments were performed with Sb doping superlattices. Vacancy concentration was not affected by the surface and was independent of the annealing ambient.
4.4 4.4.1
TED and its suppression by carbon TED
We have published recently an extensive review of TED in Si [20]. We give a brief summary of the main results here. When an excess concentration of Si self-interstitials is present in Si, diffusion of boron (and also of phosphorus) is enhanced. A self-interstitial I reacts with a substitutional boron atom B8 to form a highly mobile complex B-I or to kick out the B8 atom to a mobile interstitial position. After interstitial boron or B-I pair migrates a few lattice sites, boron kicks-out a substitutional Si atom to the interstitial position and
80
C H A P T E R 4. STRAIN, GROWTH, AND TED IN SIGEC L A Y E R S I 1020
I Annealing at 810~ 15 min
~1 as grown ~ e - 2 thermal, no TED 3 with TED
I !
1019
..9.. ~ t,-
o
.o 1018
8
0 0
1017 O
1016 0
100
200
300
Depth (rim)
400
500
0
0.5
1
1.5
2
2.5
Depth (gm)
Figure 4.16: (a) SIMS profiles of boron before and after annealing at 810~ for 15 min. Thermal diffusion is small at this temperature but TED due to surface damage (by Si implantation) causes a huge broadening of the boron marker layer (Stolk 1995 [2371), (b) Diffusion of the as-grown and annealed boron marker layer in both inert and oxidizing ambients. The annealing was done at 1100~ for 2 min. Inset shows the structure of the sample (Griglione 2000 [120]).
becomes a substitutional atom again. During the time boron atom are in the interstitial positions, it diffuses rapidly. The injected Si interstitials decay either by diffusing to the surface or by combining with vacancies. As soon as the supersaturation of interstitials decays to its thermal value, the enhanced diffusion ends. Therefore the enhanced diffusion occurs only for a short period of time and is known as Transient Enhanced Diffusion (TED). Si-interstitials can be injected by implanting Si with Si ions or with dopant ions. Si-interstitials are also injected during annealing SiGe or Si samples in oxidizing ambient or during oxidation of Si surface. TED is observed in all the three cases. Typically the displacement in the tail region of 1 x 1014 cm -2 boron ions implanted at 30 keV (peak boron concentration ~ 1019 cm -a) and annealed at 800~ is > 700 A [20]. The equilibrium diffusion length under these conditions is only ~ 25/k. If boron concentration in the peak portion of its profile is > 10 is cm -a, boron atoms in the peak remain static during TED. Electrically active boron in the peak region is small, 90% boron is electrically inactive. If the surface of Si containing a boron marker layer is damaged by implantation with Si, TED of the boron marker profile is observed (see Fig. 4.16(a)). In this case the displacement observed in the tail region is more than 2 orders of magnitude larger than the thermal diffusion displacement. The inactive boron peak is also observed in this case. The effect of oxidizing ambient on TED is shown in Fig. 4.16(b). The structure of the sample is shown in the inset. The Si interstitials produced in the surface layer travel through the SiGe layer and produce TED on the boron marker layer. Numerous experiments have shown similar TED in
4.4.
TED A N D I T S S U P P R E S S I O N B Y C A R B O N
81
SiGe layers. Degradation of devices provide indirect evidence of the TED in SiGe layers [37]. At annealing temperatures of > 800~ the estimated time for the interstitials to migrate to the surface and disappear and for TED to end is a few tens of seconds or a few minutes. However TED lasts for more than an hour at this temperature. The large time for which TED lasts is due to the formation of small and extended defect clusters. At < 800~ and with high supersaturation of Si interstitials, extended {311} defects consisting of Si self-interstitials nucleate and grow. At large doses and very high energy of implantation dislocation loops are formed. If the surface is pre-amorphized by Ge implantation and re-crystallised, End of Range (EOR) dislocation loops are formed. When supersaturation of the interstitials decreases, these extended defect clusters start dissolving and emitting interstitials. In this process they supply the interstitials and sustain TED (for a more detailed discussion see Ref. [20]). The rate of growth and dissolution of the defects is controlled by Ostwald ripening [20, 289]. In the case of End of Range dislocation loops, Ostwald ripening is well established. TEM experiments show that on annealing a sample containing dislocation loops, small loops shrink and large loops grow bigger. Observed evolution of size on annealing the loops agrees with the Ostwald ripening theory. Similar TEM experiments have been performed for the size evolution of the {311 } defects. The results show that Ostwald ripening of the {311} defects also occurs. The simulation of the rates of evolution of TED agrees with the observed rates if Ostwald ripening is included in the simulations. To explain the static high concentration boron peak it is necessary to assume that boron forms clusters with Si interstitials. Boron solubility at the annealing temperatures is > 1019 cm -3 and therefore the static peak can not be due to precipitation of boron. The static peak is observed only when the concentrations of both the interstitials and boron are high. This suggests that the clusters contain both boron and Si atoms. Since the clusters can not be observed in the TEM, they must be small, consisting of only a few atoms. It is difficult to determine the exact structure of these clusters. A model has been proposed which assumes that clusters with high I content (i.e. BI2 clusters) are formed in the initial stages when supersaturation is high. At later stages when supersaturation is low these clusters decay and give rise to low I content B3I, B4I clusters. Simulations based on the above model agree with the experimental results. The energies of the small clusters have been determined by first principle calculations by several groups [20, and references given therein]. Some of the calculations provide support to the above model of the small clusters. The evidence is not unequivocal, however. At high temperatures TED lasts for shorter times and the displacement during TED decreases. This suggest that TED can be suppressed by RTA with high ramp-rates. Too high ramp rates cause non-uniformity and non-repeatability. Spike anneal suppresses TED. Generally TED increases sub-linearly with dose of implantation. It has been found recently that co-implantation of fluorine with boron suppresses TED. The optimum design for sub-100 nm transistors can be obtained
82
C H A P T E R 4. STRAIN, GROWTH, A N D TED I N SIGEC L A Y E R S
by optimizing the implant dose, implant energy, ramp rates, ambient and oxide layer thickness so that each of these parameter is utilised optimally. One process or design parameter can not be optimized individually because in the optimization of one parameter the other parameters degrade. A trade-off is therefore necessary. The design must ensure that the uniformity is maintained and the process is repeatable.
4.4.2
Suppression of TED by C
TED in S i has been known for more than 30 years. However until recently we did not know how to suppress TED. It is now well established that supersaturation of C suppresses the concentration of available Si interstitials I and of TED in Si based devices. Early work on suppression of TED in Si by C was done by Poate and collaborators [228]. Subsequently several groups [220, 206, 63] investigated the effect of C on the outdiffusion of B. Lanzerotti et al. [206] have done pioneering work on TED in C containing layers. The authors fabricated two sets of HBT structures, each set consisted of 3 HBTs. They used a double mesa low-temperature process so that boron outdiffusion did not occur during the fabrication process. In the first set ion implantation wos not used so that interstitials were not injected. The widths of different layers and SIMS profiles of boron, carbon and germanium in the base layers of the transistors of the first set are shown in Fig. 4.17. There is no carbon in the structure of Fig. 4.17(a), 0.5% C in Fig. 4.17(b) and 0.9% in Fig. 4.17(c). The as-grown transistors corresponding to structures of Fig. 4.17(a) and Fig. 4.17(b) showed ideal collector currents. The collector currents did not increase with reverse bias at the CB junction. The Early voltage was high. This shows that there were no parasitic barriers. In the second set of devices identical structures were fabricated and were implanted with 1.5 • 1015 cm -3 30 keY and 3 • 1014 cm -3 15 keY As. The As implantation range was 100 nm, considerably less than the emitter thickness of 300 nm. The wafers were annealed at 647~ to 742~ for 15 minutes in nitrogen. SIMS profiles of the annealed wafers are shown in Fig. 4.18. Fig. 4.18(a) shows that considerable outdiffusion of boron has taken place in the transistor which does not contain C. The transistors which contain C (Fig. 4.18(b) and Fig. 4.18(2c)) showed practically no outdiffusion of B. Double mesa transistors were then fabricated on the as-annealed wafers and their electrical characteristics were measured. Both the collector current and the Early voltage degraded considerably in the transistor with no carbon. There was no degradation in transistors containing C. Fig. 4.18(c) shows that boron in regions which do not contain C also did not diffuse. The authors concluded that C has a non-locM ability to suppress the boron diffusion. Though suppression of outdiffusion of boron and of parasitic barriers by inclusion of C has been confirmed by several groups (see references cited above) non-local ability of C to suppress outdiffusion of boron has not been observed in many experiments. Anteney et al. [187] measured collector currents in transistors fabricated with C located in different regions of the base. They found that complete suppression of the parasitic barriers occurs only if C is present
4.4.
83
TED A N D ITS SUPPRESSION B Y C A R B O N
1023
I
}
I
i
Ge
Ge
I
i
Ge
1022
_ i
i
C
......
B
1021 & 1020
n
t,
t
N= 1019
~[
,
s=~
,1
L) 1018 ~ ~
1017 I Si~176 1016 i 0.3 0.4
Slo.795Geo.2Co.oo5~ ,_
f Sio.791Geo.2Co.o09 "'~ /
0.5
0.3
0.4 Depth (microns)
(a)
0.5
i
I
0.2
J
0.3
(b)
0.4
(c)
Figure 4.17: SIMS profiles of Ge, C, and boron in as-grown base regions of the SiGe n-p-n HBTs. Each structure contains 5 nm undoped SiGe spacer near the EB and BC junctions. The doped SiGe base layers in (b) and (c) contain C also (see text)(Lanzerotti 1997 [206]). 1023
]
i
1022
I
i
9
Ge
'
i
I
,
I
m
i
I
i
Ge
Ge --C --- B
'~ 1021 102o
i
ol9L 1018
/"q 9
):,-:J"
,, _ -
Slo.8Geo.2 1016 I ~ I 3000 4000
~J~,~ J | "~1 5000
! i 1
t==l
$10 . 7 9 5 Ge 0 . 2 C 0 . 0 0 5 ,, , ~ I
i
I
,.
Si~ 95Ge0"2C0"005,
v;
~
"1,~
2000 3000 4000 Depth (angstrom)
2000
3000
4000
Figure 4.18: SIMS profiles of structures similar to those used in Figs. 4.17a, 4.18b, and 4.18c following ion implantation and anneal at 755~ (Lanzerotti 1997 [206]).
84
C H A P T E R 4. S T R A I N , G R O W T H , A N D T E D I N SIGEC L A Y E R S
in whole of the base layer i.e. including the undoped space layers. If C was present only in the doped SiGe layer or only in the undoped SiGe spacer layers, evidence of some parasitic barriers was found. Boron diffuses by forming highly mobile pairs with Si interstitials created during the implantation and annealing step. It is generally believed that C forms mobile pairs with Si interstitials more effectively. Therefore Si interstitials are not available to form pairs with boron and diffusivity of boron is suppressed. According to another group, C creates trapping centers for Si interstitials. The Si interstitials are trapped and become immobile. They can not assist diffusion of boron. TED of boron is suppressed. We discuss these models of TED in the next section.
4.5 4.5.1
Modelling of TED in Sil_x_yGe Cy layers Trapping
model
Early experiments on the diffusion of I were performed by Stolk et al. [228]. They found that diffusion of I is retarded by the C substitutional atoms. The experimental results agreed with the assumption that the interstitials are trapped at the substitutional C atoms forming I-C pairs. The binding energy of the pair was in the range 2-2.5 eV. These pairs reduce the concentration of free self interstitials and therefore boron TED is suppressed. Soon afterwards Cowern et al. [220] made a more detailed study of suppression of boron TED by C. Fig. 4.19a shows SIMS boron profiles in wafers pre-implanted with 85 keV C. The peak of C distribution was at ~ 350 #m, within the boron tail region. C concentration in the peak region is 3 • 1019 cm -3 which is higher than the boron concentration in the same region by a factor about 10. The boron profile after annealing at 900~ for 20 s shows that diffusion is much less than in reference wafers with no C. Fig. 4.19 also shows that on further annealing at 1000~ for 10 s there is substantially more diffusion than in the reference wafers (with no C) under identical conditions. This indicates that Is are not permanently removed by C. They are trapped and a proportion of them is released at higher annealing.. The effect of depth at which C peak is located was studied by changing the C implantation energy. The suppression of TED was decreased with increase in the C implantation energy. It became negligible when the implantation energy was 800 keY. Cowern et al. [220] also examined the possibility that the Si interstitials could be trapped by the damage caused by C implantation and not by the C atoms themselves. They studied boron diffusion in samples in which similar damage was caused by Si implantation. The TED was not suppressed, in fact it increased. Suppression of boron diffusion by C has also been observed in samples which received no implantation damage [145]. Cowern et al. [220] calculated the implanted C profile and associated damage using the Monte Carlo model implemented in TSUPREME-4. The simulated values agreed with experimental results. Boron diffusion in C containing samples was then simulated using TSUPREME-4. boron clustering was taken into
4.5. MODELLING OF TED IN S I I _ x _ y G E x C y LAYERS
1019L\" ~
85
(a)
0000
1018
""""""""----........
1017
1019 \
(b)
E
300 keV
C
1018 .,..~
"~ ..-.~ ..~..~.
o~ 1017
~~,~i+~ Ln
"
L
1019~
(C) 8OOkeV
%o"'--
1018
0 0
" " ~" ~ 0
~ 0 0
~
1017 1016
0.0
0.1
0.2
0.3
0.4
0.5
0.6
Depth (~m) Figure 4.19" SIMS profiles for a 2.53 x 1014 cm -2 40 keY boron implant into Si pre-implanted with 5 x 1014 cm -2 C (Cowern 1996 [220]). The effect of energy of carbon implantation on T E D is shown.
86
C H A P T E R 4. STRAIN, GROWTH, A N D TED IN SIGEC L A Y E R S
account. All C atoms were regarded as traps. The number of boron atoms nc, trapped per C atom was taken as an adjustable parameter. The best fit shown in Fig. 4.19 is obtained for n c = 1.15. The dependence of TED on C implantation energy was also calculated. Simulations reproduce the observed results with high accuracy. The volume mismatch is -72% for substitutional C in Si and -54% for B. Assuming that 0.6• that of Si, compensation will be achieved for n c = 1.2, a value close to 1.15 obtained by simulating the boron diffused profiles..
4.5.2
Outdiffusion of c a r b o n
Tile kick-out reaction is represented by the following equation: Cs + I r Ci.
(4.15)
Here subscripts s and i indicate substitutional and interstitial positions and I denotes a Si self-interstitial. The FT reaction is given by the following equation, (78 r
Ci + 17,
(4.16)
where V denotes a vacancy. C concentration necessary to suppress TED is much larger than its solubility limit in Si. Therefore carbon interstitials (C/s) produced by the KO reaction diffuse to the surface and disappear. Removal of Cis by diffusion reduces the concentration of Is and increases the concentration J.
vacancies try to move to the surface to maintain the equilibrium. When concentration of C is considerably more than its solubility limit, following equations hold [145, 68]. D~c8f f N~q c, > D I N I~q,
(4.17)
D~ff N~q > DvN~/q.
(4.18)
and Under these conditions diffusion of Is from the surface and vacancies to the surface is not sufficiently fast to maintain equilibrium. Undersaturation of selfinterstitials and supersaturation of vacancies occur [183, 158, 145, 68]. Simulated values of self-interstitials and vacancies after outdiffusion of C are shown in Fig. 4.20. Though KO mechanism dominates, some contribution to the creation of Cis by the FT mechanism is also made [158]. Riicker et al. [145] have measured TED of B, P, As, and Sb from uniformly doped Si substrates into SiC and identical Si reference layers. Annealing was done at 900~ for two h. The results for boron diffusion are shown in Fig. 4.21. Similar results were obtained with P. In both cases TED of the dopant ions is considerably suppressed by C. The results for As and Sb (not shown in the figure) were different. C considerable enhanced TED of As and Sb. Undersaturation of interstitials and supersaturation of vacancies shown in Fig. 4.20 explain satisfactorily the effect of C on the diffusion of dopants [145]. Since
87
4.5. MODELLING OF TED IN SI~_x_ y GEx Cy LAYERS
1020
'
t
'
J
'
I
'
t
'
_1
o Ib
~9
900~
1019 o-------As-grown
oo q9
O
~
2h
1018 Calculated 102
o, v~>
101
Vacancies _
10o _~ ~ n t e r s t i t i a
U
_~ :
10-1 _
10-2 0.0
i
I
0.1
l
t
L
I
0.2
0.3
I
[
I
0.4
0.5
Depth (~tm)
Figure 4.20: Diffusion profile of the C-rich layer studied in Fig. 4.21. Upper panel shows the C profiles. Lower panel shows the undersaturation of Is and supersaturation of vacancies after outdiffusion of C at 900~ (Riicker 1999 [145]). 9 1999 IEEE
1019
-
_
I
(a) Boron 900 ~ C, 2h
_ r
g .,..~
SIMS
1018 _ Calculated _ _ _ _
_ 0 _
As-grown 1017 0.2
0.3
0.4
Figure 4.21" Diffusion of boron from highly doped substrate into Si (open circles) and SiC layers (filled circles). Symbols are SIMS data. Lines are calculated values (Riicker 1999 [145]). 9 1999 IEEE
88
C H A P T E R 4. S T R A I N , G R O W T H , A N D TED I N SIGEC L A Y E R S
~-- lo2o
9,
0 v c-
.~
(a) lOs
--BFit
--CFa
\
.
10 ~8
C
~ 10~6
e'o
o g- 1020 0 e-
.2
1018
\
co 1016 0 o N" 1020 :++, (e) 6 0 ! 9 oE
rain
10~8
~ 1016 8
0.0
0.2
0.4
0.6
Depth (l~m)
Figure 4.22: Simulated and SIMS profiles of diffused C and boron (Ngau 2001 [68]). the concentrations of Si interstitiMs, C interstitials and vacancies depend on each other, the transport equations for the three entities are coupled [290]. Riicker et al. [145] solved the coupled equations using reasonable values of input parameters. The calculated diffused profile for boron are compared with the measured SIMS profile in Fig. 4.21. The agreement between the experimental results and the model calculations is very good. Similar agreement was also found for other dopants.
4.5.3
Improved model
Ngau et al. [68] investigated boron TED at 750~ in boron doping superlattices fabricated by CVD. Inn some samples carbon containing layers were also included. The interstitials were injected by implanting surface layer of the sample with low energy Si ions. The as grown and diffused profiles of C and boron (after 10 s, 4.25 min, and 60 min diffusion) are shown in Fig. 4.22. Ngau et al. [68] used the coupled equations based on KO and FT mechanisms [145] discussed earlier to interpret their experiments. We have seen in section 4.4 [20] that defect clusters play a very significant role in TED in Si. If boron concentration is high, 90% boron in the peak region of the profile is inactive. The
4.5. M O D E L L I N G OF TED IN S I I _ x _ y G E x C y
LAYERS
89
Table 4.1: Prefactors (in units of cm2/s for diffusivities and cm3/s for concentrations and activation energies (in eV) for Arrhenius diffusivities and equilibrium concentrations of various species. The table has been compiled by Ngau et al.
[6s]. Parameter D~ DIN~ q DvN~ q D1 N~ q Dv N~/q D C8 elf
Prefactor 560 7.22 x 1024 3.23 x 1025 20 3.61 • 1023 231 1.4 • 10 23 0.95
Energy (eV) 4.76 4.68 4.86 1.77 2.91 2.86
N~ q
4 • 10 24
2.3
Dc~ N~
0.44 8.64 • 1024
0.87 4.47
2.0
3.04
peak is static, i.e. in annealing experiments diffusion of boron in the peak region is negligible. This result is attributed to the formation of boron-Si interstitial clusters (BICs) [20]. If concentration of injected Si interstitials (Is) is high and annealing temperature is not too high, extended {311} defects are formed. They dissociate and supply Is to sustain TED at larger times. Ngau et al. [68] also included the effect of BICs and (311} defects on the diffusion. The parameters used in the simulations are shown in Table 4.1. The simulated results are also shown in Fig. 4.22. The fit of the simulated results with SIMS diffused profiles is good for 10 s diffusion. The agreement becomes poor for larger diffusion times. Simulated curves show too much diffusion. These results suggest that there must be an additional mechanism to remove the Is and suppress TED. There are several possible mechanisms which can result in the suppression of Is [68]. It is well known that C creates defects and energy levels in the gap in Si. The self interstitials Is can be trapped by the defects. Immobile pairs of C8 and Ci can be formed reducing C concentration that takes part in suppressing TED. Pairs of Ci and interstitial oxygen Oi can also result in reducing the effective concentration of C. It is not possible to determine from this work [68] as to which of these mechanisms is responsible for additional suppression of TED. Ngau et al. [68] included the formation of pairs of C8 and Ci in their model and found that the agreement between the predicted and observed profiles can be obtained for all times of diffusion. Central to all models developed to explain the suppression of boron TED by C is the postulate that C somehow prevents Si interstitials in aiding boron diffusion. In the theory of Rficker et al. [145] the excess C converts Si interstitials and back reaction is prevented by the removal of C atoms by diffusion
90
C H A P T E R 4. S T R A I N , G R O W T H , A N D T E D I N S I G E C L A Y E R S
to the surface. In the model of Ngau et al. [68], additional removal of interstitials by forming complexes with C-related defects is necessary. Law et al. [118] constructed a model using both kick-out and FT mechanism. The interstitials are disabled from participating in the diffusion of boron because they form complexes with substitutional C atoms. By adjusting binding energy of Si interstitial and substitutional C atom, Law et al. [118] were able to explain some of the experimental results reported by Gossmann et al. [233, 234] and by Werner et al. [185]. Reliable and reproducible values of material parameters are not available. It becomes necessary to use many adjustable parameters to fit a model with the experimental data. More emphasis should be placed in determining reliable values of the material parameters before real progress can be mode in modelling the TED and its suppression by C.
4.6
Conclusion and summary
The science and technology of C containing SiGe and Si layers is well developed. C improves the stability and performance of Si based heterostructure devices. Suppression of boron TED is the most important applications of C. Si-interstitial and C form defect clusters reducing the concentration of mobile interstitials. C can also reduce the concentration of Si interstitials in other ways as discussed earlier. Suppression of interstitials, formation of the defect clusters and suppression of TED are the most important topics at the present time [156].
Chapter 5
Bandstructure and related properties 5.1 5.1.1
Effect of strain on bandstructure Splitting
of bands
Knowledge of band structure is needed for determining electronic properties of semiconductors. Experiments on optical absorption, luminescence, and transport properties can be interpreted only if the band shapes and bandgaps are known. In a heterostructure, band offsets at the heterojunction are also needed for designing devices and interpreting experiments. Extensive work has been done on the band structure of strained Sil_~Ge~ alloys and band-offsets of SiGe/Si heterostructures. Strain lowers the symmetry of the Ge~Sil_~ layers from cubic to tetragonal. Lowering of the symmetry causes splitting of the band edges and modifies the bandgap. For x < 0.85 conduction band of the alloy remains Si-like. In the tetragonal symmetry the sixfold degeneracy of the A conduction band is partially lifted; it splits into a fourfold and a twofold degenerate state. When the layers are under biaxial compression (e.g., Ge~Sil_~ layers grown on Si(100) substrate), the fourfold degenerate state moves down toward the valence band and the twofold degenerate state moves up, away from the valence band. The degeneracy of the L band is not lifted under the tetragonal distortion. Degeneracy of the valence band at k - 0 is also lifted; the heavy hole band moves up (towards the conduction band) and the light hole band moves down. The difference in energy between the lowest conduction band and the highest valence band is the bandgap of the strained layers. A small contribution to the bandgap comes from the hydrostatic component of the strain. Under tensile strain (e.g., Ge~Sil_~ layers grown on Ge(100) substrate), the direction of motion of the split components is reversed. The actual separation of the split components depends on the magnitude of the strain and is calculated by the potential deformation 91
92
CHAPTER
5. B A N D S T R U C T U R E
AND RELATED PROPERTIES
-
L ]
\ A
1.0
~
_ ,,
0.96
~0
0.74
0.5
~l
i
i
i
K i
0
0.5
Si
Ge fraction, x
i
i
i t 1
~-
Ge
Figure 5.1: Bandgap of Sil_~Ge~ alloys versus Ge fraction x. Curve 1: experimental, cubic alloys [300]; curves 2 and 3: calculated bandgaps of the strained alloys on Si(100) substrate; curve 4: calculated bandgap of the strained alloy on Ge(100) substrate; and symbols: experimental data [340]. The figure is taken from Van de Walle (1986) [341]. theory [302]. 5.1.2
Bandgaps
The bandgap of unstrained (cubic) GexSil_~ alloys determined from the optical measurements by Braunstein et al. [300] is shown by curve 1 in Fig. 5.1. The figure shows that the bandgap decreases slowly as x increases up to about 0.85 and then rapidly to the value of Ge at x = 1. The conduction band remains Si-like for Ge fractions less than about 0.85. The splitting of the band edges of the strained layers were calculated by Van de Walle and Martin [341] and by People [302]. The results of the two calculations agree closely. Experimentally, the bandgap of the MBE-grown strained layers on Si(100) substrate was determined by Lang et al. [340] from photocurrent spectroscopy. The values of the bandgap calculated by Van de Walle and Martin along with the experimental values of Lang et al. [340] are shown by curves 2 and 3 in Fig. 5.1. The two top components of the valence band (heavy and light hole bands separated by strain) are not far away from each other and correspond to two indirect bandgaps shown in the figure. The experimental results of Lang et al. [340] agree with the calculated values within the accuracy of the calculations and the experimental uncertainties. The bandgap of the strained layers decreases rapidly as x increases; for x > 0.6, the bandgap becomes smaller than that of pure Ge. Values of the bandgap derived from luminescence and by admittance spectroscopy are also in good agreement with
93
5.1. E F F E C T OF S T R A I N ON B A N D S T R U C T U R E
Table 5.1" Band offsets (as defined in text) for Si/Ge interfaces; all and a• define the strain condition of the semiconductor. All energies are in eV and all and a• are in/~ [341].
(100) (100) (100) (111) (111) (110) (110)
all 5.43 5.52 5.65 5.43 5.65 5.43 5.65
asi• 5.43 5.36 5.26 5.43 5.33 5.43 5.32
ace• 5.82 5.75 5.65 5.73 5.65 5.75 5.65
AE~ 0.84 0.61 0.31 0.85 0.37 0.76 0.22
/kEv,a v 0.54 0.53 0.51 0.58 0.56 0.52 0.50
AE~ 0.28 0.41 0.55 0.25 0.28 0.03 0.24
these values [1]. We have added curve 4 [299] to Fig. 5.1 for the calculated bandgap of the strained alloys grown on Ge(100) substrate. The reduction in bandgap is much larger in this case. 5.1.3
Band
offsets
The band offsets (also called band discontinuities) of GexSil-x strained layers grown on Si(100), on Ge(100) substrate and on a substrate with intermediate values of lattice constants are of interest. Layers grown on substrates with other orientations are also useful for certain applications. Calculations for key configurations have been made by Van de Walle and Martin [341]. The authors performed self-consistent calculations based on local density functional and ab initio pseudopotentials in order to determine the minimum energy configuration of the bands on the two sides of the interface. The calculation consists of two parts: in the first, the average potentials Vsi and Vce are determined from the supercell calculations and in the second, these potentials are used as reference levels for the calculation of band offsets for a given strain situation. The actual calculations were made for strained Ge layer in contact with Si substrate and for strained Si layer in contact with Ge substrate. In the first case the Ge layer is under compressive strain, and in the second, the Si layer is under tensile strain. Three orientations (100), (111) and (110) of the interface were considered. One calculation was also made for Ge/Si interface when the Ge/Si layers are grown on a relaxed Ge0.4Si0.6(100) substrate. In this case the Si layer is under tensile strain and Ge layer is under compressive strain. Calculated values of the band offsets [341] are given in Table 5.1. The discontinuity in the top of the valence band is AEv = Ev,Ge- E~,si. The discontinuity in the weighted average of the valence bands is AE,,av = E , , a e , a , - E v , s i , ~ . The discontinuity in the minimum of the conduction band is AEc - Ec,ae - Ec,si. The in-plane lattice spacing of the strained layers is all , and perpendicular to the plane, it is a• For orientations other than (100), these values do not cor-
94
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
2.0 i eV
i
i.
i
i
I
i L I
l y
1.17 1.0 0.84
t
t
1
0.00 I
I
I
I
I
I
I
L
i
0
0.5
1
Si
Ge fraction, x
Ge
Figure 5.2: Valence and conduction bands of strained Sil_xGex alloys matched to a Si(100) substrate. The weighted averages of the valence bands and of the A conduction bands are shown by dashed lines [341].
respond to the actual lattice constants in crystallographic planes parallel and perpendicular to the interface. In these cases, the ratio of all to the unstrained lattice constants determines the strain components parallel to the interface, and the ratio of a_L to the unstrained lattice constant determines the strain component perpendicular to the interface. Rows 1, 4 and 6 correspond to the growth of strained Ge on Si substrate. Rows 3, 5 and 7 correspond to the growth of strained Si on Ge substrate. Row 2 is an intermediate case for a pair of Ge/Si layers grown on the relaxed Ge0.4Si0.6 substrate. Van de Walle and Martin estimated that the overall accuracy of their results of band offsets is ~ 50 meV. The band edges for GexSil-x layers grown on cubic Si(100) and cubic Ge(100) are shown in Figs. 5.2 and 5.3, respectively. The values of AEv,av are 0.51 eV for Si on Ge and 0.54 eV for Ge on Si (see Table 5.1). The values of AEv,a~ for intermediate values of x obtained by linear interpolation between the two extreme values are shown by dashed curves in Figs. 5.2 and 5.3. The splittings of the average valence band edge AE.,~. due to strain and due to spin orbit coupling are then added to the average valence band edge to obtain curves 1, 2 and 3. Upper dashed curves for the average conduction band edges are obtained by adding the values of bandgap of unstrained alloy (see Fig. 5.1) to the average
95
5.1. E F F E C T OF S T R A I N ON B A N D S T R U C T U R E 2.0 eV ['"
I
I
I
I
I
I
L Conduction bands 4
A
0.96
1.O
0.74
0.00 0 Si
0.5 Ge fraction, x
1 Ge
Figure 5.3: Valence and conduction bands in strained Ge, Sil_x alloys matched to a Ge(100) substrate. The notation is the same as in Fig. 5.2 [341]. AEr cubic Eg(Si)=l.17
eV
Eg(Ge0.2Si0.8)= 1.0 eV
~ ---AEv=0.15 eV
EV
(a) aEc=0.15 ~ v - - . t r
EC
....
~ [strained
strained / /Eg(Ge0 5Si0 5)=0.89 eV Eg(Si)=l'04 eV! ~ " . EV
~ ~v:O.3O ov (b)
EC
~
AEc=0.02 r
tstraine~i eV JaEg(Ge0.sSi0.5)=0.78
vi -
cubic Eg(Si)=l.17 e
~ - - ~Ev=0.37 eV
EV
(c)
Figure 5.4: Band alignments for (a) Ge0.2Si0.8/Si heterostructure on a Si(100) substrate, (b) Ge0.5Si0.5/Si heterostructure on a Ge0.25Si0.75(100) substrate and (c) Ge0.5Si0.5/Si heterostructure on a Si(100) substrate [302]. The band alignment in (a) and (c) is type 1 (the smaller bandgap of the strained layer is completely within the larger bandgap of Si), whereas in (b) it is type 2. Figure is taken from People (1986) [302].
96
C H A P T E R 5. B A N D S T R U C T U R E
AND RELATED PROPERTIES
valence band edges. Splitting of the conduction band is now introduced, to obtain curves 4 and 5 in Figs. 5.2 and 5.3. The bandgaps of the strained layer are obtained as the energy difference of the curves 5 and 1 and curves 5 and 2. The two bandgaps of the strained layers shown earlier in Fig. 5.1 were determined in this manner. The band alignments for three typical heterostructures are shown in Fig. 5.4 [302]. Ma and Wang [342] calculated the strain-induced band splittings of Sil_xGe~ alloys coherently grown on (111)- and (ll0)-oriented Ge substrates using a multiband semi-empirical tight-binding method. The splittings for the (111) and (110) orientations of the interface are non-linear and cannot be obtained by linear deformation potential theory. Before concluding this section, we give expressions for the bandgaps and the valence band offsets of the GexSil_~ strained layers grown on Si(100) substrate. The smallest bandgap E g ( x , T ) shown in Fig. 5.1 can be represented by the following empirical relation [258]: AEg(x) = Eg(O, T) - Eg(x, T) = 0.96x - 0.43x 2 + 0.17x 3,
(5.1)
and the valence band offset is given by = 0.Sax.
(5.2)
The value of valence band offset given by Eq. (5.2) was calculated by Van de Walle and Martin [341]. Band off sets in Sil_x_yGexCy/Si heterostructures have been studied theoretically [129, and references given therein]. In the case of compressive strain valence band offset (VBO) decreases with increasing carbon concentration. If strain is tensile, the result is just the opposite, the VBO increases with carbon concentration. 5.1.4
Experimental
studies
of band
offsets
Numerous attempts were made to measure the band offsets at the Ge/Si interface before the theoretical work of Van de Walle and Martin [341] was done. Van de Walle and Martin have reviewed this work. In most studies the values obtained for valence band offsets were considerably smaller; presumably because the layers were not pseudomorphic, the strain was relaxed by the introduction of misfit dislocations at the interface. Most earlier theories also did not properly include the effect of strain on the offsets. Modulation doping is useful in obtaining information about the band offsets. People et al. [302] were the first authors to observe p type modulation doping effects in the Si/Ge0.2Si0.8/Si structure. The structure consisted of an undoped Ge0.2Si0.s layer grown on heavily p-doped Si layer. The substrate was n type Si(100) substrate. A capping layer of Si was grown on top of the Ge0.2Si0.s layer. If the whole sample was uniformly doped with 101Scm-3 boron atoms, a strong freeze-out of the holes was observed at low temperatures, as expected for this doping level. If only the Si layers were doped, high mobilities with no freeze-out at low temperatures were observed. With an optimum doping profile, a Hall
5.1. E F F E C T OF S T R A I N O N B A N D S T R U C T U R E
97
mobility of 3300 c m 2 V - l s - 1 w a s observed at 4.2 K. Figure 5.2 shows that, at this Ge fraction, the valence band offset is 0.170 eV, which is sufficiently large for the holes to be transferred from the Si layer to the Ge0.2Si0.8 layer, which gives rise to the 2D effects. If the Si layers were doped n type, no 2D effect was observed. Figure 5.2 shows that conduction band discontinuity is practically zero for this composition and therefore 2D electron gas cannot be formed. Abstreiter et al. [343] fabricated symmetrically strained superlattices consisting of Si/Sio.5Geo.5 grown on a relaxed Si0.75Ge0.25 buffer layer. Electron 2D gas with enhanced mobility was observed when the Sio.5Geo.5 layer was doped with n type impurity. For this structure the conduction band offset is 0.130 eV, the band edge being higher in the Sio.sGeo.5 layer (i.e., the alignment is type II) [341]. This alignment favours the transfer of the electron from the Sio.5Geo.5 strained layer (under compression) to the strained Si layer (under tensile strain). Abstreiter et al. [343] confirmed the enhanced mobility in the n type modulationdoped symmetrically strained heterostructures from Shubnikov-deHaas (SdH) measurements. A value of 0.2m0 (m0 is the free electron mass) for the hole effective mass was derived from cyclotron resonance measurements at 890.7 GHz [1]. Ni et al. [349, and references given therein] have measured the band discontinuities at the Ge/Si interfaces in different strain situations using in-situ x-ray photo electron spectroscopy. For the symmetrically strained structure Si0.5Ge0.5/Si grown on Si0.75Ge0.25, the conduction band offset was found to be 0.130 eV. Jorke and Sawodny [344] used vertical current transport measurements on Ge0.5Si0.5/Si multiple quantum well structures to derive the value of conduction band offsets. Relatively thick SiGe barriers prevented tunnelling, and transport was thermally activated over the barriers. By comparing the observed transport with the calculated I-V characteristics, a value of 0.165 eV was derived for the conduction band offset. These two values are in reasonable agreement with the theoretical value of 0.130 eV obtained from the calculations of Van de Walle and Martin [341] for these structures. Several experimental studies of Sil_x_yGexCy/Si layers have been made. Early results were conflicting [129]. Admittance spectroscopy measurements showed that VBO decreases with the addition of carbon whereas the result by x-ray photoelectron spectroscopy was just the opposite. We have already discussed the theoretical work [129] which showed that the effect of carbon depends whether the strain in the layers is compressive or tensile. Recently measurements, of conduction band offsets (CBO) have been made [128]. The conduction band edge is lower in Sil_x_yGexCu layers by sire33 i 22 meV/at.% C. The value for Si0.9905C0.0095 was about 52 meV. Fortuna et al. [74] have investigated properties of the metal-Sil_x_yGe~Cy contacts. Schottky barrier heights CB depended on the work function W of the metal. For Zr and Ti with low-W, CB increases with Ge content x. For Pt with higher-W, CS decreases strongly with x. For intermediate values of W the barrier height CBn in the n-doped layers remains constant. The barrier height CBp in the p-doped alloys exactly the decrease of the bandgap with x.
98
CHAPTER 5. BANDSTRUCTURE AND RELATED PROPERTIES
Table 5.2: The transversal (mr) and longitudinal (ml) electron effective masses, and the heavy (mhh), light (mZh) and spin orbit-split (mso) hole masses are given in the table. All masses are normalized by the free electron mass m0.
Si Ge
5.2 5.2.1
mt 0.191 0.082
ml 0.916 1.59
mhh 0.537 0.284
mlh 0.153 0.044
mso 0.234 0.095
Effective D e n s i t y of States and Fermi energy Cubic SiGe alloys
Electron and hole effective masses (in units of free electron mass m0) in Si and Ge are given in Table 5.2. Experimental data in unstrained Sil_xGex alloys is not available. Si effective masses are used for the alloy also below x - 0.85 because the conduction band remains Si like. The ~ralence band structure of the alloys has been investigated by several workers. The effective hole masses can not be obtained by linear interpolation between the masses of Si and Ge. Theoretically predicted and experimentally observed masses do not agree (see the review by Neumann and Abstreiter in Ref. [100] for details).
5.2.2
Lightly
doped
strained layers
As explained earlier, strain causes splitting of the conduction and valence bands and their degeneracy is partly lifted. Due to this strain induced splitting, Effective Density of States (EDOS) N c and N v are reduced in the strained SiGe alloys [355, 263, 172]. Poortmans et al. [263] measured the PL of Sil-xGex strained layers for x = 8, 12, and 16%. All layers were doped with 4 • 1018 cm -3 B atoms. The Fermi energy for the three dopings were determined from the peak positions of the P L. The energies measured from the valence band edge were 31, 36, and 42 meV. These values are larger than the energies in the relaxed layers. The Fermi energies increase with Ge concentration and with strain. Due to band-splitting, N c in the conduction band is reduced by a factor 2/3. The values of N v were calculated using the hole effective mass values of Manku [262]. Values of N v and N c N v product obtained by multiplying the values of N c and N v are shown in Fig. 5.5. Experimental results of Prinz et al. [355] and calculated values of N v by Buffer et al. [172] are also shown. The calculated value of N c N v product obtained by multiplying Buffer's values of N v by (2/3)Nc, a (Nc, a is the value for the relaxed alloy) agree well with the values of N c N v product determined by Poortmans [263]. For small values of x, the reduction in the product is small and x dependent. The reduction factor slowly increases to 10 as x increases to > 0.1.
5.2. E F F E C T I V E D E N S I T Y OF S T A T E S A N D F E R M I E N E R G Y
o
"~ z
>
99
I - - Buffer 1998 relaxed, cal. I - - - B u f f e r 1998 cal. I [] Prinz (1989): T=300K
| o Prinz (1989): T=77K 1.0~ .Z ..Poortmans (1993) 0.8
]~'\
0.6
~.--~
.
r, \ .
- 0.8
o~Z
-0.6 =
0.4
~ 0.2 ;~ 0.0 0.0
__
1.0
"
0.1
0.2
0.4~
f:L
0.2 0.0 0.3
Ge fraction (%) Figure 5.5: Values of N c N v product for strained Sil_,Ge~ layers normalized by its value for Si are plotted as a function of Ge concentration [355, 263]. Calculated values of normalized N v are plotted for both relaxed and strained SiGe alloys (Buffer 1998 [172]. Splitting of the conduction and valence bands has a large affect on the effective density of states.
5.2.3
C o m b i n e d effect o f s t r a i n and heavy effective masses and Fermi energy
doping
on
The valence band structure of Ge, Si and III-V compound semiconductors is very complex [316, 1, 125]. Numerous attempts were made in 1960s and 1970s to calculate the structure of the valence band and values of hole effective mass (references to these papers are given in [345, 347]). Manku and Nathan [345,346] and more recently Fu et al. [347] computed the complete 6 • 6 k.p perturbation Hamiltonian and calculated the energy spectrum for the p type Si and GexSil_x/Si(100) strained layers. In the strained layers, the valence band becomes distorted and highly non-parabolic. The Density of States (DOS) hole effective mass becomes a function of hole energy E and of Ge fraction x i.e. md,h = md, h(E, X). The highest energy up to which Manku and Nathan [345] calculated the values of md,h (E, x) is about 65 meV. Fu et al. [347] extended the calculations to higher values of energy for the heavy hole, light hole and spin split-off bands. For E > 100 meV, dependence of md,h (E, x) on energy becomes weak in Si. For the GexSil_~ strained layers it continues to be strongly dependent on energy for much higher values of energy. The effective mass md,h(E,x) increases with increase in E and decreases rapidly with increase in Ge fraction X.
Cheng et al. [350] have studied cyclotron resonance of 2D holes in strained GexSil_~ quantum wells for two values of x, x = 0.13 and 0.37. The carrier densities in the two cases were 1.4 and 2.3 x 1012 cm -2 respectively. The effec-
100
CHAPTER 5. BANDSTRUCTURE AND RELATED PROPERTIES 1.0
I
I
I
I
~
r~
o
0.8
o
o
0.6 30%
o N .~..~
~ Z
O.4 I
I
I
I
1.1020 2-1020 3.1020 4.1020 5.1020 Doping (cm "3) Figure 5.6: Carrier concentration effective mass m ~ of holes normalized by the free electron mass in p-type SiGe strained layers as a function of doping concentration and for different Ge concentrations shown in the figure (Jain (2001) [64]). tive hole masses determined from these experiments were 0.39m0 and 0.29m0. This work provides the most convincing evidence that the effective hole mass decreases with strain. The value 0.39m0 for the 13% Ge sample is in reasonable agreement with the value 0.0.44m0 for a 15% Ge sample determined by Shubnikov-de Haas measurements [351]. An equivalent carrier concentration mass m ~ for a parabolic band can be defined as [346],
[m~( EF , T, x) ]3/2Fa/2(-~ ) (1/kT) 3/2 fo ~ [md,h(E, x)]3/2E 1/2 1 + e x p [ ( E - EF,/kTj dE',~1
(5.3)
Using calculated values of md, h(E, X) [346, 347] and the relation [348] between the acceptor concentration NA and the Fermi energy EF,
NA = NvF1/2 ~
,
values of m ~ and EF were calculated in a self-consistent manner for different values of NA. The calculated v~lues are shown in Fig. 5.6 and 5.7 respectively. The effective hole mass in Si also increases with doping (not shown in the figure). The discrepancy in the calculated and experimental values of EF in doped Si was pointed out by Jain and Roulston [316]. Using the textbook value 0.57m0 [348] for the hole density of states effective mass, and for a p-doping
5.3. BANDGAP NARROWING DUE TO H E A V Y DOPING 0.25
i
I
101
Ix=30% I / 2 0 % m
/
//
/,0%
0.15 I_ It.
LU
0.10 -
5% _
,,..,
0.05
0.00
W,'
0
J
1
~
I
2 3 NA (1020 cm-3)
I
4
5
Figure 5.7: Calculated Fermi energy EF (measured from the valence band edge) in p-type SiGe strained layers as a function of doping concentration NA. Open symbols are the values of Fermi energy in Si determined from the luminescence data. Germanium concentrations are given in the figure (Jain (2001) [64]). concentration of 1 • 1020 cm -3, EF comes out to be about 150 meV at room temperature. The experimental value (derived from luminescence experiments [316]) is 80 meV for the same doping concentration and temperature. This is consistent with the fact that the effective hole mass increases with doping. Fig. 5.7 shows that the calculated and observed Fermi energies in Si agree only approximately. The calculated values are lower. T h e ~ values are derived from the band structure calculations of Manku and Nathan [345, 346] which are probably not very accurate [175, 172, 174]. Since strain reduces the hole effective mass mc~, Fermi energy in the GexSil_x strained layers is larger than in cubic Si for equal doping concentrations.
5.3
Bandgap narrowing due to heavy doping
Heavy boron doping causes shrinkage AEg,HD of the bandgap, also known as Bandgap Narrowing (BGN) [316]. AEg,HD consists of four contributions, AEg,HD -- AECx(,,aj) + AEi(maj) + AEcor(m~) + AEi(m~).
(5.5)
The four terms on the right hand side are shifts of the majority and minority band edges due to heavy doping. The first term arises due to exchange interactions in the majority carriers (i.e. in the valence band in a p-type semiconductor). The second and fourth term arise due to carrier-impurity interactions and the third term is due to correlation effects. In Jain and Roulston's model [316]
102
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
following equations are used to calculate the four contributions: /~Eex(maj)
--
R AEi(maj) R
1.83--------,A 1 Nb 1/a rs =
1.57 mr 3 / 2 I v b'rs
'
(5.6)
(5.7)
AEeo~(min) 0.95 = 3/4' R r,,
(5.8)
AEi(min) R(min) 1.57 = R R zmr a/2" Yb r s
(5.9)
Here R is the effective Rydberg energy = 13.6md/e 2 (in eV), r8 = ra/a, a is the effective Bohr radius -- 0.53e/md (in A), e is the dielectric constant = 11.4 +4x, N is n or p type doping concentration, r a3 -- 3 / 4 N and md is the effective density of states mass. The effective mass of majority carriers (electrons for n type and holes for p type semiconductors) is used everywhere except in Rmin, where the minority carrier mass must be used. BGN due to heavy doping is calculated by adding the four shifts given by Eqs. (5.6) to (5.9). These equations describe satisfactorily experimental values of heavy doping-induced BGN in n and p type Si, Ge, GexSil-x alloys and for several III-V compound semiconductors [316]. The BGN due to heavy doping is not sensitive to Ge concentration. As Ge concentration increases, BGN increases slightly due to reduction in the hole effective mass in the GexSil_x strained layers. This increase is largely compensated by a corresponding decrease in the BGN due to increase in the dielectric constant. Since hole effective mass depends on temperature, the BGN also becomes a function of temperature. Calculated values of BGN are given in Fig. 5.8. There is good agreement between the calculated and measured values of BGN for Si. There are only two data points for SiGe layers. They agree well with the calculated values. In strained Sil_~Ge~ alloys additional BGN AEg,~ is produced due to strain and band-splitting [1]. The total BGN AEg,t is assumed to be the sum of the heavy doping and strain induced BGNs, ,xE ,t = A
,Ho +
(5.10)
/kEg(x) is given by Eq. (5.1). For describing effect of heavy doping on the collector current of a bipolar transistor a quantity known as apparent BGN (ABGN) is used. The diode and transistor equations involve the intrinsic carrier concentration ni. For lightly p-doped semiconductors the pn product is given by the square of the intrinsic carrier concentration ni [348], p n = NAn = ni.2
(5.11)
103
5.3. B A N D G A P N A R R O W I N G D U E T O H E A V Y D O P I N G
200
!
!
p-Si andp-SiGe
/
o ExperimentSi0.85Ge0.15// ~" 150 .... ExperimentSi /~ 1 p-Si, Cal. // 2 p-SiGe
1 2
z
m 100
510.1018
Y
I
!
I. 1021 1.1019 1.1020 Doping concentration(era-3)
Figure 5.8: BGN in Si and strained SiGe layers as a function of doping concentration. The change in SiGe BGN due to increase in Ge concentration is so small that curves for 5 to 30% Ge can not be seen separately in the figure. Dashed curve (for Si) and open symbols (for SiGe) are the experimental values
[64]. The above equation is derived using Boltzmann statistics. If the semiconductor is degenerately doped and Fermi-Dirac statistics is used, this equation is modified [316]. The correct equation is 2 = n 2i exp pn = NA n = ni,eff
AEg,t,app )
where the apparent BGN AEg,t,app is related to the real BGN exp ( A E a , t , a p p ) =
k,T
(5.12)
kT
AEg,t by
EF) . kT )exp( (--EF ]gT ) F1/2( --.~
exp ( A E a , t
[316], (5.13)
Calculated values of ABGN are shown in Fig. 5.9. For acceptor concentration > 1018 cm -3, AEg,t,app is considerably smaller than AEg,t. Using n~,en instead of ni, the ratio of the collector currents in'a SiGe HBT and a control Si BJT can be written as [263], IC, H B T ( T , x ) [(NcNvPln(x,T)lsice R = IC, BJT(T, O) = [(NcNv#(O, T)]si exp
A b-Tdiff ) ~-'~-~g,t,app kT '
(5.14)
where /C(GeSi) and /C(Si) are the collector currents of the GexSil_x strained layer transistor and an identical Si homojunction control transistor. A b'Tdiff "-~-~g,t,app is given by, is given by, A budiff AbuSiGe A E si (5.15) ~-~g,t,app -- "--"-'g,t,app g,t,app" -
-
-
-
Here #• is the perpendicular minority electron mobility (i.e. mobility in a direction perpendicular to the interface). Strain modifies N o , N v and #z~. The N c N v product decreases and the minority electron mobility #• increases. Several groups have plotted the ratio R as a function of 1 / T and determined
104
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
I
I
I
Ge 5% /
>
10%
z
20%
0 I-
0
5.1019 1.1020 1.5.1020 2.1020 Doping Concentration NA (cm'3)
Figure 5.9: Calculated values of ABGN for Sil_~Ge~ strained layers as a function of doping concentration for several values of Ge fractions. Open and closed circles show two experimental values which agree well with the calculated values. Other measured values are shown in Fig. 5.10 [64]
300 > Zk A
o 150
0 0
o
<>
66
<>
X
O
~7 ~Z -I0
0.05
I
0.20 Ge mole fraction
0.35
Figure 5.10: Apparent Band Gap Narrowing "-'~-'g,t,app AbUdiff vs. Ge mole fraction for several doping and Ge concentrations given in Table 5.3. The data points of Krstelj [265] and Anteney [195] are for Ab-uSiGe "-~-~g,t,app"
5.4. MOBILITY
105
Table 5.3: Values of doping concentrations (10 is cm -3) and Ge mole fraction for the data points shown in Fig. 5.10. Reference x(%) NA,B (HBT) NA,B (BJT) m Anteney [195] 0.20 7 O Krstelj [265] * 1-7 [::] Krstelj [265] * 10-60 A Krstelj [265] * 100-250 ~
the values of A bUdiff from the slopes of the plots. We have compiled the "--*~-'g,t,app values of A bTdiff The doping and "-'*~-~g,t,app determined in this manner in Fig. 5.10. Ge concentrations used in the samples are given in Table 5.3. The scatter in the experimental data is very large. However the trend (variation with strain and doping) agrees with the theoretical predictions. The scatter in the experimental data arises on several accounts. There are inherent uncertainties in the measured values of the doping and Ge concentrations. Parasitic barriers affect the slopes seriously. The parameters in the pre~exponential factor vary with temperature though generally weakly. Carrier freeze out at low temperatures may take place. The slopes will depend on the range of temperature used. In view of the scatter and large uncertainty in the experimental data, it is best to use the calculated values of BGN and ABGN at the present time for computer simulations.
5.4 5.4.1
Mobility Hole and electron mobiUties in unstrained Sil_xGex alloys
Carrier mobility in non-polar semiconductors is limited due to scattering by acoustic and optical phonons, by ionized and neutral impurities and in the case of alloys, by alloy scattering. In the case of carrier transport in channels of Field Effect Transistors (FETs), interface roughness and potential fluctuations due to interface and oxide charges provide additional mechanisms of scattering. An extensive review of mobility in Si has been written by Jain et al. [362]. Roomtemperature electron and hole mobilities in Si and Ge are given in Table 5.4.
106
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
Table 5.4: Room temperature electron and hole mobilities in Si and Ge.
(cm /Vs) Si Ge
,h (cm /Vs)
1450 3900
505 1800
4000
3000
vq.x..+-.x
..
J hole mobility
,
1 !
-~
2000
~ +,,,i
1000
0 0.0
0.2
0.4 0.6 Ge content x
0.8
1.0
Figure 5.11: Measured electron and hole Hall mobilities versus composition in unstrained Sil_~Gex alloys. The electron mobility data are taken from [360] and the hole mobility data are taken from [360, 301,361].
Many attempts have been made to model the mobilities [98]. The most concise model for device simulation was published by Klaassen [267, 268]. Klaassen's model takes into account four scattering mechanisms: Lattice scattering, scattering due to ionized aeceptors and donors and electron-hole scattering. The last two mechanisms make the minority and majority carrier mobility different. Measurements of hole and electron mobilities in unstrained Sil_xGex alloys were made by several groups in 1950s and 1960s. The early work has been reviewed by Jain and Hayes [299], by Jain [1] and more recently by Schs [98]. Experimental values of the electron and hole mobilities in cubic Sil_xGe~ alloys are shown in Fig. 5.11. The data is more than 30 years old and was obtained on polycrystalline material. Despite these limitations the data show a definite trend. Plots for both electrons and holes are U-shaped. Mobility is minimum near x - 0.5 for electrons and x = 0.3 for holes largely due to Mloy scattering. It rises to Si and Ge (maximum) values at Si and Ge ends. In the case of electron mobility, the mobility rises sharply as x increases to more than 0.85. The lowest conduction band changes from Si-like A-band to Ge-like L-band for x > 0.85. Early calculations of hole and electron mobilities in unstrained and strained alloys were made by several groups [353, 352, 354]. Calculations have been made using first-order perturbation solution of the Boltzmann transport equa-
5.4.
107
MOBILITY
9
u
J
w
9
!
'
9
9
A
= 1o' to
J~
: , i- . . . .
a
10 3
strained SiTheory X..----~ unstrained Si Theory ~. X unstr. Si~ Exp.from "" "'-.. Ounstr. SiJ literature "-~ I
,
I
I,
I
I
I
I
I
10 2 Temperature (K)
Figure 5.12: Calculated values of electron drift mobility in strained Si grown on Si0.TGe0.3 and in unstrained Si are shown at different temperatures. Literature values of experimental mobility in unstrained Si are also shown. The figure is taken from Buffer 1997 [202]. tion [352], using a band theory [353], and using Monte Carlo simulations [354]. Scattering by non-polar optical and acoustic phonons as well as alloy scattering were included in the calculations. Experimental results of hole mobility obtained subsequently did not support the theoretical results [98]. The main uncertainties in the calculations arose because of the uncertain values of the alloy scattering potential and because a simplified model of the valence band was used. Improved calculations have been made by Fischetti and Laux [175] and Buffer et al. [172, 174]. These authors treated the band structure in nonlocal pseudopotential approach and used more realistic values of alloy scattering potential. Calculated values of electron mobility of unstrained Si are compared with the experimental values in Fig. 5.12 and of holes in unstrained SiGe in Fig. 5.13. The agreement between the experiment and theory is good in both cases. 5.4.2
Electron
mobilities
in t h e s t r a i n e d
Sil_xGez layers
Splitting of the sixfold degenerate conduction band states takes place under biaxial strain. The effective electron mass becomes anisotropic due to this splitting. For the layers grown on Si(100) substrate, the effective mass of the electron travelling in the direction perpendicular to the interface decreases and in the direction parallel to the interface, it increases. The change in effective mass implies a corresponding change in the mobility [299]. This enhancement of mobility in the vertical direction is useful for n p n DHBTs [62, 63]. To obtain enhancement of electron mobility in the growth plane tensile strain is required. Calculated
108
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
400 ~
T= 300 K N, = 10" cm -~
200
• Exp. data G a w o r z e w s k i l 9 0 8 ....... Theoretical Ohrr~ mobility
E
r+c 100
o.oo
Holes in ,unstrained SiGe
o.os
-0,io
o, s
0.20
Go content x
Figure 5.13: Theoretical values of the hole drift mobility in unstrained SiGe at 300 K and an acceptor concentration of NA -- 1016 cm -3 are compared with the experimental results of Gaworzewski [225]. The figure is taken from Buffer 1998 [174].
values of majority and minority electron mobilities in Sil-xGex layers grown on Si are shown in Fig. 5.14. Parallel electron mobility is suppressed as expected. Perpendicular electron mobility becomes larger than that in unstrained SiGe. Calculated parallel electron mobility in tensile strained Si is shown in Fig. 5.12. Here an enhanced mobility is predicted unambiguously because alloy scattering is absent. The clearest experimental evidence of the enhancement of electron mobility due to tensile strain comes from the most recent experiments of Cheng et al. [39]. Cheng et al. [39] fabricated Si n-MOSFET on relaxed Si0.75Ge0.25-on-insulator substrate. The substrate was fabricated using a wafer bonding and etch-back technique. The measured channel mobility in the strained Si was up to 30% higher than that in the control Si MOSFET. The out-of-plane minority electron mobility in the strained layers is more relevant for HBT simulation. Poortmans et al. [263] determined this mobility by measuring the collector current of HBTs at different temperatures. The values of the ratio #• (Si) of mobilities determined in this manner are plotted in Fig. 5.15.1 For base doping of 5 • 1017 cm -3 to 5 • 10 is cm -3 the perpendicular mobility has increased by 30 to 40%. The mobility is independent of Ge concentration with in the scatter of the data points. The mobility ratio decreases with doping concentration at higher dopings. The decrease in the mobility ratio with impurity concentration suggests that the impurity scattering is more effective in the strained SiGe than in Si. Measurements of in-plane majority electron mobility have been made by Buffer et al. [201]. A comparison 1The ratio of minority out-of-plane mobility #_Ln in SiGe and minority electron mobility in Si is the same as the ratio of the corresponding diffusion constants.
109
5.4. M O B I L I T Y
6OO
2OO
400
o
lOO
200
,,J
0.0
4OO
8OO
80O ~'600 >
0.1
0.2
0 0.0
0.3
0.1
0.2
4OO
2OO
2OO
IO0
0 0.0
0.3
lOO
~
_. ,oo
0.1
0.2
0 0.0
0.3
0.1
0.2
0.3
:_:__~_ 200
2~
..........
]
0
~
so 0 0.0
0.1
0 0.0 0.1 0.2 0.3 GERMANIUM CONTENT
0.2
0.3
0
0.1
0.0
0 0.0 0.1 0.2 0.3 GERMANIUM CONTENT
0.2
0.3
Figure 5.14: Majority and minority electron drift mobilities of SiGe for four doping levels (in cm -3) at 300 K: dot-dashed line (curves 1) is # ~ - #• of strained SiGe, solid line is # of unstrained SiGe, and dashed line is # ~ - #11 of strained SiGe (Buffer 1997 [201]). 9 1997 IEEE
1.8-
-, 8 % G e El 1 2 % G e ZX 1 6 % G e
:::1.
1.4-,~,,I
r~
= 1.2-::t.
1.0-
121
'
'
B
' ''"1
,
'
'
1018
' ''"1
1019
Base doping (cm -3) Figure 5.15: The ratio of minority electron mobility in SiGe (in the perpendicular direction) and in Si are plotted as a function of base doping for 3 Ge concentrations, The figure is taken from Poortmans 1993 [263].
110
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
I ........................ Mod;,i
r~
103
Sio.gsGeo.~
~
"a,..
a Sio.gsGeo.o5 ......... Sio.9,Geo.og o Si oo~Geo og 9Sio'g~Geoog
"".. "'a,. ~...,,,,,..,~, x,
. . . .
....
",~-,
~
",,
9
.
I
___.
I
O
. . . .
z~ Si Exp.
9
.
V Si~176
10 2 . . . . . . . .
9
.
.
.
.
.
.
.
.
i
1017 1018 1019 1020 Donor concentration (cm-3) Figure 5.16: Experimental and theoretical results for the in plane component #xx = Pl] of majority electron mobility in strained SiGe at 295 K. Open symbols are for MBE samples and filled symbols are for LPCVD samples. The figure is taken from Buffer 1997 [201]. 9 1997 IEEE
of their results with the calculated values is shown in Fig. 5.16. Results obtained with both MBE and CVD samples are given. The mobility is not sensitive to the method of growth. In doped semiconductors ionized impurity scattering becomes a major factor in limiting the mobility. To avoid ionized impurity scattering, modulation doping is used. Also in modulation doped quantum wells, the carriers are confined to the interface in the Si well and form a 2D electron gas. The electron mobility in modulation doped Si quantum wells is shown in Fig. 5.17 at different temperatures. If the relaxed SiGe buffer layers are grown in one step on a Si substrate, a large number of threading dislocations are produced. These dislocations penetrate the active Si layer and degrade the mobility. The number of threading dislocations is reduced considerably by grading the Ge content in the buffer layer, starting with low Ge content near the interface and increasing it as the layer grows. As mentioned earlier under tensile strain the lower two valleys have an electron mass roll - 0.92m0 along the channel and m• - 0.0.92m0. In ordinary MOSFETs at room temperature most of the electrons are in the 4 valleys with the higher mass. Due to tensile strain and absence of ionized impurity scattering, mobility in modulation doped quantum wells exceeds not only the mobility in MOSFETs but also the 3D mobility of bulk Si in which all 6 valleys are equally occupied. The highest low temperature electron mobility in a modulation doped quantum well is ~ 106 cm2/Vs [98] as compared to the highest mobility of 41,000 cm2/Vs in a Si MOSFET. At room temperature the mobility in the quantum well is larger by a factor 3.
5.4.
MOBILITY
111
n-MODQW 10 s -
graded buffer
/
E
O
correc
.~
_
~
(3oc
. . . . .
o
104 single-step buffer
E
q
1" 103
, 1
..,
.... , 10
,
..,
.... ,
,
.
100
temperature (K) Figure 5.17: Electron mobility versus temperature for n-modulation doped Si quantum wells. The layers were grown on relaxed SiGe buffer layers. The number of threading dislocations is reduced considerably which results in improved mobility. The data is compiled by Schiiffier (2000) [98] who gives references to the papers from where data are taken.
5.4.3
Hole mobility
in strained
alloys
Hole mobility in strained and unstrained Sil-xGez alloys has been studied recently by several groups [134, 135, 101, 77, 52, 40]. Strain modifies the valence band structure and the hole effective mass. These changes in the valence band have a large effect on the hole mobility. Hole drift mobility in both directions, parallel and perpendicular to the interface, is enhanced due to strain; it is somewhat larger in the parallel direction. Manku and Nathan [352], Manku et al. [262], and Briggs et al. [177] have calculated hole mobilities in the unstrained and strained SiGe alloys using the Monte-Carlo method. Both in-plane and out-of-plane mobilities increased with Ge concentration. The increase of the in-plane mobility was larger. As expected the mobilities calculated using the larger scattering potentials were smaller. It was difficult to determine the scattering potential from the experimental data because scatter in the data was large. Qualitatively the variation of the hole mobility with Ge concentration calculated by different groups [172, 177, 262] is similar. For doping concentration of _> 101~ cm -a the mobility depends weakly on Ge concentration. The values of in-plane hole mobility calculated by Manku et al. [262] for one doping concentration are shown in Fig. 5.18. Values of selected experimental data [177] are also shown in the figure. The trends in the experimental values are the same as predicted by theory, the values increase with Ge concentration and, for the data from the same author, they decrease with impurity concentration. There
112
CHAPTER
5. B A N D S T R U C T U R E I
I
AND RELATED PROPERTIES I
I
1 Manku 1993 cal. B (parallel) 225 - 2 Manku 1993 cal. B (perpendicular) o Cams 1996 1 - m McGregor 1993 j.f" 0 M-Krstelj 1996 1018~.~.I./2 ~" 175
-
~,,,,4 ,.Q 0
-
/.~..~./"
~.~.~.~
(yv:: .................. ~ ........
1018
..1D!.8..--<~
N 125 0
_
2xl0 ]9-
~ 75
2.5xl 101~ .................- ~ 7 x 1 0
1018
9x101~>....... o " I
0.0
I
0.1
I
0.2
O.
3
Ge fraction Figure 5.18: The in-plane (parallel) majority hole mobility in SiGe strained alloys. Calculated values of in-plane and out of plane mobility are shown for one doping concentration. Experimental data shown by symbols are those quoted by Briggs et al. [177]. The references to the original papers are: Manku [262], Carns [264], McGregor [269], and M-Krstelj [265].
seems to be no agreement between the values obtained by different groups for the same Ge fraction and same doping concentration. If we include other data from Refs. [265, 264], the scatter in the data points becomes very large. Most experimental values are smaller than those predicted by theory. As mentioned earlier the calculated values are not accurate because a simplified model was used for the valence band structure. Recent values of majority and minority hole mobil[ties in strained SiGe layers calculated by Buffer et al. [172] for 4 concentrations of dopants are shown in Fig. 5.19. Lee et al. [57] have grown strained Ge layer on Si0.3Ge0.7 relaxed buffer layers. The mobility is adversely affected due to the poor interface between silicon dioxide and the Ge layer. To avoid this a relaxed Si surface layer was grown at 400~ on top of the Ge layer. The mobility in p-MOSFETs fabricated from this structure increased by a factor of eight as compared to a Si device fabricated under identical conditions. The actual mobility was 1160 cm2/Vs. Very promising results on p-MOSFETs have been obtained by H6ck et al. [101]. The structure of the device fabricated by H6ck et al. [101] is shown in Fig. 5.20(a). The room temperature mobility of the SiGe MOSFET is compared with the inversion layer mobility in conventional n- and p-S[ MOSFETs in Fig. 5.20(b). Care was taken to keep the carrier concentration low so that parasitic conduction in the Si cap channel was negligible. The mobil[ties are not the Hall mobil[ties which are affected by the Hall scattering factor. They are true drift mobil[ties. Phonon and alloy scattering results in Eo~/3 dependence of the mo-
5.4. M O B I L I T Y
8o0
113
t
' I ' I " 300 i I ' I l'r~ ~, 600 200 .--====:~- 400 !.100 l0 " 200o ~1o171 i ~ 0 0.0 0.1 0.2 0.3 .~ 0.0 0.1 0.2 0.3 100 ' I ' I ' 100 1 . 50 .---""1~~
600800
i
I
'
I
400
' t
300~ ' ' '1'~ 200 - -.--':-""--::"=; -I
1
200
=~171/
100 ~ ND:
0 0.0 0.1 0.2 0.3 ['
I
'
I
1'.. J
'
200 . : 2 2[ND 2 2_:2 2 21- 01 10 90 ~ ]
1
1018
OI i I i I ~ / 0.0 0.1 0.2 0.3 '
'
200100
50 NA= 10
OI I I I I I I i I i I 0.0 0.1 0.2 0.3 0.0 0.1 0.2 0.3 Ge content
0/A
O/
I
I
I
I
t
I
0
0.0 0.1 0.2 0.3 0.0 0.1 0.2 0.3 Ge content
Figure 5.19: Majority and minority hole mobilities of SiGe for four doping levels (in cm -3) at 300 K: dot-dashed line is #• of strained SiGe, solid line is # of unstrained SiGe, and dashed line (curves 1) is #]l of strained SiGe. The figure is taken from Buffer 1998 [172].
bility whereas surface scattering gives Ee~/2 dependence. At room temperature the mobility obeys the phonon and alloy scattering mechanism at least over a small range of electric fields. The results at 77 K are shown in Fig. 5.20(c). Here one might have expected that surface roughness scattering will dominate. However the mobility varies as E e l . Presumably more than one mechanism determine the field dependence of the mobility in this case. It is also seen that the mobility decreases at very low fields at both temperatures. Here the carrier density becomes low so that screening of the charged impurities is not there and scattering by these impurities becomes significant. Fig. 5.21 shows that for low Ge content the mobility decreases in spite of reduction of hole effective mass due to strain. Enhancement of mobility is not observed until Ge content increases sufficiently. This trend is also seen in the theoretical plots in Fig. 5.19.
5.4.4
Interface s c a t t e r i n g
Palmer et al. [40] have introduced a Si layer between the gate oxide and active 8i64Ge36 channel to suppress the scattering by interface roughness. Devices with different thicknesses of the Si layer were fabricated and channel mobility was measured. The results are summarized in Table 5.5. The mobility in the Si control device is smaller because of the absence of band splitting and higher hole mass. The interface is closer to the carriers and therefore effect of interface scattering is larger. In the first 3 SiGe samples marked (a) the mobility decreases as the interface trap density Dit increases. The samples marked (b) also show the same correlation between the mobility and the interface trap density. Palmer et al. [40] suggested that roughness of Si/SiO2 interface (and not Si/SiGe interface) is responsible for the reduction in the mobility. The Dit decreases and mobility increases as the thickness of
114
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
AI gate
;
on...~m 5nm Si cap
P+
12.5nm Sio.~rGeo.= channel
P*
Sio.,~Geo.4 relaxed buffer
. . . .
~q'-
"
"
"
"
~' "
"I
'"""
'V,' " "
",~"
- -"~;'I
Sio.17Geo.= ol/3
3
,•EIO .o.
pMOS
"'-
Ee"
Si n - M O S
i
55 0 E ~ 102
""
.m.
(b) 30 . -
nl
si p-MOS 9
an
*
"
I.II
.
9
|.,
|,,.
nn,.,*l
.
.
.
.
.
.
.
.
.
.
.
.
0.1 1 effective vertical field [MV/cm]
0.01 10 4 -
al
,
"'-, E~"
'N'
",, 8i n-MOS
.~ 103 ,m,
O
E
Si p-MO/S~ ' ~
""
"~102 (c) 77 K gl
0.01
gl
| . |
m =lJ
II
.....
9
9
9
nl
9 =a,,.ll
0.1
1
effective vertical field [MV/cm]
Figure 5.20: (~) Cross section of the MOSFET grown on Si0.52Ge0.48 relaxed l~yer, (b) Effective c~rrier mobility in the Si0.17Ge0.83 channel p-MOSFET ~nd in conventional p- ~nd n-MOSFETs ~t room temperature, ~nd (c) same at 77 g (HSck 2000 [101]).
5.4. M O B I L I T Y
115
2UUU
i
|
,
strained Si~.xGe, c=1%
(/)
E 1500
, bulk,~"
300K
0
N 1000 O
E _9.0 500
bulk drift
r0
Hall l
,
0.0
I
9
,
0.2
I
0.4
.
l
|
0.6
0.8
-
!
1.0
Ge content x
Figure 5.21: Room temperature hole mobilities of strained Sil_=Ge= channels versus composition. Above x - 0.5 strain adjusting buffer layers were used to keep the compressive strain close to 1%. Stars show the bulk values as a reference. The figure is taken from Sch~iffier [98].
Table 5.5: Maximum effective hole mobility, #eft(max), vs capping layer thickness, Tcap. Also shown are the interface trap densities, Di~, measured at mid-gap or at the peak in the energy distribution of Dit. Samples marked * are silicon controls, Palmer (2001) [40]. Wafer No. W01(a) W02(a) W03(a) W06(a)*
Nsub (cm -3 ) 2 x 1017 2 • 1017 2 • 1017 2 x 1017
(nm) 2 4.5 8 24
Tcap
#eft (max) (am- 2V - 1s -1 ) 162 217 305 128
Dit c m - 2/eV 3.8 x 1012 5 • 1011 < 2 x 1011 < 2 x 1011
W09(b) Wl0(b) Wl2(b)*
,-~ 2 • 1015 ,-~ 2 • 1015 ~ 2 x 1015
2 5 0
228 249 144
8 x 1011 < 1 x 1011 5 • 1010
116
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
the cap increases. This happens on two accounts. Firstly the distance of the interface from the channel carriers increases. Secondly, in thicker caps penetration of Ge and its segregation at the Si/SiO2 interface decreases. The mobilities in samples (b) are somewhat higher. The samples were grown at a lower temperature and probably Ge segregation was smaller. Low temperature growth also improves interface quality. Very smooth interfaces have been obtained in quantum-cascade structures at a low growth temperature of 350~ by MBE [15]. Interface quality can also be improved by annealing in water vapours. Ngai et al. [5] have fabricated p-MOSFETs with ultrathin (~ 20 A) Silicon gate oxides. The oxides were deposited directly on SiGe layer by remote plasma CVD. The structure was annealed in water vapours at low temperatures. The quality of the interface between the oxide and SiGe layer improved considerable after the wet annealing. The authors suggested that the dangling bonds at the interface are passivated by atomic hydrogen during annealing. As a result of annealing the threshold voltage changed from -0.39 to -0.20 V, the threshold slope from 117 to 67 mV/dec and output current increased by more than 20%.
5.5 5.5.1
Electrical properties of SiGeC films Polycrystalline films
In poly-Si, the effect of grain boundaries on electrical resistance is the same on phosphorus and boron doped layers. The grain boundaries have trapping levels near the middle of the energy gap. The carriers are trapped and produce an energy barrier for the carriers to go from one crystallite to another. This reduces both the effective carrier mobility and carrier concentration, thus increasing the resistance [247, 122, 41]. At high carrier concentration, the traps saturate, the depletion region shrinks and the barrier height decreases [247]. However at high dopant concentrations, phosphorus segregates near the grain boundaries which results in an increase of resistance. The position of the trap in polySiGe layers is closer to the valence band. This increases the barrier height in n-type material but decreases it in p type material. The resistance of the phosphorus doped poly-SiGe layers increases whereas that of the boron doped layers decreases. The effect is large at high Ge concentrations [122]. Addition of Ge shifts the trap level towards the valence band which increases the barrier height for electrons but decreases it for holes. Moreover at the concentrations used, phosphorus segregates at the grain boundaries [122] whereas boron does not. In recent papers Anteney et al. [122, 41] have investigated the effect of carbon on the electrical properties of poly-Si0.s2-yGe0.1sCy layers. Amorphous layers were grown on oxide-covered (100) Si substrates by CVD at 500~ or 540~ The source gases were Si2H2, GeH4 and SiCH6 for Si, Ge and C. To introduce phosphorus or boron during growth, PH3 or B2H6 gases were used. 200 nm oxide cap layers were deposited on the layers and the layers were annealed at
117
5.5. E L E C T R I C A L P R O P E R T I E S OF SIGEC FILMS P implanted BCL 500
B implanted BCL
5000 I
400 4000
300
3000
,.a r~ .,.., r~ .,..a ~D
200
2000
100
1000
0
w/o
1
5
15 X 1019
0
w/o
1
5
15 X 1019
Carbon concentration (cm-3)
Figure 5.22: Sheet resistivity of pre-annealed boron and phosphorus implanted buried carbon layers with different carbon concentrations [114]. 9 2000 IEEE
1000~ for 30 s to convert the amorphous layers into polycrystalline material. The oxide cap was then removed. Electrical resistance, Hall mobility and carrier concentration were determined by van der Pauw technique. Addition of carbon results in a large increase in the resistivity of n-type samples. The value increases from 10 ml2 cm with no carbon, to 2.4 ~ cm for 0.6% C. For higher carbon concentrations the resistivity became very high. Both effective electron concentration and Hall mobility decrease with the increase in carbon concentration. The increase in the resistance of p-type samples was not so large. The resistivity increases from 2.6 mgt cm for no carbon to 14.7 m~ cm for 4% C. There was a small decrease in both the effective hole concentration and Hall mobility with the increase of carbon concentration. Interstitial C is known to introduce deep traps in Si. The presence of C at the grain boundaries modifies the energy and number of traps. The grain boundary barrier was determined from the temperature dependence of the resistivity [41]. It varied as the square of the C concentration for the n-type layers but linearly in the p-type layers. The square law dependence was attributed to the increase in the trap-density at the grain boundary due to the presence of C. The linear dependence in the p-type layers was explained by assuming that there was a shift in trap energy toward the valence band. 5.5.2
Single crystal
films
Heinemann et al. [114] have measured the sheet resistivity of C doped single crystal Si layers. 300-600 nm C doped layers were grown by CVD and were capped with 500 nm of undoped Si. Three different carbon concentrations were used as shown in Fig. 5.22. Fig. 5.22 shows that the sheet resistivity of the buried carbon containing layer doped with P is practically independent of carbon concentration. However in the case of B doped layers there is a large increase
118
C H A P T E R 5. B A N D S T R U C T U R E 150
,
,
.
|
AND RELATED PROPERTIES ,
5x10 "7 4X10.7
100
3x10 -7
m
2X10"7 i SO lx10"7 I
0
I
I
I
2x10 is
I
O
4x10 is
C d o n (cm")
Figure 5.23: The sheet resistance and contact resistance of SiGeC layers vs the dose of carbon implant. The average values of 64 measured chips are shown (Kurata 1999 [137]).
in resistance with carbon concentration. The effect of carbon on the resistance of single crystal Si is quite different from that on the resistance of poly-crystalline films of SiGe and SiGeC [122] discussed above. Kurata et al. [137] have synthesized B doped SiGeC layers by ion implantation of Ge, C, and B in Si and annealing. Measured sheet resistance and contact resistance of the B doped SiGeC layers are shown in Fig. 5.23.
5.6 5.6.1
Optical properties of unstrained alloys Optical
absorption
Intrinsic optical absorption is an important property of a semiconductor. By interpreting the observed absorption and its temperature dependence, it is possible to derive the band structure of the semiconductor in the neighbourhood of the threshold. Because of the indirect nature of the bandgap in Ge and Si phonons participate in tile transitions. Ge and Si are miscible in all proportions, forming a solid solution over the entire composition range. Pioneering experimental work on the optical absorption of cubic 2 Ge, Sil_, alloys was done by Braunstein et al. [300]. Values of x ranging from 0 to 1 were used and measurements were made at several temperatures from liquid helium to room temperature. Detailed analysis of the experimental results was made and values of the fundamental indirect bandgaps of the cubic alloys were derived. The energy of 2Unstrained Ge and Si and GeSi alloys have a diamond or face-centered cubic structure. We the term cubic alloys for the unstrained GeSi alloys i.e., to emphasize that the symmetry of the samples has not been lowered by strain. use
5.6. O P T I C A L P R O P E R T I E S OF U N S T R A I N E D A L L O Y S
119
the phonons was derived using the absorption spectra (see the review of Jain et al. [365], which gives an extensive list of references on the subject). In alloys with high Ge concentration there is an initial increase in the absorption coefficient a with hv due to indirect transitions. There is a second faster increase just below hv = 0.8 eV due to direct transitions. As Si is added to the sample, the absorption curve shifts to higher energies and the second increase becomes less and less prominent. When Si concentration becomes more than 13%, the second increase disappears. At higher Si concentrations, the curves shift to higher energies but their shapes remain practically the same. These results suggest that the band structure of the alloys remains Si-like for Ge concentrations _~ about 85% and becomes Ge-like for higher Ge concentrations. As the Ge fraction x increases, L band moves down and at x ~ 0.85, L band crosses the A band making the conduction band Ge-like. Braunstein et al. [300] could fit their observed spectra with the semi-empirical one phonon McFarlane Roberts equation,
(~(hv, T)
A [(by - Eg~(x) - k/~) 2 ( h v - Ege(x)+ k0~) 2 ] texp(0x/T)- 1 ' L 1 - exp(-0~/T)
(5.16)
where a is absorption coefficient (in cm -1), hv is photon energy, kOx is energy of the momentum conserving (MC) phonons involved in the electronic transition, Ego(x) is the bandgap and A is a constant. Actually more than one phonon participates in the indirect electronic transitions. These several MC phonons have a common reduced wave-vector, but they have different energies. The fit of the observed spectra with Eq. (5.16) gives a weighted average energy kOx of the MC phonons. Both the bandgap and the MC phonon energy depend on the composition x of the alloy [300]. Though this equation was originally derived for ordered single crystals, Braunstein et al. [300] showed that this equation is valid to a good approximation also for disordered alloys. The bandgap and MC phonon energy and their temperature dependence were derived by fitting the observed absorption spectra with Eq. (5.16). The phonon energy kO~ is weakly dependent on composition x near the two ends of the composition. It is equal to 270 • 20 K for pure Ge and 550 i 50K for pure Si. It changes rapidly at the intermediate compositions. The bandgap Egc(x) varies quadratically with temperature at low temperatures and linearly at moderate temperatures. The bandgap decreases slowly up to about 85% Ge and then decreases rapidly to Ge value at x = 1. The difference in atomic potentials of Ge and Si is small and substitution of one by the other does not give rise to localized states. The change in potential due to disorder in the alloy causes a scattering that should give rise to optical transitions without the participation of the MC phonons [366]. Braunstein et al. [300] found that the observed results could be fitted accurately with Eq. (5.16). A temperature-independent part of the absorption which could be attributed to alloy disorder scattering could not be detected. It was concluded by Braunstein et al. [300] that the dominant absorption mechanism near the threshold is phonon-assisted indirect electronic transition. This result is surprising since
120
C H A P T E R 5. B A N D S T R U C T U R E
AND RELATED PROPERTIES
luminescence results (discussed in the next subsection) show that a significant part of the luminescence is due to alloy-induced transitions in which the MC phonons do not play a significant role [366]. 5.6.2
Luminescence
studies
of cubic GexSil_~ alloys
N e a r b a n d edge luminescence Photoluminescence (PL) of the cubic Ge~Sil_~ alloys has been investigated by several authors; the most recent and comprehensive work is that of Weber and Alonso [366, 367]. Luminescence of the cubic Ge~Sil_~ alloys was studied for 0 __ x _ 1 over a wide range of temperatures. The observed PL lines are labelled as BE, FE and X lines. A BE line is due to recombination of an exciton bound to a shallow impurity and an FE line is caused by recombination of a free exciton. The X line is essentially a BE line but it might have an unresolved component of the FE line and of the bound multiexciton complexes (BMEC), which become important at high intensity of illumination. An upper index is used to indicate the nature of MC phonon (TO, TA or LA) that participate in the electronic transition. The index NP is used when the transition occurs without the involvement of MC phonons. A subscript (Ge-Ge, Si-Si, or Ge-Si) is used to specify the nearest neighbour atoms which determine the frequency of the particular phonon. For example, F E sT iO_ s i indicates a PL line due to recombination of a free exciton with the participation of a MC TO phonon due to Si-Si vibration. Typical 4.2 K PL spectra of the cubic Ge~Sil_~ alloys are shown in Fig. 5.24 for several values of x. The X NP line and its TO-phonon replica are seen in all the samples. For x - 0.08, the spectrum resembles that of Si except that the peaks are shifted to lower energies by 30 meV, due to reduction of the indirect band gap. The width of the X line is typically 7 meV as compared to 0.3 meV of Si lines. As Ge concentration increases, the X NP lines become sharper and shift to lower energies. The width of the phonon replica increases and for larger Ge concentrations, it splits into three components corresponding to the three TO phonons. The relative intensities of TOsi-si, TOGr and TOG~-s~ replicas depend on the composition of the sample. Observed phonon energies of the three replicas have values close to those of pure Si (TOsi-si), pure Ge (TOc~-G~) and phonons typical of the GeSi alloy (TOc~-si). The energies of MC TO phonons are shown in Table 5.6. The energies are practically independent of Ge fraction x. LA phonon replica is resolved only at high Ge concentrations. TA phonon energy varies from 19 meV in pure Si to 10 meV in pure Ge. The observed shupe of the X line changes with temperature. As temperature increases from 4.2 to 6 K, the X NP and X T ~ lines develop shoulders on the low-energy side. At 9 K, the shoulders increase in intensity and each line decomposes into two components. Finally at 15 K, the X NP and X T ~ lines disappear and only the low-energy components identified as FE NP and FE T ~ lines are observed. The nature of the FE line is confirmed by the fact that its shape and temperature variation can be fitted with the well-known expression
5.6. OPTICAL PROPERTIES OF UNSTRAINED ALLOYS
Sio.o7Geo.93
121
xNP I XTA XLA
]X~eOoe ~ e
Sio.2oGeo.8o
XNPI Sio.42Geo.58
XNP
iN P
XTO XSiOe
TO XGe-Ge XTOGe .~TAI vTO
Sio.62Geo.38
~ xTiOSi
r 1.15
II
xNP t (Tes I[ xTiOGe IIxT~ I vTO
i092e008 1.05
0.95
1 0.85
Energy(eV) Figure 5.24: Near bandgap PL spectra for several cubic Si-Ge samples at 4.2 K. The optical transitions are named X~ where j = N P if it is a no-phonon line or j gives the type of phonon involved in the transition and i specifies the vibration involved is Si-Si, Si-Ge or Ge-Ge. The figure is taken from Weber and Alonso 1989 [366].
122
CHAPTER 5. BANDSTRUCTURE AND RELATED PROPERTIES
Table 5.6: Energies of TO MC phonons in cubic GexSil-x alloys (Weber 1989 [366]). Composition x < 0.85 x > 0.85 x < 0.85 x > 0.85 0< x < 1
MC phonon TOsi-si TOsi-si TOce-c~ TOc~-G~ TOs~-c~
Energy (meV) 58.0 61.0 34.5 36.0 49
Mode Si: TO(A) Si: TO(L3) Ge: TO(X4) Ge: TO(L3) alloy mode
[3661
I(hu) ,.~ ( h u - Egc(X)) 1/2 exp [ - ( h v - Eg~(x))/kT] , where I(hp) is the luminescence intensity at photon energy hu, and Eg~(x) is the excitonic bandgap of the cubic Ge~Sil_~ alloy. These observations also confirm that the X line is essentially a pure BE line at low temperatures and low laser powers. The binding energy of the exciton to the shallow impurities determined from the observed spacing between the X and the FE lines is between 3 and 6 meV. Possible binding centers are background B, P and As impurities. These binding energies are similar to the ionization energies of 4.2 meV for B, 5.0 meV for P and 5.6 meV for As in Si. The binding energy of the exciton to these impurities is 4 meV in Si and 1 meV in Ge. The binding energy of the exciton to In impurity is 15 meV. Weber and Alonso [366, 367] did observe a value of 15 meV for the binding energy in LPE samples prepared from In solutions. With increasing laser excitation, a structure in the X line was observed and was attributed to a new component due to bound multiexciton complexes (BMEC). The ratio of the widths of the NP line and the TO replicas increases with x up to x - 0.5 and then decreases. This behaviour is caused by the short-range potential fluctuations due to Si-Ge pairs in the alloy. The number of such pairs is proportional to x(1 - x) and is maximum at x - 0.5. The NP lines are forbidden in pure Si and pure Ge crystals. NP lines can be observed if the exciton is localized at the impurities. The difference between local atomic potential and the average alloy potential presents a sufficiently strong perturbation to cause scattering and radiative recombination without the involvement of MC phonons [366]. The intensity of X Np line increases with the alloy disorder. The observed ratio of the NP and the TO line intensities is proportional to x ( 1 - x). The relative intensities of the two lines can be used to determine the ratio of Si and Ge atoms in the alloy [366]. The number of Ge-Ge pairs is proportional to x 2, of Si-Si, to ( 1 - x) 2 and of Ge-Si, to x ( 1 - x ) . Experiments confirmed that the intensities of the different TO replicas are proportional to the number of the pairs that give rise to them.
5.6. OPTICAL PROPERTIES OF UNSTRAINED ALLOYS
123
E x c i t o n i c indirect b a n d g a p Eg~(x) of cubic Sil_xGe~ alloys
Weber and Alonso [366] determined the bandgap Eg~(x) from their luminescence measurements. The excitonic bandgap (measured in eV) can be described by the following expression:
Egc(x) = 1.155 - 0.43x + 0.206x 2
(5.18)
for x < 0.85 and by the expression
Ego(X)--- 2 . 0 1 0 - 1.270x
(5.19)
for x > 0.85. In the middle range of composition, the bandgap determined from the absorption measurements [300] is lower than that determined from PL by 40 to 50 meV. The discrepancy is probably due to the inaccurate procedure used by Braunstein et al. [300] to interpret their data. Near x -- 0.5, McFarlane Roberts one phonon Eq. (5.16) does not remain valid; all three TO modes as well as NP transitions have to be included in the McFarlane Roberts expression [366].
5.6.3
Other optical bands in Sil_xGe~ alloys: Electron hole plasma and dislocations
A broad luminescence band is observed on the low-energy side of the X line at T > 10 K [366]. The intensity of the band increases up to about 20 K and then decreases [366]. The peak position of the band changes from 0.97 eV to 0.92 eV as the temperature increases from 10 to 50 K. The linewidth of the band has values between 20 and 50 meV; it starts with a high value at 10 K, decreases up to about 22 K and then starts increasing again. Weber and Alonso [366, 367] suggested that the band is due to electron-hole plasma formed in potential wells created by alloy composition fluctuations. Photoluminescence in plastically deformed Si and Ge shows characteristic peaks associated with the presence of dislocations. A review of this work with references to earlier work has been given by Weber and Alonso [367]. Four well-defined luminescence bands are observed. For pure Si the positions of the dislocation-related PL bands are D1 - 0.812 eV, D2 - 0.875 eV, D3 - 0.934 eV, and D4 - 1.000 eV. In the GexSil-x alloys the positions of D3 and D4 lines shift continuously to lower energies as x increases. The positions of lines D1 and D2 remain constant up to x = 0.2 and then shift to lower energies. Some groups have observed photoluminescence near 0.8 eV in short period SLs as discussed later. It is not clear whether the band observed in the short period SLs is different.
124 5.6.4
C H A P T E R 5. B A N D S T R U C T U R E AND R E L A T E D PROPERTIES Electroreflectance alloys
and
Raman
spectra
of the
cubic
E l e c t r o r e f l e c t a n c e (ER) ER is a highly sensitive technique to probe the direct transitions above the fundamental band edge of indirect bandgap semiconductors. Kline et al. [364] measured the ER spectra of the cubic Ge~Sil_~ alloys for values of x ranging from x = 0.076 to x = 0.935. Features corresponding to Eo, Eo+Ao, El, E1 +A1 and E2 transitions were observed. A linear dependence of the transition energies on Ge concentration was observed. The Eo and Eo + Ao were very sensitive to the concentration of Ge, whereas E1 and E1 + A1 were relatively less sensitive. The E2 was insensitive to the Ge concentration. Kline et al. [364] have given a detailed discussion of the electronic processes involved in the transitions. 5.6.5
Raman
scattering
in the cubic alloys
The behaviour of observed Raman TO frequencies of binary alloys is not the same in alloys of different non-metallic crystals. Alkali halide solid solutions show one-mode behaviour, i.e., only one frequency is observed and it varies from the value of one of the constituents nearly linearly to the value of the other constituent at the other end of the concentration range. Two-mode behaviour is observed with zincblende type crystals; here frequencies of both constituents are observed and their relative strengths depend on the composition of the alloy. Ge~Sil_~ alloys show a three-mode behaviour; three TO frequencies characteristic of Ge-Ge, Si-Si and Ge-Si vibration are observed [369, 370]. Renucci et al. [369] studied Raman scattering from Ge~Sil_~ alloys over the whole range 0 < x < 1 at 77 K and at room temperature. Three peaks were observed and were designated as Si-Si, Ge-Si and Ge-Ge TO lines. The positions of the lines are close to 500, 400 and 300 cm -1, respectively. There are additional weak lines between 400 and 500 cm -1. Alonso and Winner [363] have studied these weak features in detail. Their work shows that these lines are due to localized Si-Si motion in the neighbourhood of one or more Ge atoms. Long-range order or second-order Raman effect is not the source of these features [363]. A resonance in the scattering cross section was observed for Ge0.78Si0.22 at 2.45 eV. It is related to the E1 interband transition [363].
5.7 5.7.1
Optical studies of strained layers Near
band
edge luminescence
Near bandgap excitonic luminescence in strained layers was not observed until the 1990s. Non-radiative recombination at the free surface, at the dislocations and at other defects present in the layers dominated in the early samples. It has been shown recently that the luminescence originating from dislocations and other defects can be quenched by passivating the samples with hydrogen [1].
5. 7. OPTICALSTUDIES OF STRAINED LAYERS
125
Surface recombination can be suppressed by capping the layers with Si or by confining the carriers in a quantum well [1, see chapter 5]. Terashima et al. [371] reported the first observations of the near band edge luminescence from GexSil-x strained (x = 0.04) as well as relaxed (x = 0.15) layers. Two samples grown by MBE at 650~ were studied. The luminescence was excited by the 488 nm line of an Argon ion laser. In addition to the well known luminescence lines from Si substrate, additional luminescence lines due to GexSil_~ layers were observed. For x - 0.04, X N P luminescence lines due to bound excitons (with a possible small contribution from free excitons) were observed at 4.2 K. As in the case of cubic alloys, on increasing the temperature to 12 K, F E N P lines were also observed. TO-phonon replicas X T ~ and F E T ~ of the X N P and the F E N P lines were also observed. The difference between the peak positions of NP- and TO-assisted lines is 58 meV, a value in close agreement with the TO-phonon energy of the alloy [366]. In the sample with x = 0.04, the difference between FE and X line peak positions is 8 meV, which is a reasonable value for the exciton binding energy. Possible binding centers for the excitons are unintentionally doped impurities P and B. The spectra for x = 0.15 is somewhat different. The FE lines were not observed, the X lines and their TO replicas were shifted to lower energies and a dislocation related line and its TO-phonon replica were observed. The value of the excitonic bandgap in cubic alloys for x = 0.04 obtained from Eq. (5.18) is 1.138 eV, which is larger than the observed value 1.125 eV [371] for the F E N p line by 13 meV. Terashima et al. [371] attributed the difference to the strain-induced bandgap narrowing in their sample. If the layer was fully strained, the bandgap narrowing and the difference between the two values would have been larger. A partial relaxation of the layer with x = 0.04 cannot be ruled out. For x = 0.15, the observed excitonic bandgap agreed with the value calculated using Eq. (5.18), indicating that this layer was fully relaxed. This is consistent with the observation that dislocation-related lines are seen in the specimen with x = 0.15. Extensive experimental work on luminescence of the strained alloys has been done by other workers. The major features of luminescence front the strained layers are the no phonon X N P and its TOphonon replica X T ~ lines. As Ge fraction x increases, the energy of X g p lines and their TO replicas decreases due to the reduction in the bandgap of the strained layers. The ratio of the intensities of the X N P and X T ~ lines also increases with x, a behaviour similar to that observed with the cubic alloys. The total integrated luminescence intensity from strained layers is more than that from the Si substrate. Since penetration depth of the 514 nm line is 1 #m, the number of carriers generated in the Si substrate is much larger. The carriers must be diffusing from the Si substrate to strained layers and combining there to give rise to the luminescence. Robbins et al. [368], deposited the Ge~Sil_~ strained layers along with Si capping layers on Si(100) substrate by low-pressure CVD (LPCVD) at 610~ Ge fraction x varied from 0.126 to 0.236. The thickness of the strained layer as well as of the Si capping layer was 50 nm. Luminescence was measured with 514 nm exciting light from an Argon ion laser at 2 K and 4.2 K. There
126
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
Table 5.7: Positions of X Np lines in strained GexSil_~/Si(100) layers. The table is compiled by Jain [1] who gives references to the original papers. x 0.15 0.18 0.236
Growth method, T (~ RTCVD, 800 RTCVD, 625 LPCVD, 610
Position, T (K) 1.019 eV, (6) 0.996 eV, (4.2) 0.9705 eV, (6)
were no misfit dislocations; the layers were fully strained. Typical spectra of three samples with Ge fractions x equal to 0.13, 0.17 and 0.24 are shown in Fig. 5.25. As expected, all samples showed luminescence lines from Si substrate and Si capping layer, the strongest line being 1.092 eV TO replica of the bound excitons. The major features of luminescence from the strained layers are the no phonon X NP and its TO-phonon replica X T ~ lines. As Ge fraction x increases, the energy of X NP lines and their TO replicas decreases due to the reduction in the bandgap of the strained layers. The ratio of the intensities of the X NP and X T ~ lines also increases with x, a behaviour similar to that observed with the cubic alloys. The total integrated luminescence intensity from strained layers is more than that from the Si substrate. Since the penetration depth of the 514 nm line is 1 #m, the number of carriers generated in the Si substrate is much larger. The carriers must be diffusing from the Si substrate to strained layers and combining there to give rise to the luminescence. The peak energies of the X NP lines observed by different authors for typical values of x are shown in Table 5.7. The structure and thickness of the samples used are: 70 nm capped layer [372], (Ge 3.4 nm)/(Si 6.5 nm) MQWs [304] and 50 nm capped layer [368], respectively. The position Ex(x) (measured in eV) of the X NP line can be represented by the following equation obtained by making least-square fit of the 4.2 K data [368]: E x ( x ) - 1.155 - 0.874x + 0.37x 2.
(5.2o)
In cubic GexSil_x alloys the ratio of the intensities of the NP and' TO lines is proportional to 2 x ( 1 - x) and has a maximum value at x = 0.5. It can be seen from Fig. 5.25 that in strained layers also the ratio increases with Ge concentration up to x - 0.24, the largest value of x at which the measurements were reported. The TO phonons are Raman active and all three frequencies are observed in cubic alloys and in strained layers (Table 5.8). The intensity of the T O c e - s i replica decreases as the thickness of the strained layer decreases, due to quantum confinement effects. In the thin layers, exciton radius is larger than the layer width; hence the exciton penetrates and sees more of the Si atoms in the barriers. This produces an effective Ge concentration, averaged over the excitonic volume, lower than the actual Ge concentration. This has two additional effects on the TO replica. First, since the TO line is composite, due to T O s i - s i and TOce-8~
5.7. OPTICALSTUDIES OF STRAINED LAYERS
127
Photon energy (meV) 800 I
I
I
900 I
1000 I
I
1100 I
I
TO XSiGe
I
Si
Ii 500A
BsT
t
Sil_xex i: 00
x~ P iGe
II
1t 400gm
I
I
//~!
L
(a) x = 13% NP XSiGe
.,..~ r~
tD O
TO XSiGe
(D
O O
(b) x = 17% NP XSiGe
TO XSiGe
(c) x = 2 4 %
I
I 1.6
I
I 1.5
I
I I I I 1.4 1.3 Photon wavelength (gm)
I 1.2
I
I 1.1
I
Figure 5 . 2 5 : 4 . 2 K PL spectra of the strained layers with the nominal structure given in the inset (100 m W unfocussed 514 nm Argon ion laser excitation). Figure is taken from Robins 1992 [368].
128
C H A P T E R 5. B A N D S T R U C T U R E
AND RELATED PROPERTIES
Table 5.8: MC phonon energies (in meV) in GexSil-x alloys. The values in the first two rows are for cubic alloys. The values from Raman measurements are shown in the first row and from luminescence measurements, in the second row. The remaining values are from P L measurements for the strained layers. Table has been compiled by J a in [1] who gives more details and references to original papers. x, T (K) 0.3, 77 0 to 0.85, 4.2 0.18, 4.2 0.15, 6 0.24, 4
TA
17.8 16
TOc~-c~ 36 34.5 35 34 36
TOs,i-c~ 50.5 49 50.5 50 51
TOsi-si
62.5 58 58.5 58 59
vibrations, the line shape of the replica changes. Secondly, the ratio of intensities (NP line)/(TO line) decreases with thickness. Since the ratio (NP line)/(TO line) varies as 2 x ( 1 - x), it decreases as effective x decreases. This explanation is valid only for type I heterostructure, for a barrier to electrons less than 10 meV and for x < 0.5. At high temperatures, thermal broadening of the FE NP line dominates and the width is comparable to k T (see 2 K and 77 K spectra of Sturm et al. [a04]). The reported widths of the X lines are a to 7 meV for cubic alloys [366] and 3.7 to 7 meV [304, 373] for the strained layers. At low temperature, the line is usually composite, consisting of FE and BE NP lines. It is difficult to calculate the width of the composite line. However, Robbins et al. [368] could resolve the FE line at 2 K, and the width was estimated to be 2 to 3 meV. The thermal broadening at this temperature is only 0.17 meV, considerably smaller than the observed width. Robbins et al. [368] calculated the broadening due to fluctuations in the atomic distributions to be 3 meV, which is in good agreement with the observed values.
5.7.2
Bandgap
As discussed earlier, at higher temperature the X N P line changes to the FE N/) line. The intensity of the FE NP line changes almost linearly with exciting power but its shape remains independent of the exciting power and can be fitted with Eq. (5.17). Excitonic bandgap was obtained by fitting the free exciton line shape to this equation. The energy of localization of the excitons on the shallow impurities is 3 to 6 meV. Neglecting these localization energies, the energies of the X NP lines were taken to be equal to the free excitonic bandgap. The energy of dissociation of the excitons was calculated theoretically and added to the excitonic bandgap energy to obtain the bandgap of the strained alloys for values of x in the range 0 to 0.24. As discussed earlier, the experimental values
5.7.
129
O P T I C A L S T U D I E S OF S T R A I N E D L A Y E R S F_lectroiuminesctnr 4.2K SmA Ii.gV
(u)
aJ ~
.,m
~.(b}
700
84)0
Pkotoluminescence
Z K 120 mW S14~ nm
900
1000
1100
Enerl~y - rn~V
Figure 5.26: Broad photoluminescence and electroluminescence bands in MBEgrown Ge0.1sSi0.s2 samples [378]. of the bandgap determined by photoconductivity [340] can be represented by the empirical equation (5.1) quoted below: Eg = 1.171 - 0.96x 4- 0.43x 2 + 0.17x 3 The values determined using the above methods and obtained by using the above equation differ by up to 8 meV. While comparing the P L and the PC results, we should remember that the PC results should involve MC phonons and should in principle be somewhat different from those derived fl'om PL NP lines. 5.7.3
Broad
luminescence
band
First results of deep-level luminescence from GexSil-x strained layers were reported by Rowell et al. [377, 378]. In these experiments, the strained layers were grown by MBE at 400~ Single quantum wells, multiple quantum wells and uncapped layers were studied. The values of x varied from 0.06 to 0.53. A broad PL band (known as the alloy band) was observed in all the samples (Fig. 5.26; electroluminescence band shown in this figure will be discussed later). The peak position changed to lower energies as the Ge fraction x increased, peaking at 0.99 eV for x = 0.06 and at 0.62 eV for x = 0.53. The difference between the peak position and the bandgap of the strained layers remained approximately
130
CHAPTER
5. B A N D S T R U C T U R E
AND RELATED
PROPERTIES
constant at about 0.12 eV. The intensity of luminescence in the as-grown samples was low; it increased on annealing the samples at 600~ On annealing at higher temperatures the intensity decreased, and the band disappeared on raising the temperature to 800~ Internal quantum efficiencies up to 31% were measured at low temperatures. The luminescence persisted up to 80 K. Since the minimum layer thickness used in multiple quantum wells was 5 nm, zonefolding effects played no role in determining the luminescence characteristics. The band was attributed the accumulation of excitons in the GexSil-x strained layers [377]. However, subsequent work showed that this interpretation is probably not correct [1]. A strong broad luminescence band, somewhat similar to the alloy band discussed above, was also observed in the experiments of Terashima et al. [379]. The luminescence intensity increased fivefold after annealing the samples at 600 ~ or 700~ for 2 minutes in N2. On annealing at higher temperature (800~ for the same time, the luminescence intensity decreased drastically. TEM observations showed that interface degraded on annealing at 800~ The peak position shifted from 1.03 eV for x = 0.1 to 0.87 eV for x = 0.3. Some features of the luminescence band, particularly the annealing behaviour, are similar to those of the alloy band discussed above. Spitzer et al. [373] observed the deep-level luminescence (or the alloy band) and the near band edge luminescence in the same samples grown by MBE at low temperatures. The alloy band was lower in energy than the X NP lines by about 144 meV, as compared to the 120 meV value in the experiments of Rowell and collaborators [377]. The luminescence band disappeared on annealing the layers at 600 ~ The essential features of the band are the same in the two cases. However, in the experiments of Spitzer et al. [373], the layers used were very thick and therefore relaxation of strain and decay of luminescence occurred at lower temperatures. D i s l o c a t i o n - r e l a t e d l u m i n e s c e n c e of t h e s t r a i n e d layers
Terashima et al. [380] explored more thoroughly the low-energy features of the luminescence from the Ge, Sil_,/Si(100) layers with x varying between 0.15 and 0.5. The thickness of the layers varied between 300 and 500 nm. They used two lasers for exciting the luminescence: 488 nm line of an Argon laser with a penetration depth of 1 #m and 647 nm line of Krypton laser with a penetration depth of 10 #m. In addition to the near band edge spectra described above, the well-known dislocation related lines D1, D2, D3 and D4 were observed for x - 0.15 when excited with 647 nm line. The positions of these lines are the same as those observed in dislocated Si. The cross-sectional TEM image of the sample showed that there were many dislocations in the Si substrate in this sample. Based on these observations the authors concluded that the lines were due to dislocations in the Si substrate. With 488 nm excitation, the lines were accompanied with a broad background, which was found to be associated with the misfit dislocations in the partially relaxed Ge~Sil_~ strained layer. As the value of x increases, the sharp lines become weak and the broad
5.7.
131
O P T I C A L S T U D I E S OF S T R A I N E D L A Y E R S
band moves to longer wavelengths. As x changes from 0.15 to 0.5, the broad band peak moves from about 0.9 eV about 0.75 eV (estimated from Fig. 2 of Terashima et al. [379]). TEM studies showed that the number of misfit dislocations in the Sil-xGex layer increased with x and became much larger as compared to the dislocations in Si substrate. The broad band was attributed to the large (clustered) density of misfit dislocations in the Sil_~Gex layers. This dislocation-related luminescence (designated as deep-level luminescence by the authors) was more than 10 times stronger than the band edge luminescence discussed earlier and is in the same spectral region as the luminescence observed in thin superlattices [382]. 5.7.4
Electroluminescence
from strained
layers
Electroluminescence (EL) from strained GexSil_x alloys has been studied by many authors [377, 378, 384, 385]. Rowell et al. [377, 378] reported 4.2 Z electroluminescence from 200 nm thick p type Ge0.1sSi0.s2 strained layers grown on n type Si (see Fig. 5.26). The EL band is almost identical to the 890 meV broad band [377] shown in the same figure and discussed earlier. The internal quantum efficiency of the 890 meV EL band was estimated to be 0.25%, as compared to the value 0.75% for the PL band at the same temperature. The EL band persisted up to 80 K. As in the PL band, the peak energy of the EL band was always less than the bandgap of the strained layers by about 120 meV and no-phonon processes were dominant. The interpretation of EL band must be the same as that of PL alloy band. It must also be attributed to the excitons bound to the platelets [381]. Robbins et al. [368] studied EL due to recombination from the band edges of the GexSil_x strained layers grown by low-pressure VPE. A p-i-n diode was constructed and three QWs of p type GexSil-x (x = 0.2) strained layers separated by Si spacers were placed in the i region of the p-i-n diode. The NP and its TO replica were clearly resolved in the EL. At low temperatures, the EL and the near band edge PL were very similar to each other. The EL was therefore attributed to the exciton recombination. The EL was strong for temperatures < 130 K but persisted to 220 K. As the temperature increased to more than 130 K, the relative intensity of PL from Si became increasingly more important and dominated at higher temperatures. These observations have been confirmed independently by Mi et al. [385] with samples fabricated using RTCVD. Mi et al. [385] also observed that as the temperature increased from 77 K to 300 K, the relative intensity from Si continuously increased and became dominant at 300 K. Mi et al. [385] suggested that this switch of the spectra occurs because at higher temperatures the carriers do not remain confined to the GexSil-x QW. This interpretation suggests that it may be possible to observe PL and EL from the GexSil-x QW at room temperature if the band offset of the QW is increased by increasing the Ge fraction in the strained layer QW. Mi et al. [385] fabricated GexSil_~ QWs with high Ge fraction (x = 0.35), grown by RTCVD at 625~ The thickness of each QW was 60 A, separated by 320 A Si spacers. Altogether ten QWs were placed in the i region of a p-i-n diode. EL band was
132
CHAPTER
5. B A N D S T R U C T U R E
1020
AND RELATED PROPERTIES
4
4K
s
771(
--
theory
v
1000
980
960 2O
40
6O
80
1 O0
Well Width ( l )
Figure 5.27: PL bandgap versus quantum well width. Ge fraction is 0.2. Solid lines represent the theoretical results and solid symbols are the experimental values. Figure is taken from Xiao 1992 [375]. 100 times stronger in this sample (i.e., with x - 0.35) than in the sample with x = 0.20. 5.7.5
Quantum
wells
Confinement energy Robbins et al. [368] found that the X NP line shifted to higher energy by 16.9 meV when the thickness of the x - 0.17 layer changed from 50 nm to 6.3 nm. This increase in energy in the thinner sample is due to confinement effects. Sturm et al. [374] estimated the confinement energies as 32 meV for 3.4 nm, 40 meV for 2.9 nm, 43 meV for 2.5 nm and 47 meV for 2.3 nm samples. The confinement effects in GexSil_x/Si quantum wells have been studied more extensively by Xiao et al. [375]. A full 6 • 6 Luttinger-Kohn Hamiltonian was used for calculating the confinement energies. Confinement effects in the conduction band and variation of exciton binding energy with well widths were neglected. Linear variation of the inverse k.p parameters between Si and Ge was assumed. The excitonic bandgaps including confinement energies at 4 K and 77 K calculated in this manner are shown by the solid lines in Fig. 5.27. The maximum confinement energy for the 33/~ sample is 45 meV. The excitonic bandgaps of the quantum wells of different thicknesses, determined experimentally, are also shown in Fig. 5.27. The agreement is very good. The concentration of Ge has a large effect on PL of QWs. Large Ge concentration changes strain and bandgap. It can also produce misfit dislocations. Noel et al. [381] investigated luminescence from MBE-grown GexSil_~ quail-
5. 7. O P T I C A L S T U D I E S O F S T R A I N E D
LAYERS
133
tum wells with varying Ge concentrations and thicknesses. For well thicknesses between 2 and 3 nm, only band edge luminescence with its phonon replicas was observed. At large thicknesses and/or larger Ge fractions, a broad luminescence band was observed. At intermediate thicknesses, both luminescence spectra were seen. TEM dark field diffraction contrast images showed that the thicker QWs had interstitial platelets < 1.5 nm in size. The transition of PL from band edge to broad deep-level spectra correlates with the density of the plates. The plates were interpreted as accumulation of interstitials in the (100) plane and were richer in Ge than the average composition of the QW. Noel et al. [381] suggested that the broad band is due to excitons bound at these platelets. The spectra are at lower energies because of the lower bandgap of the platelets and they are broad because of varying sizes of the platelets containing different Ge concentrations. The platelets were found to be a few monolayers thick with their normMs along the growth direction. Effect o f d e f e c t s o n P L in q u a n t u m wells PL in quantum wells is affected by modification of the bandgap due to strain, by confinement energy and by dislocations if present in the SiGe well layers. KSnig et al. [216] have described PL experiments with SiGe multiple-quantum wells (MQW). The Ge concentration was high so that the well layers were metastable. If subjected to temperatures higher than the growth temperature, dislocations and other defects are created and the layers become useless for device fabrication. The stability of such layers can be determined by studying the photoluminescence (PL) of the layers annealed at different temperatures. PL of Ge0.2Si0.8 MQW annealed at different high temperatures is shown in Fig. 5.28. It is seen in this figure that annealing at 600 ~ gives rise to dislocation related D1 and D2 PL lines. On annealing at 650 and 780 ~ intensity of the D1 and D2 lines increases and the MQW PL lines marked NP and TO si shift to higher energies. This shows that now the strain has been relaxed by the misfit dislocations. Similarly mobility of the carriers also degrades at temperatures between 600 and 700 ~ [216]. Out diffusion of dopants and inter-mixing of Ge and Si is also a problem in high temperature processes used in the standard Si chip fabrication. Electron-hole
plasma
Xiao et al. [376] investigated PL due to free electron-hole plasma in the strained layers. Two samples with 20% Ge and of 33 A and 500 /~ thicknesses were studied. At liquid helium temperatures only X N p lines were seen. At 77 K and at higher laser powers, the characteristics of the spectra changed. In the 33 A sample, the lower edge of the PL line remained unchanged but the high-energy side moved upwards. The observation can be explained if it is assumed that the excitation gives rise to free carriers that accumulate in the quantum well and increase the quasi Fermi level. In the 500/~ sample, the lower side of the line also moved to lower energy. This is probably due to the renormalization of the bandgap due to high carrier densities in the well. The effect of well width on
134
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S I
I
I
TOSi-Si
Sio.sGe0.2
NP
MQW
SU "
as grown r~ ov..=q
t~
_
.....
annealed at 600~ D 1 D2
o
|
ov-~
r~
or.=4
j ! i
78o t
|
t....x
!
!
,"
t
0.7
0.8
0.9
1.0
1.1
Energy (eV) Figure 5.28" Effect of annealing on the PL of GeSi MQW (quoted by KSnig 1996 [216]). the bandgap renormalization is not known.
5.8 5.8.1
Optical studies of quantum wires and dots Quantum wires
Low dimension quantum structures have several desirable properties for fabricating high frequency devices with enhanced performance [210]. We discuss Raman, PL and Photoreflectance (PR) experiments performed on GeSi QWRs and QDTs in this section. Early measurements of Raman shift in small SiGe layers were reported by Nishida et al. [248]. These measurements demonstrated the existence of edgeinduced stress relaxation unambiguously. The size of the layers varied between 1 x 1 and 100 x 100 pm 2. The thickness of the layers was 50 to 300 nm. The Raman spectra of two small strained 150 nm thick layers are shown in Fig. 5.29. The strain induced shift Aw in the SiGe Raman peak is smaller in the 2 #m layer than that in the 10 #m layer (see Fig. 5.29(a)). This shows that edges
5.8. OPTICAL STUDIES OF QUANTUM WIRES AND DOTS
135
(a) i ....
Ill
(b) o .....
1.0 O
0.8 [u]
0.s .c
(b) L . 1014m 0.0/ 0 I,'.
~30
520
510
i
500
I I, I I 1 2 3 4 Distance from boundary (l~m)
5
:_
490
Figure 5.29: (a) Raman studies [248] of strain in laterally small 150 nm thick Si0.sGe0.2 layers. [I] is the Raman peak from the Si substrate and [II] is the peak from two small SiGe layers. The vertical dotted line is the peak position corresponding to the relaxed SiGe alloy. Aw is the strain induced shift. (b) Strain as a function of distance from the edge of the layer measured by microRaman method [248].
cause larger elastic relaxation of strain in the smaller layer in agreement with the predictions of Fig. 2.20. The shift of the Raman peak in thin Ge epilayers grown on Si has also been measured [143]. However the observed shift did not agree with the calculated shift presumably due to islandic growth or dislocations. In both cases confinement effects can change the Raman shift [143]. As discussed earlier in-plane stresses in the stripes and quantum wires are not equal in the x and y directions. A further complication arises due to the fact that the stripes are usually grown in [110] directions and the semiconductors are not isotropic. We have developed a method to calculate the splitting of the Raman modes and photoluminescence (PL) peaks due to stresses in the middle of the surface layer of the stripes [227, 221,222]. The method takes into account the anisotropy of the semiconductor. Using this method the relation between the normalized stress cd - axx/ao (in the middle at the surface of the stripe), misfit parameter fm and shift AE in the PL peak is plotted in Fig. 5.30(a). We have made similar plots for the relation between s t, fm and the observed shift, of the PL peak. For any given value of fm and observed value of the shift in the PL peak or Raman shift the value of normalized stress s t in the stripes can be read from these graphs. Using these graphs we have calculated the stresses in the stripes using observed values of luminescence and Raman shifts given in the literature [192]. These values of stresses are compared with the FE calculations in Fig. 5.30(b). The agreement between the calculated and the experimental
136
CHAPTER
5.
BANDSTRUCTURE
AND
RELATED
PROPERTIES
1.2-
2t~. t6"" "7.
*'~
ct FE cal., Is = l, h s = 100
1 ~\
~JFE cal., ls>>l, h s = 100
~ 0.8 I \\ \ (shadedarea 0.6<EICEs<0.9) ~ ra\\\0~ Symbolsare the literature "=~ ] i-' \\-~ valuesof the exptal, data ~ t o ~ ~ ~ r GaAs' SiGe' and InGaAs "~0.4
(a) ]
x=0 Raman shift in SiGe stripes
r,~,_~
]
0
~
0.0
.
.
1
(.b.)..... . 10
.
100
//h
1000
Figure 5.30: (a) Surface plot of Aw3 Ge concentration X and normalized stress for a Sil_xGex stripe. (b) Comparison of experimental and calculated values of stress relaxation 1 - a x x / a o in several different stripes. Symbols are the values derived from PL or Raman measurements. FE values of stresses for 0.6 < E f / E ~ < 0.9 for ~ samples are shown by the shaded area.
o/ = axx/ao
15 [a) 30Sim '~oeS~
~,1o Si
lOOnm x
~
zI Si substrate 0 48
50
52
5448
50
52
5448
50
52
54
Wavenumber x 0.1 (cm -1) Figure 5.31: Fig. (a) shows the schematic diagram of the 150 nm wide SiGe0.11Si0.sg-Si quantum wire grown on a Si substrate (the dimensions shown are not to scale). Fig. (b) shows the calculated spectrum. Curve 1 in Figure (c) is the observed spectrum and its resolved components into an unstrained Si line (curve 2) and a line due to strain in GeSi and Si layers (curve 3) [209]. The calculated and experimental curves, superposed in Fig. (d), show very good agreement.
5.8. O P T I C A L STUDIES OF Q U A N T U M W I R E S A N D D O T S
137
values is satisfactory. If laser light penetrates deeper in the sample, calculation of shift in the Raman frequency or in the P L peak becomes more involved. Raman and luminescence spectra of the quantum wires are modified because of nonuniform strain and confinement effects [221, 198, 192]. Jain et al. [198, 192] have developed a highly successful method to calculate the Raman spectra of nonuniformly strained SiGe quantum structures. The model first cMculates the stresses in the structures using the finite element method. Using these strain values the relevant secular equation (which connects the Raman shift to the strain components) is solved to obtain Raman spectra that originate at each point in the structure. The spectra from different points are different because the strain in the wires is nonuniform. The spectra from all the points in the volume sampled by the laser beam are calculated. The calculated spectra are modulated by the intensity of light and superposed to reproduce the observed spectra. The effect of confinement on the Raman phonons is found to be negligible. The observed [209] and calculated [197] Raman spectra of the GeSi quantum wires shown in Fig. 5.31 are in very good agreement.
5.8.2
PL and P R m e a s u r e m e n t s of GeSi Q W R s
PL and PR measurements with GeSi QWRs [211] are now discussed. In these experiments, 15 periods of 1.5 nm Si/1.5 nm Si0.sGe0.2 superlattice capped by a 5 nm Si layer were grown first. QWRs were then fabricated using photolithography and reactive ion etching. PL and PR spectra obtained with 5 samples of the QWRs are shown in Fig. 5.32. (FE)n~NP(TO) ~'~si-si represents no phonon (TO phonon assisted) (free) bound exciton emission, E H D represents the electron-holedroplet emission from the Si NP layer or substrate and Xsi_Ge is the exciton emission from the SiGe layer. The arrows mark the transition energies in different samples. The P L peaks from SiGe layers start shifting to higher energies when the width becomes less than 200 nm. This shift continues as the width is decreased to smaller values. Since the bandgap of SiGe layers increases as the strain relaxes, a part of this shift is due to edge-induced strain relaxation in the wires. The other part is due to the confinement effects. The behaviour of the P R peak is different. The peak first shiftsto lower energies and as the size is reduced further, it starts moving to higher energies. More work is necessary to interpret these experiments. W e also discuss one example of the luminescence experiments with GaAs based Q W R s . A schematic diagram of the buried InGaAs quantum wire fabricated by Arakawa et al. [240] are shown in Fig. 5.33(a). W e have calculated stresses and strains at different points in the cross-sectionof the wire. Calculated stressesalong the line cd are shown in Fig. 5.33(b). Indium concentration for which calculations were made is 29% which corresponds to a0 ~ -4.1 GPa. It is seen that ayy is practically equal to a0 but ax~ and az~ are considerably smaller. Peak positions of the PL spectra as a function of Indium concentration observed by Arakawa et al. [240] are shown in Fig. 5.33(c). Calculated PL peak
138
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
1150
Energy (meV) I 120 1090
.
1060
,
|
,
!
l "
T = 300K
l l h (F)
$
~ v...~
xl
b
v ~
0
~ ,...r
f t
1.07
1.09 I.II 1.13 1.15 1.17 Wavelength (l~m)
70 ......2.72
9
234
2.76
2.78
Energy (eV)
Figure 5.32: PL and PR of hole gas in modulation doped Si0.sGe0.2/Si QWRs: (a) as grown, (b) 2.5#m• (c) 2.5#m• (not shown), (d) 2.5#m• (e) 2.5#mx40nm, (f) 2.5#m• and (g) pattern etched off (Tang 1996 [211]). Abbreviations are explained in the text. positions for the unstrained and strained alloys are also shown. It is seen that for small Indium concentration the peak position agrees with the strained alloy. As the Indium concentration increases, the peak position deviates from the strained alloy v~lues and tends to move towards the unstrained alloy values. Aral~wa et al. [240] suggested that at high Indium concentration misfit dislocations are introduced and strain relaxes. 5.8.3
Quantum
dots
SiGe quantum dots (QDTs) have also been fabricated [213]. Epilayers for QDTs were grown using MBE. The QDTs were structured using electron beam lithography and reactive ion etching. Two samples of QDTs, designated as samples F and G were studied. The active region of sample F consisted of 10 periods each of 4 nm Si and 4 nm Ge0.2Si0.s layers covered with a 16 nm Si cap layer. The diameter of the QDTs varied in the range 300 to 1000 nm. In sample G the cap layer thickness was the same but the active region consisted of 15 periods each of 3 nm Ge0.3Si0.7 layer and 3 nm Si layer. The diameter of the QDTs in sample G was in the range 50 to 60 nm. In both cases the average distance between QDTs was equal to the average diameter of the QDTs. The observed Raman spectrum from the 500 nm diameter QDTs of sample F is shown in Fig. 5.34. As expected, Raman frequencies from both the Si layers and the GeSi layers are considerably shifted due to edge-induced stress relaxation. As compared to the
5.8.
O P T I C A L S T U D I E S OF Q U A N T U M W I R E S A N D D O T S
139
Figure 5.33: (a) Schematic diagram of a buried QWR grown in a V-groove (after [240]), (b) Stresses calculated by the FE method [260] along the line cd in the buried QWR, (c) PL peak position versus Indium concentration in the buried quantum wire. Experimental data is from Ref. [240]. Discrepancy between experiment and calculation for large In concentration is due to strain relaxation.
Si substrate the shift in the Si layers is downward, indicating that the Si layers are under tensile strain. As compared to pseudomorphic alloys, the shift in the GeSi layers is also downward which shows that the compressive stress in the layers is reduced due to edge-induced relaxation. FE calculations of the stress [205] for sample F were also made. The Raman shift calculated for this value of stress agrees well with the observed value. Since the diameter of the QDTs in the G sample is much smMler, the edge-induced relaxation is much larger. Literature on SiGe quantum dots and nano-particles is growing very fast. A comprehensive treatment of this work is beyond the scope of this book. We briefly discuss some recent papers. Cain et al. [11] have investigated the transport properties of trench isolated quantum dots. They have found many interesting new features. Due to coupling of the dots a single hole can be delocalized over two neighbouring dots. The tunnelling barrier can be raised or lowered by the application of a gate-voltage. These results are important for quantum computation. Lin et al. [17] have prepared SiGe nanoparticles by thermal evaporation on a glass plate in Ar ambient. The structure and shape of the particles were determined by TEM and Raman spectroscopy. Above an Ar gas pressure of 0.4 Torr the particles were crystalline. The Ge content at this pressure was 50%. As the pressure of the chamber increased further, the Ge content in the dots decreased. At 100 Torr several particles having two half-moon shaped sections were observed. Tevaarwerk et al. [9] have fabricated electrically isolated SiGe quantum dots. The dots were grown on ultrathin SOI structured into mesas. Near the edges of the mesas the ultrathin Si layer is practically totally consumed by the growing dots and the dots are completely isolated. Isolated quantum dots
140
C H A P T E R 5. B A N D S T R U C T U R E A N D R E L A T E D P R O P E R T I E S
30 150
,20 ,,p
~-~ 100 i =
2 b~
50
O
I
i
i
i
480 500 520 540 560 Wavenumber (cm-1) Figure 5.34: Quantum dot Raman spectrum (Si-Si vibration, curve 1, intensity scale on the left) from 500 nm diameter QDTs in sample F together with the fitted curve. The fitted curve is practically identical with the observed curve and can not be seen separately. The fitted curve consists of three Lorenz lines (intensity scale on the right), the strongest curve 2 is the line from Si substrate, dotted curve 3 is from Si layers in the QDTs and the dashed curve 4 is from the GeSi layers in the QDTs. The figure is taken from Ref. [213]. ca be used as patterned gates for non-volatile floating gate memories [9]. Cazayous et al. [13] have determined the strain and composition of selfassembled SiGe islands using micro-Raman spectroscopy. They have shown that both in-plane and perpendicular to the growth plane components of strain can be calculated using Raman spectra. Both nm- and pm-sized dots were studied. The experimental results agreed with those calculated using finite element method. Results of a very comprehensive study of Intraband absorption and photocurrents have been reported by Fromherz et al. [8].
5.9 5.9.1
Superlattices (SLs) Band
structure
of SLs
Two thin layers of different Ge compositions, GexSil_,/GeuSil_u, form the basic unit of a superlattice (SL). An SL is formed by repeating this unit several times. The thicknesses of the two layers are denoted by dl and d2 and the period of the SL is d = dl + d2. Literature on the band structure calculations of the SLs is very extensive. The zone-folding effects on the band structure have been studied extensively
141
5.9. S U P E R L A T T I C E S (SLS)
Si
Ge5Si 5 free standing .........
...
.-
'.
ii;.~!~::. 2
"..:'.,.-,': ,.;"':'" .... .-'...C"
-.<:=:.-::.~:~
~:
....
:...... "
:." ; ""..
,,'
:,,
..~.~-,5"1..'.......
9........... ." ..'"': ..;f ~.". .'.. :, ,....... ~':.
t,
-.. ...... ~,~'.:
;'...
"......
%'-K'.
" ";"'"~:<:'~~.... "I" " " ...............'~~ 0 " ...o o... .
'-. .~. .
oO:..
.
.....~S'"
.
.S ,II'l .'"'..~
-4
i'.. . . .
" " : : ~ ~..,.
'"
:0..,
~"
." "''~!
"':":~-~
:,. ~L."."/.:.::
~9. ~
"6
"
N
F
/
XP
....?
'..i
9 o..- o"'~176
r'z L
[11t]
r
1oo
x
Figure 5.35: Electronic band structure of the SisGe5 SL grown on Si0.sGe0.5 relaxed alloy buffer layer. The SL has a globM energy minimum for the conduction band at the zone center. The value of transition matrix element for the lowest energy is a factor ten less than that of GaAs [99]. The figure is taken from Schmid et al. 1990 [387].
by several authors. Band structure of the SimGe~ SLs has been determined using local density approximation, envelope function approach, tight binding calculations and linear muffin tin orbitals and local density approximation [1, and references given therein]. In the SLs grown on the alloy substrate, electrons states are highly localized in the Si wells because the alignment is type II. For such a SL the conduction band minimum is in the Si layer and the highest point of the valence band is in the Ge layer. The gap is therefore indirect in the real space. When n - m is large, the transition becomes more indirect in real space and the oscillator strength is reduced. Zachai et al. [382] calculated band structure of Si4Ge6 and Si6Ge4 SLs grown on relaxed alloy substrates with different compositions. (A SimGe~ SL consists of dl = m mono-layers of Si and d2 = n mono-layers of Ge.) Band offsets of Van de Walle and Martin [341] were used. Splitting of the sixfold degenerate A bands and of the heavy hole and light hole valence bands resulted in type II or staggered band lineups for all SLs investigated. They found that zone folding produces a direct bandgap only if m + n = 10. Schmid et al. [387] studied theoretically and experimentally SisGe5 SLs. The calculated band structure of this SL is compared with that of Si in Fig. 5.35. The periodicity of the SLs folds the two conduction band minima along the SL axis. This gives rise to a direct bandgap. The other two in-plane minima remain unaffected. This results in an indirect bandgap. Thus there are indirect and direct bandgaps very close together at the F point. Since the density of states in the indirect bandgap is
142
C H A P T E R
5.
B A N D S T R U C T U R E
10 s
A N D
R E L A T E D
P R O P E R T I E S
B1767 (6:4, SiGe SLS) ........
. ............
: ....
:.....................................................
.......
.. . . . . . . . . . . . .
; .....
:. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
~
.......
. .............
: .....
: .....
, . . . . . . . . . . . . . . . . .
i .....
i. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
.....................
.. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
....................::.....!......................................................
...... r
E9
" .....
:
..............
~ .....
! .....
}. .....
.
.......
~
......
" .....
i .....
; .....
! .........
:
:
:
:
9
.
" .......
:"'O
i ......
.......................
: ...............
.Q ....................... ....................
. .................. ~ ..................
....:-...... :................i..............................i..................
v o--
:
.-
-r
9 "'! ....
.....
1~ 3
9
: ......
!. . . . . . . . . . . . . . . . : "i ......................
:
:
{ ............... i
:: i i 9 ; :::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::: ........
i .......
.......
: ..............
? .....
! ...........
i................................
~ " 9 ..............
: ...........
:. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
! ....
. . . . . . i ....... i..... i ......... ::. . . . . . . . . . . . . . . . . . . . . . . . . . . . ....... : ...... ! ..... {........... !................................ . . . . . .
102
. . . . . . .
;
. . . . .
.
. . . . .
.. . . . .
:. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
i , -60 70 80 90 100
e ..........
i ........ (, ........ : ........... % ; ~ ~ . . . . . . . . . . . . . . . . .
200
300
T (10
Figure 5.36: The electron Hall mobility of symmetrically strained SisGe5 SL as a function of temperature. The measurements were made in the low-field region where B< 2 kG. The figure is taken from Pearsall 1998 [165].
much higher, it is very difficult to observe the transitions in the direct bandgap. It is therefore important that zone-folding occurs in all the three directions to obtain an observable direct bandgap [99, and references given therein].
5.9.2
Mobility
in SimGen
SLs
In the symmetrically strained SimGen SLs each layer is strained, the Si layer is under tension and the Ge layer is under compression. This lifts the degeneracy of the conduction band in Si as discussed earlier and increases the electron mobility by reducing the carrier mass. The degeneracy of the hole bands is also lifted, the valence band structure as well as the hole mobility are modified. The measured temperature dependence of electron mobility is shown in Fig. 5.36. At room temperature the SL electron mobility is 200 cm2/Vs which is smaller than the electron mobility in Si with similar doping concentration. At 77 K the mobility in the SLs is larger than that in Si. The ratio #77/#300becomes > 50. The carrier concentration in the same temperature range varies from 2 • 1016 cm -3 at low temperatures to ~ 6.2 x 1016 cm -3 at 300 K. SLs which lack a center of inversion symmetry should show non-linear optical coefficients. However experiments have not shown any non-linear effects [99].
5.9. SUPERLATTICES (SLS)
143 B1767 (6:4, SiGe SLS) , . . ., . . ,. . ,
0.9 0.8 0.7
S~Si
64.8 meV (Unstrained)
c•0.6 m
0,5 o O.4 z 0.3 0.2 0.1
250
Ge-Ge 37,9 meV (Strained) A
300
Si-Si 62.3 meV Si-Ge (Strained) 50.9 .meV
350 400 450 500 Wave Number ( c r r r ~)
550
600
Figure 5.37" Room temperature Raman spectrum for the Si6Ge4 short-period SL. Four principal Raman lines are seen at 521.5 cm -1 (bulk substrate Si-Si), 501.5 cm -~ (strained Si-Si), 410cm -~ (strained Si-Ge), and 305 cm -1 (strained Ge-Ge). The figure is taken from Pearsall 1998 [165].
5.9.3
R a m a n and L u m i n e s c e n c e spectra of Sim G e n S L s
The Raman spectrum of a Si6Ge4 short-period SL at room temperature is shown in Fig. 5.37. The spectrum is similar to that observed in Si0.sGe0.5 alloys. Actual Raman shifts in the SL are somewhat different. The PL of short period SLs measured by Zachai et al. [383] and by Okumura et al. [390], Zachai et al. [383]used SimGe~ with m + n - 10 but with different values of strain. The values of m • n were 6 • 4, 6 • 4, and 4 • 6, and the corresponding strain values were 1.4%, 2% and 2.9%. In all cases a strong broad PL band centered between 0.75 eV and 0.85 eV was observed. In another set of experiments Zachai et al. [383] used SLs with m + n =/= 10. In this case the strong PL was not observed. Zachai et al. [383] mentioned that since theory predicts that a direct bandgap due to zone-folding effects is produced only when m + n - 10, their results provide evidence of the existence of direct bandgap SL. However Schmid et al. [386] compared observed energies of the Electro Reflectance (ER) and PL lines [383] with the transition energies that they calculated (see Table 5.9). The calculated energy is larger by 0.2 to 0.3 eV as compared with the PL peak energy. Schmid et al. [386] suggested that the PL peak observed by Zachai et al. [382] is probably related to the dislocations or other defects. Okumura et al. [390] also studied PL of the SLs with different values of m + n - 10. They observed narrow lines between 0.8 and 1.1 eV. The absorption coefficient ~ was also measured. The plot of (hv~) 2 versus hv was a straight line for (Si4Ge12)69 SLs but not for other SLs. The authors concluded
144
C H A P T E R 5. B A N D S T R U C T U R E
AND RELATED PROPERTIES
Table 5.9: Calculated transition energies and observed ER and PL peak energies (eV) in Ge/Si SLs [386]. SL Ge4Si4
e~'~ 0.0
Ge6Si4
4.2
Ge4Si6
1.4
Observed 1.25 (ER) .70 (ER) not observed 0.96 (ER) 0.84 (PL) 0.84 (PL)
. . . .
~
........
,
. . . .
,
.-.......,
Calculated 1.29 .77 0.86 0.99 1.08 1.12
......
Si~Ges
PL
ELI I I S"'iGe~(l'~m)~ I l
p*
p*
i n"
(D 0 t-" 0 (/)
"'~
(D ._
/
1
E
._1
0.7
0~
0~
1,0
1 ~
Energy (eV) Figure 5.38:10 K PL and room-temperature EL from a SiGe short-period (5:5) symmetrically-strained SLs ( Menczigar 1992 [389]).
that among the SLs investigated by them only (Si4Ge12)69 had a direct bandgap. It is difficult to resolve the controversy for a variety of reasons. A virtual substrate (buffer layer) is needed to fabricate symmetrically strained SLs. This substrate contains a large density of threading dislocations. Optical spectra of the SLs grown on these buffer layers depend sensitively on the compositions, growth temperature, strain conditions, contamination with small impurities and concentration of threading dislocations. The broad luminescence band observed by Zachai et al. [382, 383] is similar to the broad PL band observed by several investigators [368, 373] in thick Ge=Sil_x layers and Ge=Sil_=/Si quantum wells which do not have any zone-folding effect. Luminescence observed by Zachai et al. [382, 383] may have originated from the relaxed Ge=Sil_= layer on which the SLs were grown [304, 374]. The spectra of Okumura et al. [390] is similar
5.9. SUPERLATTICES (SLS)
145
to the well-known dislocation-related D 1 and D2 luminescence lines observed in dislocation-rich Si or in relaxed Ge~Sil_~ samples. Terashima and collaborators [379, 380] have pointed out that presence of strong luminescence in the 0.8 eV spectral region cannot be taken as conclusive evidence that it is due to direct transitions. PL and electro-luminescence (EL) of observed in the symmetrically strained Si5Ge5 SLs [389] are compared in Fig. 5.38. Luminescence is weak at room temperature and no indication of laser action was observed [99]. There is no unambiguous evidence that direct transitions with high oscillator strength have been observed in SiGe short-period SLs [391, 99].
This Page Intentionally Left Blank
Chapter 6
Heterostructure Bipolar Transistors 6.1
Introduction
Circuit applications of SiGe HBTs have been discussed by Harame et al. [36] and by Washio et al. [48, 22]. SiGe HBTs are used in a wide range of products. They are used in analog and high frequency devices and in wireless cellular CDMA and GSM standards at 900 MHz and 2.4 MHz. The chip count and power consumption in a 2.4 GHz wireless local network (LAN) has been reduced by 50% by the use of SiGe HBTs. The other areas where SiGe is used are 10 Gbps synchronous optical network (SONET) transmit-and receive modules, transimpedance amplifiers and 1-2.5 Gb/s ethernet applications. SiGe is also used in products with lower levels of integration, e.g.: LNAs, VCOs, mixers, power amplifiers (PAs) and GPS receivers. Even in the relatively low frequency range of 30-40 GHz f T use of SiGe HBTs has an advantage over the Si BJT. These frequencies are high for Si BJT and they require a complex design. Even with the complex structure Si BJT shows a severely degraded Early voltage. SiGe with a graded profile and simple structure performs well in this frequency range
[36]. In the conventional homojunction transistor, the doping concentration in the emitter is considerably higher than in the base, in order to obtain a high injection efficiency. As the doping concentration increases to more than l0 TM cm -3, bandgap narrowing due to heavy doping becomes significant and the increase in injection efficiency with doping becomes small [316]. In a SiGe HBT the bandgap of the emitter is larger and therefore the injection efficiency can be made very high, even if the base is doped more heavily than the emitter [1, 284]. In an optimized transistor, a value of 50 for ~ is usually sufficient and the high injection efficiency can be traded with heavy doping in the base. The base resistance can be reduced which allows reduction in base thickness and in the transit time of the minority carriers across the base. High values of the oscillation frequency 147
148
CHAPTER 6. HETEROSTRUCTURE BIPOLAR TRANSISTORS
/max (for microwave transistors) and low values of gate delay rd (for digital switching applications) can be obtained with small thickness of the base layer. Heavy doping Mso avoids punch-through in the thin base. Tunnelling currents can be avoided in the heterostructures by reducing the doping concentration in the emitter. In the double heterostructures of SiGe HBTs, collector and emitter can be interchanged, providing additional advantage in some digital circuits. Si-based HBTs with wide bandgap emitters have been designed and fabricated. These transistors include SIPOS emitters, amorphous silicon emitters, hydrogenated amorphous SiC emitters, crystalline carbide emitters and GaP emitters [299]. Some of these structures are useful for special applications, but in general it is difficult to fabricate wide bandgap emitters with low values of resistivity and good quality of interface. The effect of the wide bandgap collector on the performance of the HBT has been discussed by several authors [284, and references given therein]. In many digital logic circuits, the CB junction is forward biased during part of the logic cycle. Under these conditions, a large injection of holes occurs from the base into the collector, which reduces the speed of the transistor. In a homojunction transistor, the base doping is kept low to suppress this effect. In an HBT, base doping is high and this effect can be quite large. With the wide bandgap collector the injection of the holes is suppressed in the same way as their injection into the emitter is suppressed. This effect has been calculated in a wide-bandgapemitter-only HBT and a DHBT that has a wide bandgap emitter as well as a wide bandgap collector. The turnoff time was reduced from 350 ps in the widebandgap-emitter-only transistor to 150 ps in the DHBT (i.e. in the transistor in which the collector also had a wide bandgap). There is another application of the wide bandgap collector in the Si LSI circuits. The base-collector pn junction has a high voltage-blocking capability and is used as a diode element for logic circuits. However, in the circuits with wide-bandgap-emitter-only HBTs, the junction cannot operate at fast speeds because of hole storage in the n type collector and electron storage in the p type base. The calculations made for circuits with the two kinds of diodes show that the recovery time was 250 ps in the case of homojunction diode (i.e. wide-bandgap-emitter-only transistor) and only 1.5 ps in the case of heterodiode (the transistor in which the collector also had a wide bandgap) [1]. The first HBTs fabricated in the 1970s were based on A1GaAs/GaAs heterostructures [284]. Recently both npn and pnp A1GaAs/GaAs HBTs have been fabricated with very high speeds. Gate delays of 1.9 to 5.5 ps, ft = 76 GHz with ]max = 102 GHz have been achieved [1]. Though such high-speed performance has not been obtained with GeSi HBTs, these HBTs have several advantages over the III-V compound semiconductor transistors. Si bipolar technology is most advanced in the VLSI field. The isolation technique, chemical vapour deposition, photolithography, diffusion, ion implantation, contact technology and etching methods are highly developed in Si technology. Bulk and surface recombination can be made very low in silicon and therefore high current gains can be obtained at low collector current densities, even in small devices. Manufacture of integrated circuits with GexSil_, HBTs can be made compatible
6.2. DESIGN
149
with existing Si technology. Minimum noise figures NFmin in SiGe devices are better than GaAs HBTs and are close to those of 0.5 #m MESFET technology. SiGe BiCMOS allows higher integration levels as compared to GaAs. SiGe technology can be combined with high quality passives (high Q inductors, MIM capacitors, resistors and MOS capacitors) which improves performance and reduces cost of the ICs [36]. The A1GaAs/GaAs HBT have a single emitter-base heterojunction. Since GaAs is the semiconductor used for both the base and the collector, the base-collector junction is a homojunction. The advantages of the wide-band collector do not accrue in the A1As/GaAs based HBTs. The advances made in the HBTs technology and performance after the publication of the first edition of this book [1] are so extensive and significant that most of this chapter has been rewritten. Both DC and AC performance of the HBTs has improved considerably. In the first edition of this book [1] the best values reported were fmax = 50 GHz and ECL circuit gate delay = 19 ps. Since then these figures have improved very significantly to fmax = 180 GHz and gate delay = 6.7 ps. Co-doping of the base with C has been used to suppress transient enhanced diffusion of B. Several analog and digital circuits have been designed and fabricated.
6.2 6.2.1
Design Ge profiles and layer structure
Different Ge profiles that have been investigated are shown in Fig. 6.1. In their most advanced transistor Washio et al. [22] have used a more complex Ge profile (shown later in Fig. 6.2). Current gain/~ and Early voltage VA are important figures of merit for DC performance of an HBT. Both characteristics depend on the Ge profile in the base of the SiGe HBT. For RF and microwave applications the product of current gain/~ and Early voltage VA is also an important figure of merit. A large value of the product I~VA suppresses changes in the output current when large variation in bias voltage takes place [273]. In Si BJT VA increases with the base doping NA but at the same time ~ decreases, it is approximately inversely proportional to NA. Therefore the product ~VA can not be increased to high values. In SiGe HBT both/~ and VA increase with strain induced bandgap reduction AEg. Therefore it is possible to obtain very high values of the product ~VA [273]. Similarly Gate delay in digital applications and transit frequency fT are sensitive to the Ge profiles. These issues are discussed in detail later. Total Ge concentration in the base must be kept below a certain limit to avoid relaxation of strain by misfit dislocations [62] (see chapters 2 and 3). The maximum permitted concentration depends on the thickness of the layer and on the thermal treatment to which the SiGe layers are subjected during the processing. It is important that optimum concentration of Ge is used.
150
CHAPTER 6. HETEROSTRUCTURE BIPOLAR TRANSISTORS BC junction
B junction
t
15. & 30 tO40 i
[ BC junction 15
BC junction
/
25
13 [ 14
14
BC junction BC junction BC junction
25
/
vA
1
BCjunction 10
le 11 out-diffusion and Kirk effec 9
.
Figure 6.1: Schematic Ge profiles that are used in the bases of SiGe HBTs for optimizing fl, VA, fT, fl and fT, for suppressing parasitic barriers due to outdiffusion of boron or due to Kirk effect, and for low noise. The numbers given on the curves indicate approximate peak Ge concentration used by different authors. For a fixed base thickness the total Ge content must be kept constant to keep the base-layer within the stability limit (see text). For example if Ge is increased at "A", it must be decreased at "B".
IBM, DBAG and Hitachi designs Several different designs of the SiGe HBT have been used. The three important designs are those of IBM [169, 36] in the U. S. A., Daimler Benz/TEMIC (designated as DBAG design) in Germany [200, 261, 181, and references given therein] and Hitachi [47, 48, 22] in Japan. Typical layer structure, Ge profiles and the doping profiles for the three designs are shown in Fig. 6.2. IBM transistors are fabricated using UHV/CVD technology for depositing the SiGe layers. A schematic cross-section of the IBM HBT is shown in Fig. 6.3. The emitter doping in the IBM design is high as compared to the base doping, similar to that of a conventional Si BJT. This allows a high/3 even with relatively low Ge concentrations. The base thickness of the standard IBM HBT is 90 nm though thicknesses from 40 to 100 nm have been used. This large base thickness does not compromise the stability because Ge concentration is low. However because of the large base thickness the transit time of the minority electrons is large and the frequency fT is small. This difficulty is avoided by grading the Ge profile as shown in Fig. 6.2. The grading provides a drift field and reduces the base transit time. SiGe heterostructure devices work done at DBAG/TEMIC has been reviewed recently [200, 63]. In the DBAG/TEMIC design Ge concentration in the base is high and uniform, it varied between 0.28 and 0.4. High Ge concentration reduces out-diffusion of boron [200, 261]. Two nm undoped SiGe spacer layers are used in the base on both side of the boron doped region to suppress
6.2. DESIGN
151
Figure 6.2: (a) and (b): Ge and doping profiles in the IBM [266] and DB [200] designs. The IBM profiles are corrected for 20% error in the SIMs data except for As for which original SIMs data is also shown by the dashed line. (c) (Washio 2001 [48]) and (d) (Washio 2002 [22]): Impurity and Ge profiles in Hitachi design. (6.2a/b 9 1997 IEEE, 6.2c 9 2001 IEEE, 6.2d 9 2002 IEEE)
parasitic barriers and base widening (Kirk effect) at high current operation of the transistor. The base doping is very high, up to > 102o cm -3. In the IBM design the base is thick and the layers with high Ge content become unstable. A small base resistance and small collector-base capacitance are essential requirements for high fmax. Since the base doping levels in the DBAG design are high (3 x 1019 to 2 x 1020 cm-3), the intrinsic resistance of the base becomes < 1Kfl/E]. The resistance of the contacts to the base now determines the base resistance. The improved design for the base contacts is used. Pt-Au or CrPt-Au are used for contact metallization. Surface concentration of donors in the emitter is 2 x 102o cm -3. The width of the collector varies from 100 to 500 nm. The width of the base varies from 7 nm to 27 nm. The . The fmax of a transistor (with base-width 27 nm and the collector-width 500 nm, and 9) was 160 GHz which was the record value until recently. The dopings in the base and the collector were 1.5 x 102o cm -3 and 1.5 x 1016 cm -3 respectively. The BVcEo depends on the collector doping and also on the current gain. For the transistors with a collector doping of 2 x 1017 cm -3 the BVcEo is limited to 3 V. The highest value of BVcEo was 8 V. A comparison of fmax and fT
152
C H A P T E R 6. H E T E R O S T R U C T U R E B I P O L A R T R A N S I S T O R S Emitter Base
~
Collector
ShallowTrench
n+
Figure 6.3" Schematic cross section of the IBM UHV/CVD HBT (Zhang 2002 [19]). 9 2002 IEEE values shows that as fmax increases fT decreases. Though the technology used is not suitable for large scale production of the HBTs, it is very convenient to implement and is very good for research purposes. Hitachi design In a series of papers Washio et al. [47, 48, 22] from Hitachi in Japan have reported design and construction of very high performance SiGe HBTs. In their first paper [48], they fabricated the HBT using selective~epitaxial-growth of the SiGe. The contacts were self-aligned metal/in-situ-doped poly silicon (designated as SMI) electrodes. In this technology tungsten is selectively deposited on the metal electrodes and only emitter drive-in annealing is necessary after the deposition of SiGe base layer. This allows shallow doping profiles and provides a low base resistance and fast transit time for both analog and digital applications. The base width is ~ 300 nm with graded Ge profile (see Fig. 6.2(c)). The base is fully self-aligned to the emitter. This design results in very low collector capacitance. Note that collector doping profiles are different for digital and for analog applications. A 1/8 static frequency divider with a maximum operating frequency of 50 GHz and a time-division multiplexer (MUX) and a demultiplexer (DEMUX) operating at 40 Gb/s were demonstrated. The ECL gate-delay of 8 ps and fmax = 97 GHz were obtained. We will designate this transistor as HBT-A. In a follow-up paper [47] the gate delay improved to 6.7 s and fmax to 107 GHz. In their latest paper Washio et al. [22] emphasized that for several modern network applications simultaneous high speed digital and high frequency analog operation are required. They developed the HBT design (see Fig. 6.2(d)) and technology for use in microwave wireless and optical communication systems. They reported a design which, with minor changes, could produce a fmax of 180 GHz and gate delay of 6.7 ps. We designate this transistor as HBT-B. First we describe the transistor HBT-A. The Ge and doping profiles of the transistor are shown in Fig. 6.2(c). The Ge profile is narrow and graded and
6.2. DESIGN
153
the GeSi layer is fully self-aligned to the emitter. Tungsten films are grown on all poly-Si electrodes also in a self-aligned manner. Only the emitter drive-in is required after the deposition of the base. This allows fabrication of thin base shallow doping profiles. Substrate capacitance was reduced by using a 2-#m wide BPSG (borophosphosilicate glass)/SiO2 refilled trench. This results in a short base transit time. Transistor has a fmax of 95 GHz and ECL-gate delay of 8 ps. An SEM cross-sectional view of the transistor is shown in Fig. 6.4.
Figure 6.4: Cross-sectional view of a SEG SiGe base HBT with SMI electrode and BPSG/SiO2 refilled trench (Washio 2001 [48]). 9 2001 IEEE If Ge profile does not extend up to the CB junction, parasitic barriers are created [281, 155]. During the thermal treatment required for processing the IC chip, out-diffusion of boron occurs [281] and the base widens. If the transistor is operated at high currents, the base widens due to the Kirk effect [155]. In both cases the CB pn junction moves away from the base in to the collector. Since there is no Ge in the region of the base thus created, parasitic barriers to the flow of the minority electrons from the base in to the collector are formed. The barriers degrade the performance of the transistors seriously. Undoped SiGe spacers are used to suppress the affects of the barriers. The parasitic barriers due to boron outdiffusion are discussed in section 6.7 and due to high current density in section 6.5.4. The suppression of boron out-diffusion by addition of carbon in the base layer is also discussed in section 6.7. 6.2.2
Comparison
of different
designs
In the DBAG design the a large bandgap reduction AEg,t,app occurs due to large Ge concentration and due to very heavy doping. This results in a large value of current gain ~. In the IBM design the ratio NE/NB is large and helps in improving ~. The concentration of Ge at the EB junction, however small, increases/~ in this design also.
154
CHAPTER 6. HETEROSTRUCTURE BIPOLAR TRANSISTORS
In the DBAG design the base is extremely thin which reduces TB and increases fT- If the device is not dominated by the base transit time, the other transit times may become important. In the IBM design a drift field created by a graded Ge profile is essential for reducing the base transit time. The maximum oscillation frequency is determined by the base resistance. The resistance is very small in DBAG design because the doping is very high. An oscillation frequency of 160 GHz was obtained with this design which was the highest frequency until recently. Reasonable values of base resistance and parasitics have been achieved in the IBM design and good oscillation frequencies have been reported. Due to small base resistance the noise characteristics of the DBAG HBTs are excellent. Low values of noise have been obtained in the IBM design by tailoring the Ge profiles using computer simulations. The Hitachi design is more advanced. It combines advantages of both the IBM and DBAG designs. It has a small base thickness (30 nm) but unlike DBAG design the Ge profile is not uniform. At the time of this writing the best performance of SiGe HBTs for both analog and digital circuits has been obtained with the Hitachi design. Hitachi scientists have developed speciM techniques for making low resistance contacts. Parasitic resistances and capacitances have been reduced to very low values. Very high values of fmax and low values of gate delay have been obtained (shown later in Fig. 6.14 and Table 6.3). Due to small base resistance the noise characteristics of the Hitachi transistor should also be excellent.
6.3 6.3.1
Technology Selective
SiGe growth
and epitaxial
base
Si and SiGe layers can be grown selective to oxide and nitride, which opens new perspectives for advanced architectures with low device parasitics. Among the first exciting results with this architecture, we note the work of NEC [275] and Siemens [274]. More recent work has been published by Hitachi [179], Infineon [148] and IMEC [126]. A typical process cross-section of a selective SiGe HBT has already been shown in Fig. 6.4. In this work [126] the Ge profile is graded, the transistor features 50 GHz fW and 80 GHz fmax, and is fully integrated in a 0.35 #m RF BiCMOS process with high Q MIM capacitors and thick metal inductors. The performance of the device is similar to that of the IBM transistors. The technology has a full set of rf passive components including MIM capacitors and thick metal high Q inductors. To avoid base stretching, high collector dopings (NDc :> 1017 cm-3) are used [298] in the bipolar transistors. The increase in NAB and N o v leads to high electric fields and low breakdown voltages. Also the band discontinuity at the BC junction can cause a barrier to the flow of carriers. Epitaxial base (or simply epibase) technology [278, 298] allows design and fabrication of a DHBT in which these problems can be solved. Epibase technology has many advantages
6.3. TECHNOLOGY
155
lOOy
_~ Capacitance ",~onent
Base-push-out/, compo~-"
~
lOy
..
Y
sss SSS ~
sSs
1p~,. . . .
"-~
"
sS
Transit-time component
I
X
10x Power
lOOx
Figure 6.5: Schematic power delay curve for an ECL circuit. over an ion-implanted technology. Box-like doping profiles provide independent control over base width and doping concentration. Thus base widths as smM1 as 30 nm with very high doping concentrations can be obtained. Even for these small thicknesses, the base resistance remains smM1 and punchthrough is avoided. This allows reduction of charge storage in the emitter and independent control of base resistance and base transit time. By tailoring base profile, low CEB and CCB cs~n be obtained. The design can be tailored for optimum ECL performance by obtaining high fT at low RBi. The schematic power delay characteristics of a bipolar transistor are shown in Fig. 6.5. Epibase technology provides an opportunity to control independently each of the delays shown in Fig. 6.5. Transit time is reduced by both vertical scaling and Ge grading in the base. Self-aligned epibase technology also allows reduction of extrinsic capacitances and resistance to reduce the gate delays [298]. With the epibase technology, the high field at the BC junction can be reduced by placing a lightly doped collector (LDC) spacer near the base-collector junction. The LDC can degrade the AC performance of the transistor by increasing the collector transit time, however. If the thickness of the spacers is small so that the LDC can be contained in the original space charge layer, the degradation is small because the carriers travel at nearly the saturation velocity in that layer. In a typical case [298], the LDC increased TEC only by 0.2 ps but reduced CCB by 20% and increased BVcEo from 3.2 to 3.5 V. There is slight degradation in current-carrying capacity that can be offset by increasing Nov slightly without degrading the improved breakdown characteristics. Reverse bias leakage and tunnelling can also be improved by the use of lightly doped spacers in the emitter (LDE). This also improves the low current frequency response by reducing the emitter-base capacitance. In the transistors fabricated with EB spacers, the cutoff frequency JT improved at lower currents but the peak fT remained nearly the same. These results show that in the epibase technology, the EB and BC junctions can be designed to improve considerably the
156
/
C H A P T E R 6. H E T E R O S T R U C T U R E B I P O L A R T R A N S I S T O R S
B 6 H F Siemens NPN after CMOS, S e p a r a t e Poly, D P S A N P N , n + p o l y gated FETs, n + BL p+ BL Epi wells Field isolation (PBL) n + B L Reach thru Vt adjust Gate oxide, Gate Poly Dep. Gate Etch, SW OX, LDD F E T Sidewall, S / D / G I/I Bipolar Window, EXT BasePoly Bipolar Base Poly Pattern (EW) Base I/I, SW, Emitter Poly Emi Poly I/I, Pattern Final RTA Silicide and contacts
0.Spm Motorola N P N after C M O S , Base Equal G a t e D P S A N P N , Dual Poly G a t e d FETs,
\
n § BL p+ BL Epi wells Field isolation (PELOX) n+BL Reach thru Gate oxide & OX Protect Vt adjust, BW Poly for G a t e / E x t Base Gate-Base Pattern. SW Ox n F E T S / D / G I/I n F E T LDD, Bipolar Base I/I Sidewall, P-i- S / D / E x t Emi Poly I/I, Pattern Final RTA Silicide and contacts
0.Spm I B M N P N during C M O S , Base----Gate, E T X D P S A SiGe H B T , Dual p o l y g a t e d F E T s n + BL Epi wells Field isolation (STI) n+BL Reach thru, Vt adj. Gate oxide & OX Protect BW UHV/CVD SiGe Base ETX SP SA E Definition E poly, I/I, Pattern Gate&BasePattern,SW OX LDD, SW etch nFEW & pFEW S / D / G I/I Final RTA Silicide and contacts
Figure 6.6: Process flow for Double Poly SA npn BiCMOS technologies developed at Siemens, Motorola and IBM (Harame 1997 [196]). 9 1997 IEEE
overall performance of the device. 6.3.2
IBM
technology
Substrate selection, buried layer and epi-collector, collector isolation, wells and field oxide, isolation, reach-through, FET threshold voltage adjustment, base implants and polysilicon deposition are common to all processes. Side-Wall oxidation and As distribution in nFET S/D/G require higher thermal cycles. It is therefore necessary that either the HBT structure is formed after the CMOS (base-after-gate scheme) or CMOS process structure uses only the HBT thermal cycles (base=gate or base-during-gate scheme) [176, 123, 36]. However the baseafter-gate scheme has an added complexity. It requires that all bipolar films are removed from the FET gates without creating extraneous spaces. Siemens B6HF SiGe BiCMOS technology is an example of forming the HBT after the CMOS. IBM 0.5 and 0.36 #m technologies are the examples of base=Gate scheme. Siemens, Motorola and IBM technologies are compared in Fig. 6.6. Siemens process is a fully BiCMOS process though it is better known for its bipolar implementation. It can be seen from Fig. 6.6 that the CMOS and the bipolar processes are neatly decoupled in the Siemens technology. IBM 0.25 #m BiCMOS technology uses the base-after-Gate scheme [123]. Once a technology matures and goes into commercial production, variations of the technology may be required to meet different but related requirements. The required modified technology may be derived from the original technology if the original technology is sufficiently modular. A difficulty arises because CMOS technology is continuously evolving. The CMOS technology continues to advance into newer generations by shrinking dimensions. An already developed SiGe BiCMOS process may not be able to cope with the rapid developments in
6.3.
157
TECHNOLOGY
Analo2 Element
CMOS Backbone
_
[ Shallow Trench~Isola~'on - - ~ "~Su~ll., n-epi, Deep Trench]
ion Implanted Resistor[ I ~
W eli/VT Implants
]
Sulx:o!!~tor
Precision Capacitor
[ Gate oxide, poly deposition I BipolarWindow, SiC~ Base-] ,~ ' ~ - ' - - - - Deposition, self-aligned / [ Polysilicon gate & extrinsic extrinsic base I/I, emitter l I base etch, Gate REOX, ~ dcf'mitionand opening, l [ Extensions, Spacer deposit collector implant, n+ | 11BlResist~ ock Mask Salici~ ' ~ ---- - - - - . I ~ - . - polysilicon emitter formationl Spacer etch, ELrr S/D/G / Ion Imvlant. Final anneal ! Metal Insulator Metal] Capacitor (MIM) b l Salicide' and C~ technology ,,
1
9 2001 IEEE
Figure 6.7: Process flow diagram for the IBM 0.5 #m Base-During-Gate (BDGate) technology. Analog and bipolar modules are inserted into the standard CMOS technology shown as CMOS backbone (Harame 2001 [36]). the CMOS. The layers and thermal cycles were shared by the HBT and CMOS processes in the previous IBM 0.bpm technology. The technology is referred to as base-gate or base-during-gate (BDGate) technology. The process flow diagram of the BDGate technology is shown in Fig. 6.7. In the next generation 0.36 #m IBM technology, a double polysilicon self-aligned process is used [169, 196, 176, 36, and references given there in]. We have described this technology in detail recently [63]. In the later 0.25 #m the CMOS processes had high temperature thermal cycle for the REOX and arsenic-doped n-FET extension anneals. This prevented the use of BDGate technology. Therefore base-aftergate (BAGate) technology shown in Fig. 6.8 was developed. Many elements of this technology are similar to the 0.36 #m BiCMOS technology described earlier. Performance and parameters of the HBTs fabricated using different technologies are compared in Table 6.1. Processes involved in this technology have also been described in our earlier work [63]. In the BAGate technology CMOS is fabricated almost completely in one block and therefore base technology can be copied without any modification. The SiGe base is deposited afterwards. However the BAGate technology is more complex. The bipolar layers are also deposited over the CMOS topography and have to be removed afterwards.
6.3.3
D B A G / T E M I C technology
The DBAG/TEMIC group have developed three different technologies to fabricate SiGe HBTs [200, 261, 181, 217]" (1) non-passivated double mesa (NPDmesa) technology, (2) passivated double mesa technology and (3) differential
158
C H A P T E R 6. H E T E R O S T R U C T U R E B I P O L A R T R A N S I S T O R S Aaalc~ Eka~enr m
-
......
-
m'rw~ ~ v r ~
| s ~ ~ , ~
~'~ ~ y ~ e~msiom, f ~ rk'ST ~
~r
Yl, -.
Rcsist~,.5alidd~Block Mask,SaS~ & ~ ,,
r162
base~
w m ~ (Bw~ S~ emi~
&,:u:h
, I
~
inc,:~om,~
Insulator~
-
Chpadtr .
.
.
.
.
.
.
.
Figure 6.8: Process flow diagram for the IBM 0.25 #m and IBM 0.18 #m BaseAfter Gate (BAGate) technology (Harame 2001 [36]). 9 200~ I n n s
HBT technology. We first discuss the NPD-mesa technology [261]. This technology can be implemented very conveniently and is good for research purposes. We then discuss the TEMIC production technology [149, and references given therein] which has evolved from the original DBAG differential HBT technology. In the NPD-mesa technology the buried layer is first formed and the collector and base layers are then grown by MBE. The emitter contact is defined by lithography. The contact is fabricated by the lift-off process with Pt/Au (20/300 nm). The emitter is etched down to the SiGe layer. A slight over-etch helps in self aligning the Pt/Au (20/150 nm) contact to the base with respect to the emitter. Groove etching is used to isolate the active transistor from the contact pad. In this technology it is possible to measure the transistor characteristics directly on the wafer. It has zero thermal budget and is extremely simple to implement. The unpassivated mesa surface is a disadvantage. However this structure has shown a high value of 160 GHz of fmax due to very small base resistance and very small base collector capacitance. This value of fma~ was a record value until recently [63]. This technology is very good for research but it is not suitable for large scale production. The TEMIC HBT production technology [217, 149, 180] is a double poly technology with and without selective collector formation on the same wafer. Selective implanted collector makes it possible to fabricate an HBT with low break-down and high frequency and an HBT with high break-down and low frequency capabilities on the same wafer. The substrate used is p-type with 20 Ohmcm resistivity. As usual in most technologies, the buried layer sub-collector
6.3.
159
TECHNOLOGY
Table 6.1" Comparison of 0.5 #m, 0.25 #m, and 0.18 #m generation IBM HBTs (Harame 2001 [36]). Parameter
A E (/~mz) Beta ,,, v^,,(v)
BVceo (v) vr o (v)
BDGate 0.5 lun 0.5x2.5 100
BAGate
65
0.18 pm
OA4x3 100
0.18x0.82
75 3.35 10.5 114
3.35 10.5 124
Re(a) fr (GHz) fM^x (GHz) NFmi, (dB)
0.25 pm
20O 120
2.5
7.5 6O
16 47 65
_ _
0.8
J
.
.
.
.
.
.
47 65 0.8
9O 9O o.4
is first formed followed by the deposition of the 0.6 #m P doped epitaxial collector layer. The isolation is achieved by a recessed LOCOS formation. The surface is planarised by chemical mechanical polishing. SiGe base and Si emitter layers are now grown. In the original DBAG technology MBE was used to deposit all the epitaxial layers. TEMIC changed over to using a single wafer CVD reactor for the deposition of the epilayers [180]. The thickness of the SiGe base layer is 40 nm, Ge content is 25% and boron doping concentration is 4 x 1019 cm -3. 2 nm spacers are used to suppress the parasitic barriers. A nitride block is used to define the emitter and also as a mask for implanting the external base. Lithography and etching are used to define the poly resistors and extrinsic base. Titanium silicide is used to reduce the contact resistance. The surface is again planarised by depositing silicon oxide and polishing down to the surface of the nitride block. Holes are etched for base and collector contacts and contacts are formed using As doped a-Si.
6.3.4
Hitachi technology
The self-aligned selective-epitaxial-growth (SEG) technology developed at Hitachi in Japan has shallow-trench and dual-deep-trench isolations and Tisalicide electrodes [47]. The fabrication process is compatible with the 0.2 #m bipolar-CMOS technology that is applied to a fast-cache memory chip. The Sicap/SiGe-base multilayer self-aligned to the 0.2 #m wide emitter is selectively grown by UHV/CVD. A poly-Si-assisted self-aligned SEG (PASS) structure was used to establish a low resistance link between the intrinsic and extrinsic base. The shallow and deep trench isolations reduced the parasitic capacitances of the collector and the substrate. The process steps for fabricating the HBT are shown in Fig. 6.9. In a subsequent paper Washio et al. [22] used a SOI high resistivity substrate (HRS) and obtained excellent DC and AC characteristics. The fabrication process is compatible with the existing 0.2 #m bipolar-CMOS technology as shown in Fig. 6.10.
160
C H A P T E R 6. H E T E R O S T R U C T U R E B I P O L A R T R A N S I S T O R S
Figure 6.9" Process steps for fabricating the self-aligned SEG SiGe HBT at Hitachi (Washio 2001 [47]). 9 2001 IEEE
SiGe HBTI n + buried collector (Sb +) n" epitaxial growth (0.3 pm)
CiOSl
shallow (0.35 pm) trench isolation collector plug : n & p well I/I deep (1 pm) trench isolation ,: gate poly-Si (LR) md= J , = l l l l l l
l U l I W I I I I I I I I I I I = ' I I I a I I I l l I I I I
I I I
I I I
l=
= l e l l
l=
I.
I I ' l l l l l l l l l = l l
I I I I I I I I I I
II
I I I
MR'&'HI~" poly-Si (w/Ge I/I)
I I I
";
base poly-Si (0.2 pm) emitter window & SIC1 SiGe SEG & SIC2 emitter poly-Si (in-situ P-6o~d)
PTS I/I & LDD ', n..&.p S/D I/I
m . l l l l l e = = l ~ l l e
Ti-salicide contact & W plug 1st metal & via MIM capacitor 2nd to 4th metal
Figure 6.10: Process sequence for Hitachi 0.2-#m SOI/HRS SiGe HBT/CMOS technology. A 0.3-#m CMOS process module is inserted after formation of the trench isolation. Medium resistance (MR) and high resistance (HR) poly-Si resistors are formed by Ge implantation (Washio 2002 [22]). 9 2002 IEEE
6.4. DC PERFORMANCE OF SIGE HBTS
6.4 6.4.1
161
D C p e r f o r m a n c e of S i G e H B T s Current
gain/3
and Early voltage
VA
Prinz and Sturm [282] made the earliest investigations of the effect of Ge profiles on/3, VA and on the product t3VA in SiGe HBTs. These authors expressed the three quantities in the following form: --1
/3 - ~
and
n~ (x)Dn (x) dx
q2 2 /3VA -- JBoCBc ni (WB)Dn (WB),
(6.1)
(6.3)
where the symbols have their usual meanings. Eq. (6.3) shows that the value of the product/3VA is mainly determined by the value of intrinsic carrier concentration at the collector edge of the emitter. This suggests that the product/3VA can be increased by building a large concentration of Ge in the base near the CB junction. To demonstrate this fact experimentally Prinz and Sturm fabricated several SiGe HBTs using different Ge profiles. The base in each device consisted of two layers, one near the EB junction (layer 1) and the other near the CB junction (layer 2). We give here results of devices 2 and 3 in the notation of Prinz and Sturm [282]. The Ge concentration in the base, calculated band diagram and the collector currents of the devices are shown in Table 6.2. The values of the measured/3, VA, and/3VA of these two devices are shown in Table 6.2. Calculated values of the product/3VA are also given for comparison. It is seen from Table 6.2 that both the calculated and measured values of the product ~VA of device 3 (which has a larger concentration of Ge in layer 2) have very high values. There is a reasonable agreement between the theory and the experiments. The ~VA values of Si homojunction transistors (not shown in the table) with similar values of fw are smaller by a factor more than 100. DC current gain/3 is given by the following equation,
NEWEDE
/ 3 - NBWBDB exp(AEg,t,app/kT),
(6.4)
recently Harame et al. [231, 279] and others [266] expressed the current gain and the Early voltage in terms of reduction of the bandgap induced by Ge. The ratio of the current gain/3 of the SiGe HBT and Si BJT is given by [231, 266] /~SiGe
=5)~exp[AG'c~(x~
,~ JCSiGe
(6.5)
,
VB E
Jcsi ~ C"bl
VB E
1 - exp[- AEg,Ge (grade)/kT]
where AEg,a~(grade) = AEs,Ce(Zw ) - AEs,G~(zo )
(6.6)
CHAPTER 6. HETEROSTRUCTURE BIPOLAR TRANSISTORS
162
Table 6.2: Measured characteristics of devices 2 and 3. Device Ge in layer 1 Ge in layer 2 Current gain 13 Early voltage VA (V) Product ~VA (V) Calculated ~VA (V)
2 25% 14% 1800 6 10800 8980
3 14% 25% 1400 120 168000 190000
--(NcNv)siGe/(NcNv)si < 1
(6.7)
'~ "--[#SiGe/~Si] > 1.
(6.8)
Here x0 and x~ are the edges of the quasi-neutral base on the emitter and the collector side respectively. If there is a finite (i.e. non-zero) Ge concentration at x0, however small, tim exponential term in Eq. (6.5) dominates and the equation reduces to /~SiGe = 5A exp[AEg,ce(xo)/kT]. (6.9) flSi VBE The current gain increases exponentially with the band-gap reduction due to Ge present at the emitter-edge of the base. In the graded profiles fl decreases slightly as the collector current increases. The depletion layer width decreases at the larger values of the current and the Ge concentration at x0 also decreases when the profile is graded [283]. The ratio of the Early voltage in SiGe HBT and Si BJT is given by [231], VA'SiGe ,~ exp[AEg,Ge(grade)/kT] [1 - exp[-AEg,Ge(grade)/kT]]
VA,Si
AEg,c~(grade)/kT
(6.10)
"
The current gain and Early voltage product is given by [231,283], (/~VA)SiGe (]~VA) Si
~iAexp[AEg,c~ (x0)/kT] exp[AEg,c~(grade)/kT]. VeE
The heavy doping effects in ttle base are not included in the above equations. If the doping in the base is more than 2 • 10is cm -3, heavy doping effects become significant. Harame et al. [231, 279] and Ansley et al. [283] have investigated in detail the effect of Ge profile shape on the performance of the HBTs. Harame et al. [231, 279] considered the three profiles, box profile, trapezoid profile and triangle profile shown in Fig. 6.11(a). The profiles were changed gradually from the box shape to the trapezoid and finally to the triangle shape. The height of the trapezoids and the triangle were determined by the stability criteria i.e. the total amount of Ge should remain constant. The computed values of 1/Tb (Tb is
6.4. DC PERFORMANCE OF SIGE HBTS 20
'
o~15 _
163
'l
' Triahgle \ Trapezoid . ~/I Uniform \ ' ~ / X / :
'
E
'(a)
-~ 9 lO
r
E5 (.9
n
9~,Tapezoid ~ [
/
0
0
250
500
750
1000
Depth (A.U.) 1000
u
i
(b) ~ p,,,l
~VA ~ - ~ "
I00 -
o,==4
o
10 ~ ' - . . . .
D
....-
,.,,,.~..,"
~
1
0
I
/
X
VA "" ,....
b
50 I00 5Eg,~ (grade) (mV)
1000
Figure 6.11: (a) Germanium profiles used to calculate characteristics of the HBT. (b) Relative values of fl, VA, 1/7"5 and ~VA of SiGe-HBT with respect to Si-BJT versus AEg,G~(grade) under constraint of constant Ge content (Harame 1995 [231]). 9 1995 IEEE the base transit time discussed in the next section), VA, fl, and flVA are shown in Fig. 6.11(b) as a function of AEg,ae(grade) i.e. the point AEg,ce(grade) = 0 corresponds to the box profile. At, this point fl has increased by a factor 10 over the value for Si BJT but other parameters remain the same as those of the Si BJT. As the profile changes to trapezoids, fl starts decreasing monotonically. The other characteristics (VA, flVA and Tb) rise continuously and obtain their maximum value at the end point which corresponds to the triangular Ge profile. The increase in VA is more rapid than the decrease in fl and therefore the product flVA increases. More recently Richey et al. [266] have again computed the Early voltage for the box and the triangular profiles. The calculations were made at several temperatures in the range 200 K to 350 K (see Fig. 15 of the paper by Richey et al. [266]). The values of VA were consistently larger for the triangle profile at all temperatures. 6.4.2
Gummel
plots
The actual I - V characteristics of a BJT over a wide range of operating conditions are complicated and depend on several parameters [348]. Over a limited
164
CHAPTER6. HETEROSTRUCTUREBIPOLAR TRANSISTORS 10-2
_
w
10-4
m
< 10-6 -
IC
m
2~ 10-8
-
m
-
10-10
.2xl gm 10-12 0.4
!
0.6
0.8
1.0
1.2
VBE (V)
Figure 6.12: Gummel plots for a SiGe HBT with an emitter area 1 pm 2 (Washio 2002 [22]). 9 2002 IEEE
AE -- 0.2
x
range of current, the collector and the base currents can be represented by [284, 348]
(qDnNcNv )sic~ 16",-- (WBNAB,eIf )SiGe
IB =
(qDpNcNv)si
(WENDE,eyf )Si
exp
exp
( -EgB kT ) exP ( qVBE nkT )'
(--EgE) kT
exp
(qVBE) nkT "
(6.12)
(6.13)
Here Nc and Nv are the effective density of states. The above equations assume that the recombination currents in the base and the emitter are negligible. If the recombination currents are appreciable, the pre-exponential factors are different; they now involve the diffusion length of the carriers [348]. Typical experimental plots (known as Gummel plots) of log(Ic) and log(IB) versus VBE for a SiGe HBT [22] are shown in Fig. 6.12. It is seen that the plots are straight lines and Eqs. (6.12) and (6.13) are valid over several decades. In the ideal case the inverse slope of these plots is nkT/q, n being close to unity. At low current values the base current increases and n becomes more than unity because the relative contribution of recombination in the junction space charge layer becomes significant. At high currents, high injection effects, base widening effects and resistance become important and the above equations do not remain valid. In the intermediate range of currents the observed Gummel plots must be linear and value of n must be close to unity. Any deviations indicate poor quality of junctions in the device.
6.5. AC CHARACTERISTICS OF THE HBTS
AC characteristics
6.5 6.5.1
165
of the HBTs
Cut-off frequency
The cut-off frequency fT [231] is given by, fT--
1
27r(Tb + Te + Tc + T~b + Tcb) '
(6.14)
Here T is the transit time and subscripts have the usual meanings. In modern well designed HBTs the frequency fT is dominated by the base transit times Tb and emitter transit time Tr The base transit time is equal to W~/2Dnb. The following equations [231] show that both transit times are reduced by the presence of Ge in the base.
2kV [ 1 - exp[-AEg,ce(grade)/kT] ] Tb,Si = AAEa,a~(grade) 1 AEg,a~(grade)/kT '
Tb,SiGe
(6.15)
and Te,SiGe Te,Si
~i "-- ~ S i G e
1 -----
exp[--AEg,Ge (grade)/kT]
5;~exp[AEg,ar
(6.16)
Simulated 1/7"5 values for triangle and trapezoid profiles are shown in Fig. 6.11. The base transit time Tb decreases and therefore fw increases by the grading of Ge profile in the base. However when effect on the emitter transit time is taken in to account, fT is not very sensitive to the Ge profile shape. Eqs. (6.15) and (6.16) show that the base transit time decreases for a graded profile but emitter transit time decreases when Ge at x0 is large i.e. for the box or the flat profiles. Thus there is a trade off between the two transit times which makes the simulated frequency less sensitive to the profile shape. Recent experimental AC characteristics of HBTs are shown in Fig. 6.13. Recent values of fT and other characteristics are shown in Table 6.3. 6.5.2
Maximum
oscillation
frequency
fmax
The maximum oscillation frequency fmax is given by,
/ " fw' fm x = W- C i" We now discuss the simulated values of fmax given by this equation. The resistance Rb in this equation is given by
(6.1S)
Rb = R'bi -t- - ~ where Rbx is the base-link resistance. R~i is given by
,
(omi o wid )
Rbi :
\ e m i t t e r length
Rbi.
(6.19)
166
C H A P T E R 6. H E T E R O S T R U C T U R E B I P O L A R T R A N S I S T O R S
120
120 N
100
0
80 60 I
60}- . , / f T
40,
(a)
~ -4
40~
(b)
20
20
0
fmax
100
rmax
0.1
1.0
10
0
0.1
Collector current (mA)
1.0
10
Collector current (mA)
Figure 6.13: Characteristics of HBT-A shown in Fig. 6.2. Cutoff frequency .fT and maximum oscillation frequency f m ~ as a function of collector current for (a) digital and (b) analog ICs (Washio 2001 [48]). 9 2001 IEEE The collector-base junction capacitance is given by, Cob = Ccbi + C~bx.
(6.20)
For proper simulation of fm~x including the effects of parasitics a 2D simulator is required. However base-link resistance and CB junction capacitance can be calculated approximately using the known geometry and material properties. The values of fmax calculated for several values of the base-link resistance showed that fm~x decreased rapidly as the base-link resistance increased. By additional heavy doping [283] base-link resistance is reduced from 6000f~/D to 20012/o [283]. Washio et al. [22] have fabricated very high performance HBTs. The characteristics of the transistor are shown in Fig. 6.14. Values of fT shown in (a) and f m ~ shown in (c) are high. The base resistance was so low that fmax 180 GHz was obtained (shown in Fig. 6.14(c) and Table 6.3). Dependence of breakdown voltage B V c B o (at I c B o = 10 #A) and collector current capacitance C j c on the collector sheet concentration Ns for HBTs with A E = 0.2 • 1 pm 2 is shown (b). Maximum stable gain, maximum available gain and unilateral gain are shown in Fig. 6.14(d). Noise and gain in SiGe HBTs are discussed later in this chapter. -
6.5.3
-
Gate delay in digital circuits
Unlike the frequencies ft and f , ~ , there is no standard expression for the switching time or the propagation delay, also known as gate delay Td. The gate delay depends not only on the intrinsic characteristics of the transistors but also the circuit configuration and the values of load resistance and capacitance. Approximate expressions for the gate delay for specific circuits have been used
167
6.5. A C C H A R A C T E R I S T I C S OF T H E H B T S
HBT-B 100 i . . . . . . . . "~"
2.5
12
l"
HS HBT
8o
~" 6o
2.0D
>8
~- 40
Y
=o..=2o1
6 BVcBo
O
00.1
~- 200 "r" (.g
'
'0:3 ...... 1 ' collector current ( m A ) (a)
2
A E = 0.2 x I pm HS HBT
";20
v
'
5 10 50 N s (1012 cm -2) (b)
~ ~
AE,, 0~' x I IJm
MSCY
U
MAG
~(~10 VC,E=2V
~- 100
(D L..
'NI---
0
1
~" HV HBT AE = 0.2 x 4 pm
5O
It
o. I"0"3''"'".
I
'
collector current (mA) (c)
1
,~
11ram= I "
......
~
"
~v'~'
"
AE=02X4pm
:~ 10 ~ V.CE.::"
E
!
f
10 100 frequency (GHz)
~,o,.,.~. "O r ~1~ ~30
1.5
~25OH,
t0 100 trequency (GHz)
(d)
Figure 6.14: Characteristics of HBT-B (with Ge profile shown in Fig. 6.2). (a) fT versus collector current for the HS-HBT and the HV-HBT. (b) Dependence of breakdown voltage B V c B o (at ICBO -- 10 #A) and collector current capacitance C j c on the collector sheet concentration N s for HBTs with AE -- 0.2 • 1 #m 2. Experimental points have been removed from Fig. (b) for clarity. (c) fma= versus collector current for the HS-HBT and the HV-HBT. (d) MSG, MAG and unilateral gain U versus frequency are shown (Washio 2002 [22]). 9 2002 IEEE
168
CHAPTER 6. HETEROSTRUCTURE BIPOLAR TRANSISTORS
Table 6.3: (a) HBT-A characteristics with an emitter area of 0.14 x 1.5 # m 2. D and A indicate characteristics for digital and analog ICs, respectively (Washio 2001 [48]). (b) HBT-B parameters for the High-speed-HnT (AE = 0.2 x 1 #m 2) and the HV HBT (AE = 0.2 x 4 #m 2) (Washio 2002 [22]). The Ge profiles of HBT-A and HBT-B are given in Fig. 6.2. (a)
(b) HS
HV
WE
0.2
0.2
gm
V
LE
1
4
gm
V
hFE
300
300
3.4
V
n
1.007
1.007
> 100
V
VA
>100
>100
V
Emitter resistance
50
f2
Collector resistance
25
Base resistance
160
f2
Emitter capacitance
2.9
fF
Collector capacitance (D)
3.6
fF
Emitter area
0.14 x 1.5
Current gain
720
BVcE O
2.0
BVcB o
6.6
BVEBo Early voltage
(A)
gm 2
3.3
fF
Substrate capacitance
0.6 (5 V)
fF
Cutoff frequency (D)
95
GHz
(A) Max. oscil, frequency (D) (A)
BVcE O
2.5
3.9
V
BVcB o
10.0
11.5
V
RB
120
45
f2
RE
27
9
f~
CjE
3.7
13.4
fF
Cjc
1.9
3.4
fF
Csu B
1.1
2.2
fF
76
47
GHz
92
GHz
fT
97
GHz
fmax
180
125
GHz
GHz
ECL t pdmin
7.8
-
ps
108
by Kroemer [284]. The expression used by Kroemer [284, see this paper for an earlier reference for the derivation of this equation] is
5 7-d - -~RBCBc + ~RBT.B + (3CBc + CL)RL,
(6.21)
where RL is the load resistance and CL is the load capacitance of the circuit. Considering a GaA1As/GaAs HBT [284] and using WB = 1200 ./t, base doping = 3 • 1018 cm -3, base stripe width = 2.5 #m separated by 0.5 #m, collector d o p i n g - 3• cm -3 and RL -- 50 f~. Ignoring CL in comparison with CBC, the middle term comes out to be 1.4 ps and each of the two other terms is 8.3 ps, giving an overall switching time of 18 ps [284]. The importance of reduction of RB in improving the speed is obvious from these results. It is clear that further reduction in RB will improve the switching time until the first two terms become small and the RLCL term dominates. Further improvement can be obtained only by reducing the collector capacitance. The importance of the
6.6. OPTIMIZATION OF BVcEo, FT AND FMAX
169
above result lies not in the actual numerical values of different terms but in that it demonstrates the relative importance of the transistor parameters in determining its speed. Recent experimental power delay characteristics of a bipolar transistor are shown in Fig. 6.15. The effect of emitter length on the minimum gate-delay and switching current is also shown.
6.5.4
Parasitic barriers at high current densities
In several applications the HBTs are biased at high values of the collector current density Jc. Simulations show that at high injections parasitic barriers in the conduction band edge are created [155]. These barriers degrade severely the performance of the HBT as the base-widening and Kirk effect set in. At high current densities the degradation in the SiGe HBT is more severe than in the Si BJT. Joseph et al. [155] fabricated SiGe HBTs and identical control Si BJTs and measured their Gummel plots, extrinsic transconductance and current gain. Below a collector density of 2 mA/pm 2 the performance of the SiGe HBTs was considerably better than that of Si BJTs. As the collector current increased to more than 2 m A / # m 2, the characteristics of both the HBTs and the Si BJTs degraded. However the degradation was more severe in the HBTs. At the high currents the performance of the HBT became inferior to that of the Si BJTs. If the collector doping is reduced in an attempt to improve the BVcEo, the high injection barriers become important at lower current densities. Joseph et al. [155] investigated extensively the effect of Ge profile shapes on the high injection barriers in the HBTs by 1D simulations. They found that the onset of high injection barriers can be delayed by using retrograde Ge profiles. All the three profiles shown in this figure penetrate in to the collector region. The total Ge content was kept constant with in the stability limit [62]. The cut-off frequency for the three profiles were simulated. The results show that the value of J c at which the high injection barriers become important increases as the retrograde slope increases. Simultaneously the roll-off of the collector current is reduced. However it should be noted that the retrograde Ge profiles used here will affect other characteristics adversely, e.g. Early voltage.
6.6 6.6.1
Optimization of BVCEO,fT and Transistors with high BVcEo and high JeT
Experimental values of fT and BVcEo obtained with IBM Si based transistors are shown in Fig. 6.16. The experimental data shown in this figure include conventional ion-implanted base BJT, epi-base BJT, and graded base SiGe HBTs. The Johnson Limit (fT • BVcEo = 200 GHzV) is shown by the continuous curve. It is seen that two points lie above the Johnson Limit curve. A recent value of (fT • BVcEo = 378 GHzV)obtained by Jeng et al. [82] is also shown. This value is considerable larger than the Johnson Limit. The Johnson
CHAPTER 6. H E T E R O S T R U C T U R E BIPOLAR T R A N S I S T O R S
170
oo
(a) HBT-A
2 so 7
4~"
T= ,o
9
2
(:1 5.O,swltcllOnlgCurrent?lcs (mA),O
O L=~ (pm) O 9 2001 IEEE
(b) HBT-B 12
~
~10 (D -,.-, 8
-'J 6 (3 LU
HS HBT WE= 0.2 pm LE= 11J,m /
6.7 ps
differential VL= 250 mV
4. 0.1
1
switching current (mA)
5 9 2002 IEEE
Figure 6.15: (a) Gate delay time versus switching current measured in differential ECL ring oscillators with a fan-in and a fan-out of 1 at a single ended logic swing voltage of 250 mV and a supply voltage of-3.5 V. The emitter areas of the transistors are 0.14 x 1.5, 0.15 x 2.5, and 0.16 x 3 . 5 # m 2 respectively. Inset shows the output waveform of a 41-stage oscillator with an emitter area of 0.16 x 3.5/zm 2 measured with 7.95-ps gate delay time at a switching current of 3.9 mA. Minimum gate delay time and the switching current are shown as functions of the emitter length on the right. The measuring points of minimum gate-delay are shown by arrows (Washio 2001 [48]). (b) Differential ECL gatedelay versus switching current for a ring oscillator constructed from HS-HBT with an emitter width WE = 0.2 #m and an emitter length L E - - 2 #m. The single ended voltage swing VE was 250 mV (Washio 2002 [22]). Ge profiles for HBT-A and HBT-B are shown in Fig. 6.2.
6.6. OPTIMIZATION OF BVcEo, FT AND FMAX
II
k
~6
Silicon Bipolar
90.51Jm and 0.251]m Technology 90.51Jm High Breakdown Technology & 0.181Jm Technology O Other IBM SIGe HBT
A~ v ~
a
171
.. ~
~
Johnson Limit fTXBVcEo=200 VGHz
m4
i
0
20
i
l
,
i
40 60 80 CUtOff FrequencyJ'T (GHz)
i
100
120
Figure 6.16: Unit gain cut-off frequency versus BVcEo. The experimental points have been taken from Harame et al. [36]). The solid curve is the plot of the Johnson Limit. Recent experimental value of the product ]T • BYcEo obtained by Jeng et al. [82] is also shown. 9 2001 IEEE limit is underestimated probably due to uncertainty in the parameters used [82]. There is room for improvement in the technology. It is possible to obtain values of fT x BVcEo larger than the Johnson Limit with the improved technology. Epibase BJTs have higher fT because of better control of base width. The fT improves considerably by addition of the graded SiGe layer to the base. Higher values of fT are essential for large bandwidth required by the circuit designers.
6.6.2
Simultaneous optimization of fT and fm~x
For high fT a narrow base and a heavily doped thin collector layer are required. However narrow base and thin collectors have high values of base resistance and Cjc and this design results in low values of fmax. For increasing fmax a heavy doping in the base and a thick lowly doped collector are helpful. The Gummel number includes the effect of both the base-width and base-doping. Experimental values of fmax and fT are plotted as functions of the Gummel number in Fig. 6.17(a). As expected this figure shows that as fmax increases, fT decreases. A trade-off is necessary between the v~lues of the two frequencies for optimizing the transistor design. The two frequencies become approximately equal at ~ 70 GHz. The effect of collector doping and collector thickness on the two frequencies is shown in Fig. 6.17(b). Here again a trade-off is required between the v~lues of the two frequencies. Fig. 6.17 shows that transistors with equal fT and ]max in the range 70 GHz to 80 GHz can be fabricated [200]. The simulated and observed values of fW and fmax by different groups are shown in Fig. 6.18. Fig. 6.18 shows t h a t / T increases monotonically as the base thickness decreases. The behaviour of/max is somewhat different. As the base thickness decreases (for any given value of base doping), /max first increases attains a maximum and then starts decreasing, fmax depends on both fT and
172
CHAPTER 6. HETEROSTRUCTURE BIPOLAR TRANSISTORS
200
i
I
i
I
500 300 ]6oF !
1
Collector width [nm] 200 ! ! I I
100 !
i
+ 100
fmax
_
N
=
(a) 10
I 0
I
20~ V
I
I
I
0
10 20 30 40 50 Gummel Number [ 1013 cm -2]
60
(b) I I I I I I 05 10 15 20 25 30 Collector doping [ 1016 cm "3]
0
_ 35
Figure 6.17: Effect of doping concentrations on the AC performance of the HBTs. (a) Dependence of cut-off frequency fw ~nd maximum oscillation fr~ quency fm~x on the Gummel number. (b) Dependence of fT and fmax on the collector doping and collector-width. The emitter area is 2 • 0.8 • 8 #m 2 (Schiippen 1995 [261]). (6.17a 9 1995 IEEE, 6.17b 9 1995 IEEE)
i
C) 210 GHz, IBM 200 -DB Sie ID~ NTT PMI 9
~" 100
_
200 ~ ~
9 DBI~
H
z
,
fmax Hitachi
S 7Z
M
-
G
9 IBM 9 9
100
_
if
=
50
~D
IBM
I~ Sie 9 NEC Hit. , i '~ Ph
~ O"
5o
I
=
~,~ Simulation SiGe 9 9 1 4 9 9 Exper. 10
I I I I I
5
i
I
"t~O. s
DB
I
I
10 50 Base thickness w B (nm)
I I
10
10
50 100 Base thickness w B (nm)
Figure 6.18: (a) Transit frequency ~nd (b) maximum oscillation frequency of SiGe HBTs. Both measured ~nd simulated v~lues ~re given (K6nig 1997 [200]). We h~ve ~dded the latest experimentM values fT = 210 GHz [82] (open circle) and f m ~ - 180 GHz [22] (open square) in the figure. Progress in the high frequency performance of the HBTs during the lust two years has been very significant. 9 1997 IEEE
6.6. OPTIMIZATION OF BVcEo, FT AND FMAX
173
base resistance. At larger base thicknesses, the influence of fT dominates. As the base thickness decreases both fT and fmax increase. When the base becomes very thin, its resistance becomes large. The values of fmax now start decreasing as the base thickness further decreases. The performance characteristics of the SiGe HBTs fabricated before 1993 are given in Table 6.4 (taken from the book by Jain [1]). The maximum frequency values in this table are fT -- 90 GHz and fmax - 59 GHz. The recent values of these characteristics are given in Table 6.5. It is seen from Table 6.5 and Fig. 6.18 that a large progress has been made in the intervening years. 6.6.3
Thermal
resistance
Reid et al. [44] have measured the thermal resistance of SiGe HBTs. The resistance was also calculated using Finite Element Method (FEM). The HBTs were fabricated using standard 0.5 #m IBM process. Deep and shallow trenches were used for isolation. The deep trench walls were 1 #m thick and 6 #m deep. The walls consisted of silicon dioxide and the trenches were filled with polysilicon. The shallow trench was 1 #m thick and covered the entire area of the device except the active area. The measured and calculated values of thermal resistance are shown in Table 6.6. The agreement between the calculated and the measured values is quite good. The table also shows that thermal resistance depends rather weakly on the emitter dimensions, it is not inversely proportional to the emitter area. The authors found that the resistance increases substantially due to trench isolation. Thermal resistance can be reduced by decreasing the wall thickness of the deep trenches. The thermal resistance of junction or implant isolated devices is smaller. 6.6.4
Yield
and
reliability
If the SiGe BiCMOS circuits are manufactured with high yield the circuits also have a good reliability [123]. The manufacturability and reliability of the IBM SiGe BiCMOS technology has been well proven. Ahlgren et al. [173] measured the yield of the IBM HBTs by AC measurements, fw histograms from AC measurements were constructed. Some 25% of the transistors had the peak fT of 50 GHz. More than 60% transistors had fT values between 48 and 52 GHz. Regolini et al. [170] and Ribot et al. [160] measured the leakage current ICEO of the transistors at VCE = 2.5 V keeping the base open. For each transistor size 30 identical transistors distributed uniformly over a wafer were used. If IcEo > 100 pA the transistor was treated as leaky and rejected. The following equation was used [160] for calculating the yield YA,
YA = e x p ( - A D ) ,
(6.22)
where A and D are the area of the sample and the area defect density respectively. The process steps have their own yield denoted by Yp. The observed yield Y0 = YAYp. A plot o f - ln((Y0) versus area for the layer with 0.35%
C H A P T E R 6. H E T E R O S T R U C T U R E B I P O L A R T R A N S I S T O R S
174
Table 6.4:
Earlier p e r f o r m a n c e record of Si based bipolar transistors. A zero value of x indicates h o m o j u n c t i o n Si B J T . Frequencies are given in units of GHz. Reference King [308] K a m i n s [277] P a t t o n [278] C o m f o r t [298] C o m f o r t [303] C o m f o r t [303] S t u r m [315]** Crabb6[305] Crabb~[305] G r u h l e [306] Sato [307] H a r a m e [309] Cressler [310]*** N a m b a [311] Meister [274] T a k e m u r a [312]
year 1989 1989 1990 1990 1991 1991 1991 1992 1992 1992 1992 1992 1992 1991 1992 1992
* g r a d e d G e profile, see t e x t .
fT
x 0.31 0.31
400 32-48
* * *
~.(ps)
24.6 ( E C L ) 24 (ECL) 19 ( N T L )
11000
*
0.21-0.28 120 *
500 0 0 0
* ' 1 3 3 K.
fmax
29 75 50 44 44
34 ( E C L ) 28 ( N T L )
73 73 42 51 50 61 64 44
40 50 59
19 (ECL) 18.9 (ECL) 21.9 ( E C L ) 18 (CML)
40 * * * 8 4 K.
Table 6.5:
Recent p e r f o r m a n c e characteristics of selected Si based bipolar transistors. value of x indicates h o m o j u n c t i o n Si B J T . Frequencies are given in GHz. Reference Schiippen 1 [261] O d a 2 [208] G r e e n b e r g 3 [204] Washio 4 [167] Cressler 5a [169] Cressler 5b [169] Nil 6 [153] F r e e m a n 7 [147] Lucent s [146] G r u h l e 1 [132] J e n g 9 [82] W a s h i o 9 [22]
year 1995 1997 1997 1998 1998 1998 1999 1999 1999 1999 2001 2002
x 0.3
fl
graded
-
0-0.08 0-0.08 0
113 97 100
graded 0.35 graded see t e x t
120 1000 450 300
fT 130 30 95 48 28 20 90 52 156 210 76
fmax 160
BVcEo
65
6 V
69 57 37 90 70 81 180
3.3 5.3 10 2.7 4.0 1.8 2.5
V V V V V
A zero
~v~ (v)
6893 12804
V V
1 Until recently these were the record values of fmax and fT. 2 C u r r e n t record value of fT in nonself-aligned devices. 3High BVcEo, high values of fmax, excellent large-signal p e r f o r m a n c e , P A E 70%. 4 C u r r e n t record value of fT in self-aligned devices. 5 a S t a n d a r d IBM B i C M O S technology. T h e graded SiGe profile is a t r a p e z o i d with x varying from 0 to 8%. 5bHigh BVcEo IBM technology. T h e difference between t h e two IBM technologies is in the collector doping. 6High BVcEo with high values of fmax Si B J T . 7ft a n d f m a x are m e a s u r e d at V c B = 0.5 V. T h e m i n i m u m noise figure is 0.4 dB. T h e IBM technology a n n o u n c e d in 1999 IEDM nearly doubles t h e R F p e r f o r m a n c e of t h e existing SiGe technology in ) r o d u c t i o n . It p e r f o r m s b e t t e r t h a n any existing c o m m e r c i a l G a A s - b a s e d RF devices. T h e m i n i m u m noise figure is 0.5 dB. 4:1 m u l t i p l e x e r a n d 1:4 d e m u l t i p l e x e r e x h i b i t i n g 10 G b i t / s have been fabricated.
9fT
= 210 GHz a n d
fmax
= 180 GHz are now t h e record values.
6.6. OPTIMIZATION OF BYcEo, FT AND FMAX
175
Table 6.6: Measured and calculated (using Finite Element Model) values of thermal resistance of HBTs for different emitter lengths (Reid2001 [44]).
LE
Measured (K/mW)
Model (K/mW)
1.0/~m
6.1 5= 0.8
5.38
1.3/~m
5.7 =i=0.4
4.79
2.5 #m
4.4 :t= 0.2
3.43
5.0/~m
2.9 • 0.1
2.24
10/~m
1.72 • 0.05
1.38
20 #m
1.04 5= 0.04
0.80
1.2 1.0 0.8 0.6 ' 0.4
.
Y=9.3E-05x+5.9E-02
0.2 00
5000 emitter area (~n2)
10000
Figure 6.19: Yield as a function of emitter area (Ribot 1999 [160]).
strain is shown in Fig. 6.19. The slope of this line gives a defect density of 9300/cm 2. The defect density in the other two layers was 2100 for 0.20% strain and 3800 for 0.26% strain. We have seen in Fig. 2.10 that misfit dislocations were created in the 0.35% strain layer. The defects determined by the electrical measurements are also maximum in this layer. These results show that there is a correlation between the dislocations and the leakage currents. The threading dislocations created by large strain short the junctions and increase the leakage current rendering the transistor useless. Shallow Trench Isolation (STI) is preferred because it has several advantages over conventional LOCOS isolation [154]. STI provides higher isolation in both high-density CMOS and high speed bipolar technologies. STI isolation has latch-up immunity, nearly zero field-encroachment, and low junction capacitance. However leakage currents associated with STI can degrade the reliability of BiCMOS circuits. The total dose response of 0.5 #m STI is not better than LOCOS. Radiation hardening of the STI is more complex. The irradiation induced leakage currents in STI can degrade the devices seriously. The primary
176
CHAPTER
6. H E T E R O S T R U C T U R E
,_ 0
1.00 mlOOC
9 140C
~,~.~-1
zx 180C
0.98 o
-~ 0.96
TRANSISTORS
t +
.~ -3 -
g
o
m 0.94 0.92
BIPOLAR
(a) + I
0
I
I
I
I
200 400 600 800 1000 1200 Stress Time (hr)
-61.8
2:0 2:2 2:4 2[6 2:8 3.0 E/B Reverse Bias Voltage (V)
Figure 6.20: (a) /3 degradation of HBT (with 0.5 • 2.5#m 2 emitter) under forward-bias stressed at 1.3 m A / p m 2 current density. (b) 3 degradation under reverse bias stress after 500 hours at -40~ The emitter current is 100 mA. Degradation below 2 V is negligible [123]. 9 2000 IEEE
failure mechanism in the n-channel FETs is the leakage current along the intersection of the STI and the active channel. In SiGe BiCMOS circuits, the drain-to-channel junction of the CMOS devices and the collector-base junction of the HBTs meet directly at the STI interface. The devices are seriously affected by the STI leakage currents. The leakage currents degrade the retention time of DRAMs and power dissipation of SRAMs. Niu et al. [154] have studied the effect of gamma irradiation on the STI leakage currents in state-of-the-art IBM SiGe BiCMOS technology based on 75 GHz fmax SiGe HBT and 0.35 #m CMOS. They have also investigated the effect of the irradiation on the charge build-up in the STI. The investigations show that the fixed positive charges are generated in the bulk along the interface. These charges produce the leakage current which can not be controlled by the gate. The quantity of the charge built and the leakage current produced depends strongly on the 2D electric field (gate bias) present during the irradiation. If the substrate bias is negative, the fixed charges and the leakage currents are suppressed. The leakage currents can be eliminated by applying the negative substrate-voltage. Recently Ahlgren et al. [173] have investigated the reliability and repeatability of IBM BiCMOS technology. The reliability of the SiGe HBT was characterized by stressing the transistors in both forward and reverse bias modes. The forward stress was applied at 100~ for 1100 hours and at 140~ and 180~ for 500 hours. The current density was 1.3 mA/pm 2. The DC current gain 3 degraded by less than 3% at 100~ less than 5% at 140~ and less than 8% at 180~ Reverse bias stress was applied from 2.0 to 2.7 V at -40 ~ 30 ~ and 100~ respectively. The base current was measured at low voltages. Results for 30~ reverse bias stress were reported in detail. For a reverse bias < 2 V, no degradation was observed. At higher voltages, there was a significant increase of the base current after 30 hrs and large increase after 300 hrs. Dunn et al. [123] have also measured the degradation of the IBM HBTs under both forward
6.6. OPTIMIZATION OF BVcEo, FT AND FMAX
177
Table 6.7: Typical transistor parameters at 310 and 84 K [317]. Parameter
~max R.~ (k a/D)
Rm(~) BVc.o (v) BVcBo (V) CCBX (fF/#m 2)
c.B (fF/,m 2) Peak ft (GHz)
310 K 105 8.2 10.0 3.2 10.8 1.04 6.30 53
84 K 82 15.9 9.1 3.2 9.5 0.93 5.90 59
and reverse bias stress. The result~ of degradation of/3 are shown in Fig. 6.20. Fig. 6.20(a) shows that change in/3 after 500 hours of stress at 140~ is less than 5%. Using empirically determined acceleration factors, this amounts to less than 10% degradation in ~ under normal use after 100K power-on-hours (POH). Reverse-bias degradation is due to hot-electron trapping and is more pronounced at low temperatures. The reverse bias degradation is shown in Fig. 6.20(b). By analysing the degradation data the authors concluded that the SiGe HBTs are as reliable as the Si BJTs.
6.6.5
Low-temperature H B T s
The results discussed in the previous section indicate that a GeSi HBT can be designed for efficient operation both at low and room temperatures. A muchimproved low-temperature GeSi HBT has also been fabricated [317] using the self-aligned epibase technology [298]. The extrinsic base was formed by LTE deposited over field oxide and the emitter consists of the conventional arsenic doped polysilicon. Deep trenches filled with polysilicon were used for isolation; this minimizes the parasitics. A planar beakless low-temperature process was used for fabricating the field oxide. Lightly doped spacers were used at both the junctions [298] to reduce the electric field there. The base width was approximately 59 nm and peak concentration of graded Ge profile was 9%. Typical parameters and performance of the transistor with emitter area AE ~ 0.6 x 4.3 #m 2 at 84 K and 310 K are given in Table 6.7. The zero-bias pinched resistance of this transistor also increased by nearly a factor of 2 at 84 K. This increase in resistance on cooling the device is much larger in ion-implanted devices because in the LTE transistors the profiles are more abrupt [317]. All the capacitances decreased by roughly 10% and ft improved from 53 GHz to 59 GHz on cooling the transistor from 310 K to 84 K. ECL circuits built with this LTE transistor performed well at 84 K. For a high-power design (about 10 mW), the gate delay at 84 K was 28.1 ps, roughly the same as at 310 K. This value is a factor of 2 better than the best value obtained with low-temperature Si BJT [317]. The emitter area of the transistor
178
CHAPTER
6. H E T E R O S T R U C T U R E
BIPOLAR TRANSISTORS
used in this circuit was 0.4 x 4.3 #m 2. The circuit was operated with a logic swing of 500 mV at an average switch current density of 0.88 mA/#m 2 at both temperatures. The measured gate delays are in reasonable agreement with the theoretical predictions [1]. For lower-power circuits a transistor with an emitter area of 0.5 • 1.3 #m 2 was used. The transistor had a lower capacitance but higher base resistance. It was operated with a logic swing of 500 mV at an average switch current density of 0.40 m A / p m 2 for the lowest power point. The ratio of emitter follower to switch current was approximately 2.8:1.0. A minimum power-delay product of 112 fJ (51 ps at 2.2 mW) was achieved at 84 K. Since the bipolar circuits can be operated at reduced logic swings, this performance can be improved even further [317]. This performance of bipolar circuits at liquid nitrogen temperatures is unprecedented and shows great promise for cryogenic computer systems. In subsequent papers, Cressler et al. [310, 169, 1] fabricated LNT transistors with further improvements in the design and technology. They used a reduced temperature process employing a novel in-situ doped polysilicon contact, a lightly doped epitaxial cap layer and a graded SiGe base. This low thermal process allowed a sharp transition from low-doped emitter to heavydoped base, making the base immune to carrier freeze-out at LNT. At 84 K, transistors showed a current gain of 500, a cutoff frequency of 61 GHz and a gate delay of 21.9 ps. The effect of introducing an i layer in the emitter junction was studied in detail. The i layer reduced the low-level parasitic base leakage but gave rise to carrier freeze-out and increase of base resistance at LNT. The authors also fabricated homojunction Si BJTs and showed that properly designed homojunction transistors also have sufficient current gain and switching speed at LNT for many digital applications. In several applications, however, the flexibility offered by using SiGe for base layer yields great benefits. Sturm et al. [315] also fabricated high-quality GeSi HBTs using RTCVD. In a transistor with 20% uniform Ge concentration in the base, currents gain of about 2000 at room temperature and 11,000 at 133 K were observed. Hirose et al. [53] have utilised partially relaxed SiGe base-layers for fabricating HBTs for power switching applications. The dislocations in the relaxed layer reduce the lifetime of the minority carriers which helps in improving the switching time. If the Ge concentration is kept below 0.1, the number of dislocations is not excessive and the breakdown characteristic does not degrade.
6.7 6.7.1
H B T s with SiGeC base-layers Parasitic barriers and their suppression b y C
Several processing steps involve high temperature treatment during the fabrication of the BiCMOS circuits. Boron outdiffuses from the boron-doped SiGe base layers during the thermal treatment [281, 314]. The outdiffusion becomes severe after ion implantation needed to dope the emitter and the extrinsic base
179
6. 7. H B T S W I T H S I G E C B A S E - L A Y E R S
Dev.#1 1021
N/'
~ ,~ 1019 ~9 ' ~ 1017 O
1015 - Emitter Base
az ~
[-
op
Ec(Si)--]
Collector
|VcB = 0V
850 t E c ( S i G e ) ~ 3 V /
8000
~
I
0.2
I,
IIIII
I
,
I
0.4 0.6 Distance (~tm)
~
I
0.8
Figure 6.21: Measured SIMS profile and calculated conduction band energy of the SiGe HBT and the corresponding Si BJT with the same doping profile. The maximum Ge concentration is 20%. The hole quasi-Fermi energy is taken at zero. Parasitic barriers are clearly seen in the conduction band edge near the CB junction (Slotboom 1991 [281]). 9 1991 IEEE
and heat treatment necessary to activate the dopant atoms. The increase of outdiffusion in the implanted samples is due to the defects created by the implantation. The increased outdiffusion is designated as the transient enhanced diffusion (TED). As boron spreads into the emitter and collector regions, the junctions move outwards and the base widens. Since additional base regions thus created have no Ge they have wider bandgap. Lack of Ge at, the EB junction reduces the DC current gain/3. Lack of Ge at the CB junction creates parasitic barriers for the flow of electrons into the collector and degrades the collector current, Early voltage, and AC performance of the transistor. Pioneering investigations of outdiffusion of boron and creation of parasitic barriers were made by Prinz et al. [314] and by Slotboom et al. [281]. The formation of the parasitic barriers due to out diffusion of boron is illustrated in Fig. 6.21. Note that the boron profile width has become larger than the Ge profile width due to outdiffusion of B. At small CB bias calculated conduction band edges for these profiles show large parasitic barriers to the flow of minority electrons into the collector. At large CB bias the depletion layer widths widen and the barriers are reduced. Slotboom et al. [281] used simple box-like profiles shown at the bottom in Fig. 6.21 to interpret their results. The conduction band energy and carrier profiles for this simple model are also shown in the figure. In the presence of the barriers, minority electrons pileup in the base and the performance of the transistor degrades. Slotboom et al. [281] found that this model is adequate to explain the observed degradation of the transistor characteristics.
180
C H A P T E R 6. H E T E R O S T R U C T U R E
BIPOLAR TRANSISTORS
Recently Lanzerotti et al. [206, and references given therein] and others [189, 187, 184, 178, 186, 159, 152, 156, 145, 151, 115] have done extensive work on the outdiffusion of boron and creation of parasitic barriers. Lanzerotti et al. [206] have investigated the effect of C on the outdiffusion of B. They fabricated two sets of HBT structures, each set consisted of 3 HBTs. They used a double mesa low-temperature process so that boron outdiffusion did not occur during the fabrication process. In the first set ion implantation was not used. The widths of different layers and SIMS profiles of boron, carbon and germanium in the base layers of the transistors of the first set are shown in Fig. 4.17. There is no carbon in the structure of Fig. 4.17(a), 0.5% C in Fig. 4.17(b) and 0.9% in Fig. 4.17(c). The as-grown transistors corresponding to structures of Fig. 4.17(a) and Fig. 4.17(b) showed ideal collector currents. The collector currents did not increase with reverse bias at the CB junction. The Early voltage was high. This shows that there were no parasitic barriers. In the second set of devices identical structures were fabricated and were implanted with 1.5 x 1015 cm -3 30 keV and 3 x 1014 cm -3 15 keY As. The As implantation range was 100 nm, considerably less than the emitter thickness of 300 nm. The wafers were annealed at 647~ to 742~ for 15 minutes in nitrogen. SIMS profiles of the annealed wafers are shown in Fig. 4.18. Fig. 4.18(a) shows that considerable outdiffusion of boron has taken place in the transistor which does not contain C. The transistors which contain C (Fig. 4.18(b) and Fig. 4.18(2c)) showed practically no outdiffusion of B. Double mesa transistors were then fabricated on the as-annealed wafers and their electrical characteristics were measured. Both the collector current and the Early voltage degraded considerably in the transistor with no carbon. There was no degradation in transistors containing C. The base current was not ideal, it was large in all the transistors. However it was considerably larger in the transistors containing C. The increase was partly due to lack of surface passivation. The extra increase in C containing transistors was attributed to deep level defects associated with the presence of C. In the structures of Fig. 4.17(c) and Fig. 4.18(c) the SiGeC doped layers were sandwiched between doped SiGe (no C) layers which in turn were surrounded by undoped SiGe (no C) layers. As Fig. 4.18(c) shows the outdiffusion of boron is suppressed in this structure also. This shows that boron in regions which do not contain C also did not diffuse. The authors concluded that C has a non-local ability to suppress the boron diffusion. Though suppression of outdiffusion of boron and of parasitic barriers by inclusion of C has been confirmed by several groups (see references cited above) non-local ability of C to suppress outdiffusion of boron has not been observed by other groups. Anteney et al. [187] measured collector currents in transistors fabricated with C located in different regions of the base. They found that complete suppression of the parasitic barriers occurs only if C is present in whole of the base layer i.e. including the undoped space layers. If C was present only in the doped SiGe layer or only in the undoped SiGe spacer layers, evidence of some parasitic barriers was found. Boron diffuses by forming highly mobile pairs with Si interstitials created during implantation and annealing step. It is generally believed that C forms mobile pairs with Si interstitials more effectively.
6. 7. H B T S W I T H S I G E C B A S E - L A Y E R S
181
Therefore Si interstitials are not available to form pairs with boron and the diffusivity of boron is suppressed. Transient Enhanced Diffusion and broadening due to extrinsic base implantation can be suppressed by implanting a layer of C under the base prior to SiGe base epitaxy [37]. Riicker et al. [145] have investigated the outdiffusion of B, P, As and Sb in Si. Two sets of samples were prepared. In one set C concentration of 1020 cm -3 was also incorporated. In the other set no C was introduced. They found that P behaves in a manner similar to that of B. C suppresses outdiffusion of both B and P. On the other hand behaviour of As and Sb is different. Their diffusion is enhanced by the presence of carbon. Several material parameters of the SiGeC layers have been studied recently. Stangl et al. [184] have investigated lattice parameters of SiGeC layers with Ge concentration up to 1.8% and carbon concentration up to 2%. Rutherford and resonant backscattering experiments and x-ray diffraction measurements were made to determine the lattice constants as a function of C concentration. A significant negative deviation from the Vegard's law was found. Shivaram et al. [115] have measured the minority carrier lifetime in p+-Si/p-SiGeC/n-Si/n+-Si diodes. Identical diodes without C were also constructed. They found that within the experimental uncertainty, lifetime values were same in the diodes with or without carbon. The band-structure of Sil_~_yGe~C u quantum wells on Si(001) [186] and band offsets of p+Sil_~_uGe~Cu/p-Si(lO0) [189] have been investigated. The experimental work of Chang et al., Ref. [189], is of technological importance. Chang et al. [189] made optical absorption measurements to determine the band offsets of compressively strained p+Sil_~_yGe~Cu/p-Si(lO0 ) structures grown by the Rapid Thermal Chemical Vapor Deposition method. By analyzing their optical data the authors concluded that carbon decreases the valence band offset by 26 5= 1 meV/% carbon. This value is practically the same as the reduction of bandgap of the SiGe layers by carbon [206, 189]. It is concluded that whole of the bandgap reduction is due to the change of the valence band edge, the effect on the conduction band offset is negligible. 6.7.2
SiGeC
transistors
Recent work has demonstrated that the performance of the HBTs with SiGeC base layers compares well with the state of the art SiGe HBTs [159, 152, 156]. An additional advantage of the SiGeC base is that it allows a somewhat increased thermal budget and opens a wider processing window. Ehwald et al. [152] have modified the process flow for SiGeC HBT BiCMOS using this advantage. SiGeC base epitaxy and emitter deposition need a high temperature H2-prebake. If CMOS module integration is completed before the HBT module integration, the high temperature step influences the PMOS parameters. Instead of integrating the HBT after the CMOS processing steps, they integrated the HBT module before the implantation of the p+ S/D regions. However a strong RTA was necessary to drive in the PMOS S/D implant. This step requires a temperature higher than the RTA needed for the SiGeC HBT by about 70~ Because of the
182
CHAPTER 6. H E T E R O S T R U C T U R E BIPOLAR T R A N S I S T O R S 250 10-3 10-5
200
10-7
150
r
10-9 100 10-11 10_13
50 0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
Base-emitter voltage (V)
Figure 6.22: Gummel plots and current gain/3 for a SiGeC HBT measured at VCB = 0 and 1 V (Ehwald 1999 [152]). 9 1999 IEEE
presence of C in the heavily boron doped base, this step did not degrade the DC or the RF performance of the transistor. Note that the base thickness is only 25 nm and boron concentration is extremely high, > 102~ cm -3. Without C the boron outdiffusion and degradation of the transistor would have been severe. High quality SiGeC HBTs and circuits based on them have been reported recently [159, 152, 156, references to the earlier work of the authors are given in these papers]. Single polysilicon technology is used to fabricate the transistors. After LOCOS formation epi-free n-wells are formed by ion implantation. Commercial LPCVD equipment is used to deposit the layers. A Si buffer (30 nm), SiGe base layer (25 nm) and a thin Si cap layer are deposited without interruption. Substitutional 1020 cm -3 C is incorporated in the base layer. The boron concentration in the base is kept very high so that the base resistance RBi is in the range 1 to 4 kft. BF2 implant is used for boron doping after structuring the polyemitter. A short RTA is used to anneal the emitter. Pt salicidation is used for emitter, base and collector contacts. Post epitaxial processing is the same as for the general SiGe technology. In some wafers low dose implantation was also used to dope selectively the LDCs. This is possible only if C is contained in the base layer. The high quality of the transistors is apparent from the near-ideal Gummel plots shown in Fig. 6.22. Osten et al. [156] have compared similar plots with those obtained with SiGe only HBTs. The base currents were nearly identical in the SiGe and SiGeC transistors. The collector current was slightly higher in the SiGeC transistors. Current gains of 200 and higher are obtained. Early voltage is > 200 V giving a ~VA product of ,,~ 20,000. The values of fT/fmaz are 55/90 (in GHz). NFmin is 2 dB with associated gain of 7 dB at 12 GHz, VCE = 2 V, I c = 2 mA and An = 24 • 0.8 • 0.8 pm 2.
6.8. NOISE
183
6.8 Noise 6.8.1
Base design for low noise
Recently there has been considerable work has been done on in the transistors [32], [34], [93], [30], [35], [94], [226], [164]. We first discuss earlier work. Ansley et al. [283] have made extensive computer simulations to determine the effect of different design parameters on the noise in GeSi HBTs. The Hawkins model [280] was used in the simulations. A schematic diagram of the Hawkins model is shown in Fig. 6.23. The figure shows four major sources of noise: the thermal icp Vb
Rb
Re
(~ie
Ve Vs ie
Figure 6.23: Equivalent circuit diagram (schematic) of Hawkins' noise model (Hawkins 1977 [280] quoted by Ansley 1998 Ref. [283]). 9 1998 IEEE noise ~8 in the source resistance, thermal noise Vb in the base resistance, shot noise v~ in the emitter and the collector partition noise Icp. The symbols used in Fig. 6.23 have their usual meanings [283]. R stands for the resistance and the subscripts s, b, e and c indicate source, base, emitter and collector respectively. Re is the dynamic emitter resistance i.e. it is thermal voltage divided by the emitter current, Ceb is the EB depletion capacitance: it is equal to Teb/Re, CtO is the common-base dc-current gain, I ~ I is the magnitude of the common base small-signal ac-current gain, and f is the frequency at which the noise is measured. The complete expression for the noise factor F is long and complicated [1,283]. A simplified approximate version [283] of the expression for F is given below:
Rb R~ ( (1- (27rf)Cr F ~ 1 + -~, + -~R8 +
(1+(2 1)2ff)(R - 1 c~o
"~
2
) + [(27rf)C~b12R~
+-------
2R~ Rs
).
(6.23)
184
CHAPTER 6. HETEROSTRUCTURE BIPOLAR TRANSISTORS
The approximate equation makes the physics more transparent. Minimum noise figure NFmin is given by 10 log(F) if optimum values of R8 and X8 given below are used in Eq. (6.23).
Ropt -"
i
R2b -
X2opt +
1 + (27rf)2T2 2Rb + Re C~0
,
Xopt = 1 + (27rf)2T2 (27rf)CebR2, CtO
(6.24)
a
(6.25)
g
and 1 a = [(1 + ((27rf)'rb)2) (1 + ((27rf)T~b) 2) --a0]---~.
(6.26)
One can see the advantages of putting Ge in the base from the above equations. Ge reduces both the base resistance and the base transit time which in turn reduce NFmin. The current gain/3 can be controlled independently in the SiGe HBTs. NFmin can also be reduced by increasing fl (and therefore a0). Ansley et al. [283] have computed the minimum noise figure NFmin at 10 GHz for different collector currents of their state-of-the art SiGe HBT. NFmin had the smallest value at a collector current of ~ 0.1 m A / # m 2. It depended strongly on the base link resistance. The minimum value changed from ~ 2 dB to 1.3 dB wtmn the base link resistance was changed from 6000 to 200 12/t3 by heavy doping implantation. Computed values of collector partition (CP) noise factor, base thermal (BT) noise factor, and emitter shot noise factor shows that at Jc = 0.1 m A / # m 2 the CP noise dominates. If Ge concentration near the EB junction is increased, /~ will also increase and CP noise decreases. A value of NFmin lower than 1.3 dB can be obtained by increasing the Ge concentration. Ge concentration in the base can not be increased arbitrarily in order to obtain low noise. If the Ge concentration is too high, the layer becomes unstable. Moreover an excessively large value of fl is not desirable. Two optimized Ge profiles for reduction of noise are shown in Fig. 6.24. The base width is 90 nm. The Ge profile is constant near the EB junction to provide a sufficiently large constant ft. It is graded for most of the region where boron concentration is high to provide favourable drift field in the region of low mobility. After attaining a maximum value it decreases to keep the total Ge concentration within the limit of thermodynamic stability. Since minimum NFmin occurs at a lower current density as compared to the current at which peak in fmax occurs, Ge-induced high-injection effects do not occur. Both base doping and base width affect the characteristics of the HBTs. Current gain /~, Rbi, and fT decrease (both Tb and Te increase) as the base doping increases. Decrease in fl and in fT tends to increase the NFmin whereas decrease in Rbi has the opposite effect. The magnitude of the effect of base doping depends on the base width. Ansley et al. [283] have investigated the effect of the base doping by scaling the boron profile up and down. CP noise dominates for all doping concentrations. Numerical calculations show that for the 90 nm base width the over-all effect of increasing the base doping is to
185
6.8. N O I S E
20
'
'
'
I
Low noise 15 9 profileLN2
'
'
"
I
'
'
"
A / \
"i 12 I
4 0 0.14
0.18 0.22 Depth (gm)
0.26
Figure 6.24: Two optimized Ge profiles for maximum reduction in NFmin. The profiles are designed to remain stable during processing of the device (Niu 2000 [93, 321). 9 2000 IEEE
increase NFmin. However in order to reduce noise, base doping should not be decreased beyond a certain limit. If doping is too low, it can offset the increase in fT and may cause current crowding. In another set of simulations base-width was reduced to 1/2 i.e. to 45 nm which increased the base-doping by a factor 2. Now CP noise no-longer dominated. Both base thermal noise and emitter shot noise decreased with increase in doping. Another advantage of thinning the base is that concentration of Ge can be increased keeping the system within thermodynamic stability limit. Optimization of Ge profile for designing the lowest noise devices depends on both doping and thickness of the base. Simulations made in the temperature range-55~ to 125~ showed that for the Si control transistor the NFmin increased at lower temperature but in the GeSi HBTs it remained approximately constant. Niu et al. [150, 93, 32] have investigated the effect of Ge profile shape on the noise figure experimentally. Niu et al. [93] fabricated HBTs with two Ge profiles shown in Fig. 6.24. The values of/3 at VBE = 0.7 V were 350 and 261 for the two profiles. The peak fT values were 52 GHz and 57 GHz. The measured values of NFmin are shown in Fig. 6.25(a) for the two profiles. The minimum noise figures of the control Si BJT and the control SiGe HBT are also shown. Fig. 6.25(a) shows clearly that the noise decreases substantially on optimizing the Ge profiles for low noise. Fig. 6.25(b) shows the values of fT as a function of collector current for the four transistors. It is seen that the peak fT value for LN2 profile is higher and for LN1 profile it is comparable to the value for the control HBT. The HBTs with optimized Ge profiles showed excellent linearity. Preliminary measurements of rf harmonic mixers fabricated with these transistors were made. The HBTs with optimized profiles showed improved noise and linearity as compared to those
186 1.4 1.2 1.O
o.8
CHAPTER 6. HETEROSTRUCTURE BIPOLAR TRANSISTORS I
'
'
o SiBJT [] SiGe control zx SiGeLN1
I
....
I/D
/ ~ ~
~
d
I'"1
(a)~ r-~ ~ ~
9
~
~ 0.6
I
. . . . . . .
40
'1
(b) _] --~\w~_, l
"
20
0.4 0.2 0.0 0.0
. . . . . . . .
6 0 [ - o SiBJT ~ F D SiGe control ~ | z x SiGeLN1 ~ -
_
f = 2,GHz v ~ , = I
2.0
,
I
I,
5.0 IC (mh)
0v
-~
i ,11
01,,,I
10
20
,
1
i,
,,,,,I
10 Ic (mA)
~
I,
,,,,,I
100
Figure 6.25: (a) Measured minimum noise figure NFmin versus collector current at 2 GHz for a Si BJT, a SiGe control HBT, and two SiGe HBTs with low noise profiles LN1 and LN2. (b) Measured JeT versus collector current of the same transistors (Niu 2000 [93]. 9 2000 IEEE obtained using HBT with control profile. At relatively high Ic where RF gain is large the input noise current is dominated by 2qlB [32]. Noise can be reduced only by increasing fl at these high currents. SiGe HBTs perform so well with respect to noise because they have the ability to achieve high fl, high fT and low TS at the same time. The electrical characteristics of the four transistors are shown in Table 6.8. This table shows that the noise can be reduced without degrading DC and AC performance of the SiGe HBTs. This is not possible with the Si BJTs. O t h e r studies of noise Jin et al. [30] have investigated the effect of irradiating the HBTs with 2 x 10 la p/cm -2 protons. State of the art HBTs of different emitter areas were irradiated and their DC characteristics and noise were measured. As usual 1/f noise varied as I~ and 1/AE before irradiation. The noise increased on proton irradiation. The increase depended on the dimensions of the emitter. The increase was relatively small in transistors with small area emitters but it was significant in large area emitters. Thus the advantage of low 1/f noise in large area transistors is largely lost on proton irradiation. In the irradiated transistors 1/f noise varies as PE/AE instead of 1/AE and as I s instead of I~. The increase in noise is due to the increase in the concentration of generationrecombination centers. Zhang et al. [29] have studied the influence of 63-MeV proton irradiation on both SiGe and SiGe:C HBTs. The results were similar to those described above in both transistors. Addition of C to the base did not change appreciably the effect of proton irradiation. Lukyanchikova et al. [43] have studied the 1/f noise and base current in HBTs fabricated using selective epitaxy for the collector and NSEG epitaxy for the SiGe base and n-Si cap. Two dominant noise sources were identified. One is the source due to recombination base current at the oxide/silicon interface
187
6.8. NOISE
Table 6.8: Electrical properties of the four transistors (Niu 2001 [32]). ....
Performance
Si BJT
.
,,
_','_
SiGe
SiGe
SiGe
control LNI
LN2
.
.
.
.
.
.
.
-,
67
114
350
261
VA(V)
19
60
58
113
BVcEo(V)
3.5
3.2
2.7
2.7
12.8
9.8
10.3
10.7
38
52
52
57
57
64
62
67
at V~=0.7V ,
,,
,,
RB~ (kF~]o) II
peak f r (GHz)
=
._
peak f,,,ax (GHz) .
.
.
.
.
.
.
.
.
.
where the emitter-base depletion region intersects the deposited oxide. The second source is the resistance of the emitter interfacial oxide layer. The first source is suppressed considerably when BF2 is implanted into the buried field oxide to fabricate the link-base. This implant relieves the stress created by the selective epitaxial growth of the collector. Stress relief decreases the viscous oxide flow and avoids the oxide degradation. The second source is suppressed by implanting F into the polysilicon emitter. F breaks the interfacial oxide and decreases its resistance. Cressler [169] has compared the power dissipation and noise of LNAs fabricated using different technologies. The ratio of LNA gain to power dissipation is plotted in Fig. 6.26 as a function of noise figure for LNAs built using SiGe HBTs, GaAs MESFETs, Si BJTs, GaAs HBTs and Si CMOS in the 2 GHz frequency range. The good LNAs should lie in the upper left corner with high gain, low power dissipation and low noise figure. Fig. 6.26 shows that SiGe HBT technology competes well with other technologies. The SiGe HBT LNA shown in the figure has N F m i n - - 0.95 dB and gain-10.5 dB at 2.5 mW. 6.8.2
Low frequency
noise
For good performance and spectral purity of very broad-band-range analog circuits low frequency (LF) noise is a crucial parameter. LF noise has a direct influence on the non-linear microwave functions e.g. oscillator, A/D converter, and low phase distortion amplifier [182]. In UHV/CVD SiGe HBTs the excess corner frequency (the frequency at which the excess noise and white noise have the same magnitude) is as low as 500 Hz [182, and references given there in]. SiGe HBTs have low phase noise comparable to that of Si BJTs and gain levels
188
C H A P T E R 6. H E T E R O S T R U C T U R E
7.0
~
6.0
I
I
I
I
I
I
I
Q SiGe HBT 5.0
3.02.0-
0
I
GaAs MESFET
n
Jk GaAs HBT
~. 4 . 0 - o
I
BIPOLAR TRANSISTORS
il~GaAs MESFET ~'Si BJT ~I~GaAs MESFET
-
1.0-
~I~GaAs MESFET Si CMOS (900 MHz) iiii TSi BJT II Si CMOS (1.5 GHz)
0.0 0.5
I 1.5
I 2.5
i
I 3.5
I
I 4.5
I 5.5
Nise Figure (dB) Figure 6.26: Comparison of an LNA figure of merit for different device technologies. LNA gain divided by the dc power dissipation is plotted as a function of noise figure. The figure is taken from Cressler 1998 [169] who also gives references to original works. 9 1998 IEEE comparable to that of III-V devices. This makes it possible to fabricate high performance ultra-low noise circuits using SiGe devices. Haaren et al. [182] have reported the characteristics of MBE grown SiGe HBTs optimized for microwave and millimeter-wave applications. They used high quality oxide passivation. The HBTs showed ideal Gummel plots. The input noise corner frequency was 250 at the lowest. A dielectric resonator oscillator (DRO) at 4.7 GHz was fabricated. The measured phase noise level of this oscillator was below -135 dBc/Hz.
6.9
Circuit applications
Low noise and high thermal conductivity make SiGe technology suitable for a large number of RF circuits (LNAs, Pas, mixers, VCOs), mixed signal circuits (fractionM N synthesisers, analog to digital converters) and precision analog circuits (operational amplifiers, bandgap references, temperature and bias controls) [36]. We have already discussed rf harmonic mixers [150] and dielectric resonator oscillators (DRO) [182]. Comparison of LNAs fabricated using SiGe HBTs and other technologies was made in Fig. 6.26 [169]. Silicon millimeter wave integrated circuit (SIMMWIC) technology uses two terminal devices: IMPATT diodes, Schottky diodes, and PIN diodes. With the development of SiGe HBTs, Si/SiGe SIMMWIC technology has been realized [203]. 24 GHz Hybrid VCO and DRO circuits have been achieved [203]. 26 GHz and 40 GHz VCOs in
6.9.
CIRCUIT APPLICATIONS
189
Figure 6.27: Block diagram of the dual-band receiver IC supporting off-chip components of the image reject mixer (Imbornone 2000 [92]). 9 2000 IEEE
microchip design with a tuning range of 3 GHz have been fabricated [313]. Performance of severM circuits fabricated using SiGe technology are given in Table 6.9. Strohm et al. [203] have fabricated a coplanar LC oscillator with output power of up to 4 dBm at 25.5 GHz and 2 dBm at 38 GHz, a coplanar Ka-band SiGe MMIC amplifier, and a 55 GHz frequency doubler. KSnig and Gruhle [200] have discussed the performance of the broad band amplifier, hybrid active antenna and LNA. A partial-response-maximum-likely-hood (PRML) chip is being produced in large volume at IBM (see Fig. 4 of Harame 2001 [36]). Several other circuits fabricated using SiGe BiCMOS technology have been reviewed by Harame et al. [36]. We discuss here some important circuits fabricated very recently. A block diagram of the differential dual-band image reject receiver [92] is shown in Fig. 6.27. The image reject mixer contains several small circuits. Small circuits include two LNAs, two planar 4:1 baluns, two balanced lumped element transformers, an image filter, two image reject mixers, an IF polyphase filter, an IF amplifier, two divided-by-four frequency dividers and all bias and decoder circuitry. The performance characteristics of the dual-band reject receiver are given in Table 6.10. Washio et al. [48] fabricated a 1/8 static frequency divider, a multiplexer and a demultiplexer. The transistor HBT-A shown in Fig. 6.2(c) was used to develop these ICs for optical-fibre-link systems. A block diagram of a 1/8 frequency divider and a circuit photomicrograph of MS-TFF (master-slave T-type flipflop) are shown in Fig. 6.28(a). The MS-TFF is laid out as symmetrically as possible and occupies 150 • 60 #m. The internal buffers were conventional amplifiers with a single ended voltage swing of 250 mV. The input sensitivity of the divider is shown in Fig. 6.28(b). The maximum operating frequency is a high 47.7 GHz. The power conversion of the MS-TFF was 87 mW at a supply voltage of-5 V. Self oscillation is observed at 23 GHz and is low compared
190
C H A P T E R 6. H E T E R O S T R U C T U R E B I P O L A R T R A N S I S T O R S
Table 6.9: (a) SiGe circuits fabricated before 1995 [246]. (b) Selected SiGe circuits fabricated between 1995 and 1998. Refs. for Hitatchi 1998 and Siemens 1998 circuits are: Proc. IEEE BCTM 1998, p 97 and p 163, and for University of Waterloo (UW)/Nortel 1999 circuits: Proc. IEEE BCTM 1999, p 157 respectively. The other data have been taken from Strohm 1997 Ref. [203].
(a) Circuit ECL ring oscillator ECL ring oscillator ECL ring oscillator DAC 2"1 multiplexer D-type F/F selector 2"1 multiplexer preamplifier gain block oscillator, coplanar VCO, microstrip mixer
Performance 19 ps,fT = 51 GHz 17.2 ps,fT = 48 GHz 22 ps,fT = 40 GHz 1 GHz, 12bit 10 Gbit/s, fT = 40 GHz 20 Gbit/s 30 Gbit/s, 33ps 20 Gbit/s, fT = 60 GHz bw = 19 GHz, 36dB~ bw = 18 GHz, 9.5 dB 28 GHz, + 1dBm 40-42 GHz,-12dBm 2 GHz, f/f - 150 MHz
*RUB = Ruhr Universiffit Bochum
Circuit CML ring oscillator ECL ring oscillator CML ring oscillator ECL ring oscillator frequency divider 5.8 GHz LNA 1.9 GHz LNA 1.9 GHz LNA 1.9 GHz PA 1.9 GHz T/R switch
Company NEC IBM TEMIC IBM RUB* NEC NEC NEC NEC DB DB DB TEMIC
Year 1992 1993 1993 1993 1993 1994 1994 1994 1994 1995 1995 1995 1995
(b)
Performance
Company
Year
Siemens Philips Hitachi Siemens
1995 1995 1998 1998
Siemens
1997
IBM Gain 15 dB, NF=l.68 dB Gain 20 dB, NF=2.4 dB Temic Gain 10.9 dB, NF=2.3 dB UWhNortel Temic Power 28 dBm, PA=30% Temic IL=l.2 dB, ISO=30 dB
1997 1997 1999 1997 1997
11 ps, fmax=74 GHz 13.7 ps, fT=45 GHz 7.7 ps, fmax= 108 GHz 14.0 ps, fmax=60 GHz 42Gbits/s
6.9.
191
CIRCUIT A P P L I C A T I O N S
30 20
,7'
~
'
,
,
'
L
.
.
.
.
I
.
.
.
.
I
.
I
.
.
.
.
I
.
.
.
.
-
o
u~ 10 @ 0
(b) =o-10
.F. -20 E -30 D. -40 c
.
-50 0
.
.
.
I
.
.
.
.
10
20
,
30
fin
,
i
|._1
40
. . . . .
50
(GHz)
Input: 50 GHz i
250 mV/div.
i
(c)
118 Output: 6.25 GHz
~o mWdi,,.
100
ps/div.
Figure 6.28: (a) Block diagram of the 1/8 frequency divider and a circuit photomicrograph of the MS-TFF and an internal buffer. (b) Input sensitivity of the divider up to maximum operating frequencies as high as 47.7 GHz at a supply voltage o f - 5 V. (c) 50-GHz single ended input and output waveforms of a static divider (Washio 2001 [48]). 9 2001 IEEE
192
C H A P T E R 6. H E T E R O S T R U C T U R E B I P O L A R T R A N S I S T O R S
Table 6.10" LNA/IRM Performance summary (V~c = 2.7 V, Pin=-35 dBm, Plo=-10 dBm, IF=400 MHz, Rs=50, aI=600) (quoted by Harame 2001 [36]). Parameter
@ 950 MHz
LO F r e q u e n c y
2180 M H z
@ 1800 M H z 5600 MHz
@ 1900 MHz 6000 MHz
Gp
24dB
NF* IP 1dB
2.8 dB -25.7dBm
22.7dB 4.1 dB -21.8dBm
24.3dB 4.1 dB - 23.8dBm
IIP3 Image Rejection
- 17dBm - 40dBc
- 14.5dBm - 41 dBc
- 16.3dBm - 40dBc
89IF Spur Board Balun Loss
- 70dBm 0.15dB
- 58.6dBm 0.35dB
- 56.8dBm 0.35dB
L-I Iso R-I Iso L N A Current Divider Current
- 24.7dB -21.5dB 10.5mA 3.4mA
- 27.3dB -24.8dB I 1.2mA 3.5mA
- 26.2dB -25.5dB 11.2mA 3.5mA
IFA Current
6.6mA
6.6mA
6.6mA
Mixer Current Total Current Shutdown Current
12mA 32.5mA < 1~tA
12.5mA 33.8mA < 1~tA
12.5mA 33.8mA < 1laA
,
with the usual case. The single-ended input at 50 GHz and the divided by eight output are shown in Fig. 6.28(c). The power consumption was 119 mW at a supply voltage of-5.5 V. The speed of the divider is higher than that of small-scaled InGaP/GaAs HBT divider. A block diagram of a 2:1 multiplexer (MUX) with basic circuit modules is shown in Fig. 6.29(a). The circuit of the selector is a single flip-flop and is of the same configuration as the TFF. Fig. 6.29(b) shows the eye-diagram of 20-Gb/input and 40-Gb/s output from the selector and the DFF. The out-put signal deteriorated considerably due to the loss of long cable during the on-wafer measurements. A block diagram of a demultiplexer (DEMUX) constructed similarly is shown in Fig. 6.29(d). Fig. 6.29(e) shows the eye-diagram of 20 Gb/s output. The photomicrograph of the DEMUX is shown in Fig. 6.29(f). The total power consumption at a supply voltage of-5 V was 1 W.
6.10
Summary
The two most important designs of the HBTs are: (1) the IBM design with relatively thick (90 nm) base, low average Ge concentration (about 8%) and p-doping lower than the n-doping in the emitter. The advantage of the IBM design is that it is easily integrated with the existing CMOS fabrication line at IBM; and (2) the Daimler Benz design with thin base (30 nm or less), high Ge concentration (about 30 to 40%), and very high boron doping (up to 2 • 1020 cm -3) concentration. Due to large base thickness in the IBM design, the base transit time is large and the frequency fT is low if Ge profile is uniform. To remedy this situation, the Ge profile is graded with a small concentration near the EB junction. The concentration rises to a high value near the CB junction. The figures of merit/3, fmax, parasitic barriers and noise are all sensitive to the
6.10. S U M M A R Y
193
Figure 6.29: (a) Block diagram and (b) Eye diagram of the 2:1 MUX, and (c) chip photomicrograph of the MUX. DEMUX, (d), (e) and (f) are the same for 1:2 DEMUX (Washio 2001 [48]). 9 2001 IEEE
194
CHAPTER 6. HETEROSTRUCTURE BIPOLAR TRANSISTORS
Ge profile-shapes. The optimum Ge profile shape depends on the figure of merit and the application for which the transistor is being designed. It is not possible to have a Ge profile which will optimize all figures of merit. Optimization of the Ge profile for a given figure of merit has to be done under the constraints imposed by the stability criterion. Very often optimizing the Ge profile for one figure of merit degrades the other figures of merit. Optimum Ge profile shapes for several figures of merit are now known. The box Ge profile produces the largest fl but reduces the BVcEo. A triangular profile gives large values of ]T and VA. The current gain-Early voltage product ~VA is important for precision current sources, voltage references, DAC's, and ADC's [266]. Trapezoid Ge profile gives the large value of the product 13VA. The oscillation frequency fmax is more important for high frequency amplifiers, mixers, and oscillators. High fT and low parasitics are necessary for obtaining high fmax- In the Daimler Benz design with small base thickness transit time is small and grading of the Ge profile is not necessary. The very high Ge and boron concentrations in this design reduce the base resistance to a value as low as 500f~/D and suppress noise. In the IBM technology the SiGe layers are deposited using UHV/CVD. The original Daimler Benz technology was based on MBE. However in production TEMIC has changed over to CVD based technology. The problem of parasitic barriers created by out-diffusion of boron is common to both designs. Thin (about 2 nm) undoped SiGe barriers are used on both sides of the boron doped layer to circumvent this problem. Recently HBTs using SiGeC base layers with very good performance have been fabricated. Incorporation of C in the base suppresses the boron out-diffusion almost completely. In this case it is not necessary to include 2nm undoped spacers in the base and higher temperatures for processing can be used. SiGeC transistors have good potential to improve the existing SiGe technology. In general if the high frequency capability of an HBT increases, its breakdown voltage decreases. Recently parasitics have been reduced to such low values that both fmax and BVcEo can be made high at the same time. High fT and the same time high fmax have also been achieved. Low noise in the 2 GHz range, low frequency noise and low phase noise characteristics of the SiGe HBTs are very superior to the those of the III-V devices. Several BiCMOS circuits using SiGe technology have been fabricated. These circuits have shown better performance than the circuits based on Si BJTs.
Chapter 7
F E T s and o t h e r d e v i c e s 7.1
Ge channel M O S transistors
Considerable work has been done on SiGe heterostructure Field Effect Transistors (FETs). Both Metal Oxide Semiconductor FETs (MOSFETs) and Modulation Doped FETs (MODFETs) have been fabricated and studied by several groups. Ge MOS technology is of interest because the mobility of holes in Ge is larger than in most other semiconductors [1]. At low temperatures both electron and hole mobilities are high (at 77 K they both exceed 20,000 cm2/Vs). Ge MOS technology is also of interest for fabricating monolithic fiber-optic receivers [1]. Both p- and n-channel Ge MOSFETs have been fabricated. As distinguished from the strained layer devices discussed later in this chapter, the devices discussed in this section are fabricated using unstrained Ge. Formation of a high quality insulator layer is the key to the fabrication of high-speed metal-insulator-semiconductor devices. Early attempts to grow the insulator on a Ge substrate using thermally grown native oxide, deposited oxide and nitride were not very successful. In almost all cases large interface state densities and leakage currents were observed through the insulator. The difficulties arise because of the formation of volatile GeO when oxidation is performed at a temperature > 400~ The n-channel MOSFETs can be grown on (111) p type Ge substrate [1, and references given therein]. Since GeO2 is hygroscopic, an 800 ~ SiO2 protective layer is electron beam-evaporated on top of the GeO2 layer. Electrical measurements showed that the fast interface state density was ,~ 4 x 1011 cm-2/eV. Fixed oxide charge density was also large. Surface mobilities were of the order of 22% of bulk mobility. Improved n-channel Ge MOS devices were fabricated by Rosenberg et al. [394], using a self-aligned process. Nitrided native oxide was used as a gate insulator. An arsenic dose of ,,~ 1015 cm -2 was implanted at 50 keV to form the source and drain regions. The common-source characteristics of a 6 #m gate length device showed good saturation and turn-off. Junction leakage, parasitic surface conduction and contact resistance were negligible. An interface state 195
196
C H A P T E R 7. F E T S A N D OTHER DEVICES
density of < 5 x 101~ cm-2/eV at mid-gap and a fixed insulator charge of < 3 x 10 l~ cm -2 were estimated from electrical measurements. The measured channel mobility was 940 cm2/Vs. In a subsequent paper [395] n-channel mobility in excess of 1200 cm2/Vs was measured. P-channel MOSFETs using the same process [394] were also fabricated by the same group [395]. Measured lowfield mobility was 770 cm2/Vs in a 7 #m gate length device. The mid-gap interface state density was measured by comparing 1 MHz and 100 Hz C - V characteristics and was found to be below 5 x 10 l~ cm-2/eV.
7.2
Strained layer p-channel MOSFETs
The speed of Si CMOS (complementary metal-oxide-semiconductor) circuits is limited by the p-channel MOSFETs because of the relatively low mobility of the holes and because the current drive capability of the p-MOS transistor is inferior. In-plane mobility of holes in the SiGe layer grown on Si(100) increases due to strain. Strain removes the degeneracy between the heavy and the light hole bands and the spin-orbit band moves further down. This reduces the intervalley scattering of the holes. In buried SiGe strained layers I scattering of holes by the Si-SiO2 interface is practically absent. Absence of these scattering mechanisms increases further the hole mobility. Therefore SiGe strained layer p-channel MOSFETs are expected to have superior performance. Considerable work on the SiGe p-channel MOSFETs was done before 1993 [1, 397, 404]. Finding a suitable gate dielectric for the SiGe MOSFETs has been a problem. Extensive work has been done on the oxidation of SiGe alloys in an effort to obtain a good interface between SiGe strained layers and the oxide of the alloy (see the review by Jain and Balk [396]). Similar problems also arise with growth of oxides on Sil_x_yGexCy layers [86]. Attempts have also been made to grow new gate dielectrics on SiGe strained layers [1]. However, it has not been possible to grow or deposit a good-quality oxide or another dielectric directly on SiGe strained layers with acceptable quality of the interface. This difficulty is avoided by growing a Si cap layer (also known as buffer layer) on the active SiGe strained layer and growing or depositing SiO2 on the Si cap layer [1]. A schematic cross section of a SiGe MOSFET is shown in Fig. 7.1(a) and that of a thin-body MOSFET (discussed later) is shown in Fig. 7.1(b). The band diagram of the thin-body MOSFET is shown in Fig. 7.1(c). Usually the substrate has an n type doping concentration of about 5 x 1016 cm -3. The Si spacer layer, strained SiGe layer and Si cap layer are generally undoped. The background doping in these layers is n type and is about 5 • 1015 cm -3. The band bending and position of the Fermi level under an applied negative voltage are shown in Fig. 7.1(c). For low gate voltage, holes are formed in the SiGe layer, making it a p type conducting channel. There are no holes yet at the Si-SiO2 interface. For higher gate voltage (Fig. 7.1(c)) the holes are also formed at the Si-SiO2 interface, giving rise to a parasitic channel in the Si cap layer. The one-dimensional Poisson's equation has been solved numerically by many 1There is a Si cap between the gate oxide and active SiGe layer in p-channel MOSFETs.
7.2. S T R A I N E D L A Y E R P - C H A N N E L M O S F E T S
197
Figure 7.1: (a) Schematic cross section of a conventional SiGe MOSFET. (b) Cross section of a thin-body SiGe MOSFET. (c) Schematic band diagram of the thin-body MOSFET along the dashed line (based on Yeo 2002 [18]). workers [397, 404, 393, 40] to calculate threshold voltage and to obtain carrier densities in the two channels under different biasing conditions. Iniewski et al. [393] have given an approximate analytical solution of the equation. They have also calculated the short channel effects on the performance of the SiGe strained layer MOSFETs. The calculated charge densities QSiGe [18] in the Sil-xGex (x = 0.3) channel and Qsi in the Si channel of a MOSFET are shown in Fig. 7.2. These results are similar to those obtained by Iniewski et al. [393]. More recently Palmer et al. [40] have investigated in detail the characteristics of p-channel MOSFETs fabricated with a thin Si capping layer. Two different n-dopings were used in the substrate to investigate the effects of punch-through and drain induced barrier lowering. In batch A the doping was 2 • 1017 cm-3 boron and in batch B it was 5 • 1015 cm -3. The active layer was a Si0.64Ge0.36 strained layer. A range of thicknesses of the Si capping layer were used. The mobility versus sheet carrier density for two values of substrate dopings and for several values of Si layer thickness are shown in Fig. 7.3. The point at which parasitic conduction in the Si channel sets in is shown by the plus sign. It is seen from Fig. 7.3(a) that the parasitic conduction sets in at lower sheet carrier densities (and hence at lower gate voltages) for smaller values of Si layer thickness. Comparison of Fig. 7.3(a) and Fig. 7.3(b) shows that substrate doping does not have a significant effect on the onset of parasitic conduction. Iniewski et al. [393] studied the effect of changing the design parameters on the performance of the MOSFETs. In any one calculation, only one parameter was changed; others were kept unchanged. The main results obtained by Iniewski et al. [393] can be summarized as follows: 1. As the Ge concentration x increases, VTH decreases and VTS increases. Therefore the gate voltage "window" VTH- VTS for which parasitic conduction is negligible increases with Ge concentration.
198
C H A P T E R 7. F E T S A N D OTHER DEVICES i 9
!
-
!
9
|
9
!
9
!
9
l
9
m
10~3 .... ~
"gl~
//
-
lO,i ,, /
9
S
100.0
t
0.2
0.4
0.6
0.8
1.0
1.2
1.4
Gate Voltage V6 (V) Figure 7.2: The integrated charge densities QsiGe and Qsi in the SiGe channel and parasitic Si channel (Yeo 2002 [18]). 9 2002 IEEE
35O
(a)
~p4nm)
300 W02 (Tcap~Jnm)
"~ 250 m,t
2OO
ot
H
. .~. .~. . . . ~, . 2
1/ _(Tea~p-2'u_m.)
150
=2. 10o 5O
W06 (Si epiUmxy)
0 3OO
(b)
WI0 (Tcsp~mm)
f
~W0~ (Teap-2mm)
"- 2oo M
lso 190
W12 (Sl non-ep#axlal eomtroQ
50
0
2
4
6
$
10
N s (1012 cm4)
Figure 7.3: (a) Batch (A), effective mobility vs carrier sheet density for devices with a 2 • 1017 cm -3 substrate doping punch-through stopper. (b) Batch (B), same as for batch (A) but without a punch-through stopper. The observed onset of conduction at the SiO2/Si interface is marked by a cross (+) in both cases (Palmer 2001 [40]).
7.2. S T R A I N E D L A Y E R P - C H A N N E L M O S F E T S
@
199
The effect of increasing Si cap thickness is just the opposite. As the cap thickness increases, VTS decreases and VTH increases. Therefore small cap layer thicknesses are desirable for obtaining large values of the gate voltage window. If the thickness of the cap layer is very small, remote scattering of the channel holes by the Si-SiO2 interface becomes significant and adversely affects the performance of the device. It is therefore desirable to avoid very thin cap layers and to use a large value of Ge concentration to obtain a good value of the gate voltage window.
3. Both threshold voltages increase with gate oxide thickness and substrate doping concentrations. The relative increase of the two voltages is such that the gate voltage window increases with gate oxide thickness but decreases slowly with substrate doping concentration. 4. The threshold voltages are not very sensitive to the thicknesses of the SiGe strained layer and Si spacer layer below the strained layer. .
The effect of grading Ge (maximum concentration being close to the Si cap) was also studied. It was found that the threshold voltage depended mainly on the value of Ge concentration at the maximum; the grading has only a second-order effect. The large concentration of Ge is therefore needed only close to the Si cap; Ge concentration in the rest of the strained layer can be reduced, making the critical thickness of the layer larger and increasing the stability of the device. In the SiGe MOSFET fabricated by Verdonckt-Vandebroek et al. [404], the authors used graded Ge concentration in the strained layer and obtained good results.
As a result of these calculations, Iniewski et al. [393] concluded that the approximate values of the design parameters for optimum performance of a SiGe MOSFET are: n type doping in the substrate in the range 10 lr cm -3, undoped Si spacer and linearly graded (40-0% Ge) SiGe layers, each of 10 nm thickness, undoped 5 nm thick Si cap layer and 5 to 10 nm thick gate oxide. Subbanna et al. [397] used UHV/CVD to grow SiGe strained layers. No spacer layer was used below the SiGe layer. Gate oxides (7 nm and 10 nm thick) were grown either thermally or deposited using Plasma-Enhanced Chemical Vapour Deposition (PECVD). MOSFETs with two Ge concentrations, x = 0.1 and 0.2 were fabricated. No channel doping was used to adjust the threshold voltage. Nominal channel lengths were between 0.8 and 10 #m. The devices showed a sharp turn-off. The subthreshold slope was insensitive to the drain voltage; it varied from 175 to 200 mV/decade for values of drain voltage VDS from --0.3 to --3.3 V. Values of transconductance gm and threshold voltage VT for 10 nm thermal oxide and for x - 0.2 are shown in Table 7.1 along with the performance characteristics of SiGe MOSFETs fabricated by other groups. The results for devices with PECVD oxide were similar. The devices with smaller oxide thickness showed smaller (numerical) values of threshold voltage and larger values of transconductance. Values of mobilities were not extracted in these experiments. Similar devices were fabricated by Garone et al. [398]. SiGe
CHAPTER 7. FETS AND OTHER DEVICES
200
Table 7.1: Observed characteristics of SiGe strained layer p- and n-channel M O S F E T s . Mobility p is given in cm2/Vs and saturated transconductance gin, in m S / m m . The symbol VT is the threshold voltage (in V) and T is temperature (in K) at which mobility # and transconductance are measured. The symbols dl to d4 (in nm) indicate the thicknesses of the Si spacer layer, SiGe strained layer, Si cap layer and oxide layer. Any value of a parameter or characteristic not quoted in the original paper is indicated by a dash. Ge concentration x -- 0 indicates Si control MOSFET. p- Channel M O S F E T s
dl
d2
d3
d4
x
VT
#/T
gm/T
-
7
10 10
0.2 0
-2.0
-
3s/300 22/300
10.5
12.5 12.5
0.3 0
-1.1 -2.0
780/90 290/90
[398] [398]
7 -
5 5
0.2 0
-
155/300 122/300
[399] [399]
7 -
10 10
0.5 0
-1.3 -1.8
1500/77 560/77
[4001 [400]
12-30
7 7
~7 ~ 7
0.2 0
-0.22 -0.22
150/300 90/300
20
5
7
**
**
980/82
-
-
10
15
-
-
100
7
-
-
5
167/300 139/300
Ref. [3971 [397]
[405]* [4051" [404]
n-Channel M O S F E T s
vertical transistor
0.16 0
0.57 0.70
** **
-
0.15
-
***
45/300
-
**** **** *****
70/77 800/77 700/300 300/300
[403] [403] [65]
MODFETs p n n p
MODFETs MODFETs MODFETs MODFETs
strained SiGeC strained Si strained Si -
[161] [71] [35] [71]
*Values of VT and gm are for short channel (0.25#m) M O S F E T s (see text).
**See [1]. ***Mobility increased due to Ge and strain ****See Fig. 5 on p a2a of Ref. [71] *****See Fig. 10 on p 326 of Ref. [71]
7.2.
STRAINED
LAYER P-CHANNEL
MOSFETS
201
layers were grown by Rapid Thermal Chemical Vapour Deposition (RTCVD). Samples were grown with three Ge concentrations, x - 0.2, 0.3 and 0.4. The SiGe layer was doped n type to 1 x 1016 cm -3. Gate oxide was 12.5 nm and was grown by PECVD. Nayak et al. [399] fabricated strained layer MOSFETs using MBE. The highest Ge concentration (x - 0.5) has been used by Goto et al. [400]. The design parameters of selected MOSFETs and their characteristics observed by different authors are compared in Table 7.1. Submicron channel length SiGe MOSFETs have been fabricated by Kesan et al. [405] using a Si CMOS compatible process. Si epilayers, SiGe epilayers and oxide layers were grown by the same methods as those used by Subbanna et al. [397]. The values of Ge concentration were in the range 0 to 0.25 and the strained layers were 12.5 to 30 nm thick. The thickness of gate oxide was 7 nm. Characteristics were measured at 82 K and 300 K. The current drive capability of the SiGe devices was significantly better than that of the Si control devices. At 82 K, the subthreshold slope of the SiGe device (x = 0.2) is 40 mV/decade compared to 30 mV/decade for the Si control device. Transconductance values for a Sil_~Ge~ device with x - 0.2 and for a Si control device are shown in Table 7.1. Compared to the 300 K values given in the table, at 82 K the transconductance values (also for the short-channel devices, effective gate length = 0.25 #m) were 201 mS/mm and 160 mS/mm. For long-channel devices (channel length 1.85 #m) the threshold voltage at 300 K was -0.35 V for the SiGe device and -0.45 V for the Si control device. These are considerably larger than those given in the table for the short-channel devices. The decrease of the threshold voltage on decreasing the channel length is due to the short-channel effects and is expected theoretically [393]. The 82 K mobility for long-channel devices is 400 cm2/Vs for the SiGe device and 250 cm2/Vs for the Si control device. Mobility values at 300 K are shown in Table 7.1. Verdonckt-Vandebroek et al. [404] used a graded SiGe layer; x varied from 0.25 at the upper end near the Si cap to 0.15 at the lower end near the spacer. The channel layer was 20 nm thick and doped n type (3 x 1017 cm-3). The thickness of the spacer and the cap were 5 nm each. A heavily boron doped 5 nm Si layer was used below the spacer to adjust the threshold voltage and prevent carrier freeze-out at low temperatures. The values of room temperature threshold voltage varied between -0.1 to -1.1 V, corresponding to the integrated boron dose of 2.3 x 1012 cm -2 to 1.4 x 1012 cm -2. The subthreshold slope was 87 mV/decade at 300 K and decreased to 31 mV/decade at 82 K. The 82 K mobility was 980 cm2/Vs (see Table 7.1). Although a Si control MOSFET was not fabricated for comparison, this value of mobility is considerably higher than the value reported in the literature for Si MOSFETs [404]. It is seen from Table 7.1 that in all cases the threshold voltages are lower and mobilities and transconductance values are higher in the SiGe device than those obtained with Si control devices. Among the results cited in Table 7.1, highest mobilities were obtained by Goto et al. [400] who used the largest Ge concentration. Nayak et al. [401] studied SiGe MOSFETs fabricated on a SIMOX substrate. In these SOI devices, vertical field and band bending are smaller; hence parasitic
202
C H A P T E R 7. F E T S A N D O T H E R D E V I C E S
conduction in the Si channel occurs at higher gate voltages. The SiGe SOI devices showed 90% improvement in the mobility over the Si control devices. They also showed considerable improvement in the transconductance and saturation current drive. More recently Nayak et al. [402] have fabricated a MOSFET in an active Si layer channel (as distinguished from SiGe layer channel) under tensile strain. A thick relaxed Si0.75Ge0.25 was first grown on a Si substrate. The active Si layer was grown on this buffer. In-plane hole mobility in the Si layer is expected to increase due to tensile strain. At higher magnitude of gate bias, the channel mobility of the strained Si p-MOSFET was 50% higher than that of a control Si p-MOSFET processed under identical conditions. Most work has been done on the p-channel heterostructure MOSFETs because the speed of the present CMOS circuits is limited by the poor performance of the p channel Si MOSFETs. Recently Yeo et al. [91] have fabricated short channel p- and n-MOSFETs with 24% Ge in the channel. The drive current increased by up to 25% down to channel length to 9.1 #m. The drive current of n-channel MOSFETs also increased up to channel length of 0.4 #m.
7.3 7.3.1
Strained layer n-channel MOSFETs Si quantum well channel with tensile strain
SiGe n-channel MOSFETs have been fabricated by Selvakumar et al. [403]. In these MOSFETs, the SiGe channel region was created by implanting a dose of 6 • 1016 cm -2 of Ge + at an energy of 80 keV. This resulted in the formation of a channel with a Ge concentration x = 0.16. A control Si MOSFET was fabricated using identical processing steps. The nominal channel length of both devices was 7 #m. The measured threshold voltage VT for the SiGe devices was 0.57 V, as compared to the value 0.70 V for the control device (see Table 7.1). At any given effective gate voltage V c - VT, the drain current for the SiGe device was considerably larger. Electron mobility #~ in the channel could not be extracted from these measurements because exact values of oxide capacitance Co~ and effective channel length L were not determined. The value of Co~#~W(Vc VT) VD/L determined from the slopes of drain current versus drain voltage plots was higher for the SiGe devices. If it is assumed that the effective channel length is the same in the two cases, electron mobility comes out to be larger in the SiGe devices. From theoretical considerations, the in-plane mobility of electrons in the strained SiGe layers should be smaller. Recently Yeo et al. [91] have fabricated both n- and p MOSFETs with a 10 nm Si0.~6Ge0.24 channel grown on Si substrate. The measured mobilities of holes and electrons in the two devices are shown in Fig. 7.4. As expected the electron mobility in the compressed SiGe channel is smaller, a result different from that obtained in Ref. [403]. However the drive current in both the p- and n-MOSFETs was higher in the strained layer devices. To take advantage of the increase in electron mobility by tensile strain,
203
7.3. S T R A I N E D L A Y E R N - C H A N N E L M O S F E T S 200
"'1
180
....
i ....
i ....
t'"
200 . . . . I . . . . 180
o SiGe channel 9 Si channel
160
~"
160
140_
~E
140
"~
120 -
=
100
Universal mobility model
~t ~ 120 =
100 E o
80
o
60
_
1
-
~
40 _
=E
80
E
60
~
20 _
o~ 0.0
~r 0.5
~ _
1.0
1.5
2.0
I .... I .... I'" o SiGe channel 9 Si channel
Universal mobility model
40
20
-=
2.5
o
0.0
0.5
1.0
1.5
2.0
2.5
Effective E-field Eelf (MV/cm)
Effective E-field Eeff (MV/cm)
(a)
(b)
3.0 ~ r
Q
Figure 7.4: (a) Hole mobility and (b) electron mobility from long channel devices. The universal mobility curves [191,238] are also shown (Yeo 2000 [91]).
Welser et al. [239] designed and fabricated n-MOSFETs in tensile strained Si layers grown on relaxed graded SiGe buffer layers. Ge concentration in the top layer was 30%. Two designs, one with surface channel and the other with a buried channel were implemented. The buried channel device had a SiGe layer on top of the strained Si layer. A sacrificial Si layer was grown to form the gate oxide. The surface channel device showed 80% enhancement of electron mobility over that of the control Si device. Similar enhancement was found in transconductance. We have already discussed the work of Hbck et al. [101] on both n and pMOSFETs in chapter 5. The mobility in the strained p-channel increased and became comparable to the electron mobility in conventional Si n-channels. 7.3.2
Vertical
SiGe
n-MOS
transistors
In a vertical transistor the carriers move in the out-of-plane direction and mobilities of both electrons and holes are increased in the compressive strain. It is not necessary to fabricate tensile strained Si channel on relaxed SiGe layers to obtain the enhancement in electron mobility due to strain. Very short channel transistors can be obtained without the need of advanced lithographic technology. In view of these advantages both p-type [166] and n-type [65] vertical MOS transistors have been demonstrated. The structure of the n-type transistor is shown in Fig. 7.5. The SiGe channel is formed by Ge implantation after the oxide growth so that no Si cap is required during oxidation. The Ge concentration in the channel is graded, the maximum being x - 0.15, 1500/k
204
CHAPTER 7. FETS AND OTHER DEVICES
Figure 7.5: Cross section of the graded SiGe vertical n-channel MOSFET [65].
below the surface. Boron was implanted to a concentration of 8 • 101~ cm -3 in the channel. Identical control Si devices were also fabricated. The subthreshold characteristics of the SiGe and control Si devices are shown in Fig. 7.6(a). The output characteristics of the devices are shown in Fig. 7.6(b). The on-off characteristics of both devices are quite good. SiGe devices have a higher drive current. SiGe devices also have a higher off-state current. Due to the smaller bandgap of the channel, the effect of drain induced barrier lowering (DIBL) is larger in the SiGe devices. The threshold voltage VT and subthreshold swing (S) was large for both devices. This is due to the thick gate oxide used in the structure and unoptimized channel doping. For drain-source voltage VDS equal to V a - VT the drive current for the SiGe device is 50% higher than that of the Si control device due to enhancement of the electron mobility in the out of plane direction. However the enhancement is not as large as for the holes [65]. The actual electron mobility could not be extracted because a channel of only one single length was used and because source and drain resistances were not known accurately. Values of transconductance for both devices were determined from linear and saturation regimes. The linear peak transconductance of the SiGe device was 0.45 mS/mm and that of the Si device it was 0.3 mS/mm. As the Ge content and the strain increase, the separation between the two sets of valleys increases. Population of electrons in the lower valleys increases and intervalley scattering is reduced. Therefore in the out of plane direction the mobility of both electrons and holes increases as the Ge content in the alloy increases. However alloy scattering also increases with increase of Ge concentration. Therefore there should be an optimum Ge content for which the
205
7.3. S T R A I N E D L A Y E R N - C H A N N E L M O S F E T S 10"z 10" ~" I0 "6 ~
~_1 W~-:ZOOp~O.2lua
-
10-so
10"u 0.0
2.0
4.0
6.0
8.0
V c (V) (a) 3.5 ....... 3
, ....
~ ....
, ....
Vo-VT=O,O.5,1,1.5,2
zs
, ....
V
t
......
.--
"]
.-"'" ...............
~ s l
,.pl.S 1 0.5 0
0.0
'
'
'
0.5
'
'
*
.
1.0
.
.
.
.
1.S
.
.
.
'
2.0
'
2.5
voo0 (b)
Figure 7.6: (a) Subthreshold characteristics and (b) output characteristics of the graded SiGe and Si channel vertical transistors (Chen 2001 [65]). Values of Ira - VT (Ira is the gate voltage) are shown in the figure. lxl011
. , ]
i
,
o
_ lx106 - 5xlO 5
lxlO10
1•176 5• 4
lx109 ..~ lxlO 8
]
lxlO 7 @
lx104
c:
5xiO3
lxlo6 lxlO 5 ~ 0
lx103 0.5
1.0
1.5
2.0
Thickness of ramp to 30% Ge (ram)
Figure 7.7: Effect of grading rate of Ge-content in the buffer on the density of threading dislocations and on the electron mobility measured at 0.4 K. The dotted line is an extrapolation showing that mobility is not limited by the threading dislocations once their density is below 1 x l0 s c m - 2 [215].
206
C H A P T E R 7. F E T S A N D O T H E R D E V I C E S
mobility is maximum [65].
7.4 7.4.1
M o d u l a t i o n doped Field Effect Transistors Enhanced
mobility
in 2D gas
The 2-Dimensional (2D) character of electron or hole gas was predicted more than 40 years ago and observed experimentally about 25 years ago at the interface in Si MOSFETs [1, and references given therein]. Since the valence band-edge in Sil_~Gex strained layers is always higher than in Si (lower in energy for holes), a thin layer of strained SiGe sandwiched between two Si layers offers the possibility of confining the holes and forming a 2D hole gas. Similarly, if the strain is tensile, a lower energy well for electrons is obtained in a Si quantum well in which a 2D electron gas can be formed. The carriers are supplied by neighbouring layers which are doped p or n type and the structures are known as modulation doped structures. The devices fabricated using modulation doped strained layers are known as Modulation doped Field Effect Transistors (MODFETs). In MODFETs the dopants are not present in the active layer and the impurity scattering is absent which results in additional enhancement of the mobilities. Miyao et al. [244] have obtained very high mobilities in the 2D hole gas. The structures were fabricated on Ge(100) substrate followed by a Sil_~Ge~ buffer layer. This was followed by a 20 nm Ge channel layer and a 30 nm Si0.sGe0.5 layer. A Ga doping spike was introduced in the middle of the top Si0.5Ge0.5 layer. The gate oxide was made of CVD SiO2 (50 nm at 400~ Hole mobilities of 9000 cm2V-ls-1 [243] and 10,000 cm2V-ls -1 [244] were reported in t h e e structures. Recently K~inel et al. [7] have measured mobilities in modulation doped strained Ge channels grown on a Si0.4Ge0.6 layer. The Si0.4Ge0.3 layer was grown on a Si0.3Ge0.~ buffer layer and the whole structure was grown on a Si substrate. Hole mobilities up to 3000 cm2/Vs at room temperature and 87000 cm2/Vs liquid He temperature were observed. Extensive work has been done on the growth of buffers with a reduced concentration of threading dislocations. A detailed description of the methods of growing graded layers is given in chapter 2. The concentration of threading dislocations depends, among other factors, on the grading rate of Ge concentration. The results of an experiment on the effect of the grading rate of Ge are shown in Fig. 7.7. This figure shows that the concentration of dislocations can be reduced from 1 x 1011 cm -2 down to 1 x 105 cm -2 by changing the grading rate of Ge. The mobility of electrons in the Si epilayers grown on the buffer is also shown. Electron mobility exceeding 3 x 105 cm2/Vs were obtained in the Si layers under tension. The dotted line shows that if the density of threading dislocations is less than 1 x l0 s cm -2, other factors (e.g. phonons and other defects) start limiting the mobility. Selected mobilities reported in the 2D carrier gas are summarized in Table 7.2. The large values of the mobilities shown in Table 7.2 make it possible for the SiGe based transistors to work at high frequencies.
7.4. M O D U L A T I O N D O P E D FIELD E F F E C T T R A N S I S T O R S
207
Table 7.2: Best mobilities of 2D electron and hole gases in strained Si or SiGe quantum wells. Type Electron Electron Electron Electron Hole gas Hole gas Hole gas
/ Ti/Pt/Au contact Source I
T-gate Pt/Au
gas gas gas gas
Temp. (K) 300 77 < 2 0.4 300 77 < 10 K
cmU/Vs 2830 18000 180000 300000 1050 3500 18000
Ti/Au T-gate
/
/ I
5 nm Si-cap 10 nm Sio.6Geo.4
, , Drain' j
9 nm Si-channel I 3nm Si0 6Ge04spacer nm Sio6Geo4Sb, 8*101Scm3~'graded buffer SiGen---.n 4
/
Pt-contact
4 nm Si cap 7 nm Si0 4Geo.6
f 3rim si0C;eo.,.sb -Sio,6Geo.4spacer iI
(a)
Reference [241] [241] [98] [215] [242] [242] [98]
(b)
9 nm Ge channel
graded buffer SiGeo . o 6
Figure 7.8: Typical layer structure of (a) n-MODFET [392] and (b) p-MODFET [131] (quoted by g5nig 2000 [71]).
7.4.2
Design of MODFETs
Early n-MODFETs were fabricated by Ds et al. [392] by MBE. The substrate was p - with a resistivity p = 1000 flcm. The layer structure is shown in Fig. 7.8(a). The buffer layer is graded from 0% to 40% Ge. A tensile strained 9 nm Si channel is embedded in undoped Si0.6Ge0.4 layers which separate the channel from the doped carrier supply layers. Due to large tensile strain a high conduction band offset is achieved. Carrier densities up to n8 = 7 • 1012 cm -2 and mobilities up to 28000 cm2/Vs were obtained. The structure of a compressively strained Ge channel p-MODFET is shown in Fig. 7.8(b) [131]. The layer thicknesses, doping concentrations and Ge contents are clearly shown. To summarize a n-type MODFET consists of tensile strained Si layer with n-doping in the neighbouring layers. A p-type MODFET consists of compressively strained SiGe layer with p-doping in the neighbouring layers. The technology of fabri-
CHAPTER 7. FETS AND OTHER DEVICES
208 n-MODFETs or HFET
p-MODFETs or HFET
1000
=
n, p-SiGe
HFET Si_:__l.mu O---~ .~-,~,-~ I T! DIBM n-rirt~i 9 IBM
N
n_S~im ' ~
~oo
.
fmax "DC
1000 : n,
9
p-~T
~,
~
100 .:"
10
HFET Simul.----
p-SiGe
IBM
fT
fmax 9
0
(b)
"~o l O t
0 ~
-"n-Si--- Toshiba, IBM, et al. 1
,
0.05
llll
0.1
, , , , , ,,,I 0.2 0.5 1.0 Gate Length LG (gm)
p-Si--- IBM, et al. 1
i
0.05
II
'I
0.1
0'2. ' , , , , , , I 0.5 1.0 Gate Length LG (~m)
Figure 7.9: Review of frequency data reported (a) for n-MODFETs (also known as HFETs) and (b) for p-MODFETs (S6nig 2000 [71]). Frequencies in p-Si MOSFETs are considerable lower than in n-MOSFETs. Simulated frequencies in both types of MODFETs are similar. Experimental data also show much larger improvement in the p-devices. cating the MODFETs has been reviewed by KSnig [71]. 7.4.3
AC and DC performance
of MODFETs
The very high mobility of electrons in n-MODFETs makes it possible to operate the transistor at low power and at supply voltages of about 1 V and zero gate bias. By using recessed gates, the gate to channel distance can be varied and the operation mode can be adjusted. The threshold voltages can be varied between -1.6 V and +0.2 V. The fT and fmax data obtained with n-MODFETs are plotted in Fig. 7.9(a) and for p-MOSFETs in Fig. 7.9(b). The frequencies depend on gate length. Data for optimized devices, particularly for LG < 0.25 #m are not yet available. The devices are also not optimized for low parasitics and optimum dopings. The data points lie above those for Si MOSFETs even in the unoptimized devices. Experimental values of transconductance of both n- and p-MODFETs are shown in Fig. 7.10. All devices were fabricated with Schottky gates. The drain current can be made zero by applying negative bias to the n-type devices (at zero bias the channel is not depleted). The operation mode of the devices can be changed by using recessed gates with different depths. Therefore both types of devices can be made on the same chip. MODFETs show good transconductance over a wide range of gate-bias values. The saturation current values obtained for n-devices are 230-320 mA/mm for depletion mode and about 200 mA/mm for enhancement mode n-MODFETs. The results for p-MODFETs shown in Fig. 7.10(b) are also very promising. Transconductance of 314 mS/ram at LG =
7.4. MODULATION DOPED FIELD EFFECT TRANSISTORS n-MODFETs at 77 K and at 300 K 800 . . . . . . . . . . ~ 7 0 0 t 1 - ..... 300K77K] (a) ' ::": ~= 600'... ........ :9 3500r "" il
........
;
/ t--,
/ """
p-MODFETs at 300 K 1000
_ -.
. -
o Sio.aGeo.r pMOS (IX;) 9 Sio.aGeo.r pMOD (IBM) O Ge pMOD (DC), do<:: = 19 nm r Ge, MOD (IBM). doc 10 nm
Co)
i~ g '";
i
o
,00
,.'* - .0
/DC 9
209
-0.5 Gate
. . : Lc,-~.4, 0.15 ~tm 0.0 0.5 1.( Bias VG (V)
Jl 0,1
1
1
.~
i
i
J i J]
L 1
Gate Length LG [pm]
Figure 7.10: DC transfer characteristics of depletion and enhancement mode (a) n-SiGe MODFETs and (b) p-MODFETs (compiled by KSnig 2000 [71]). Note that the transconductance is plotted as a function of gate-bias for n-type devices but as a function of gate-length for the p-type devices. For n-MODFETs data at 77 K are also shown. The abbreviation DC is used for the company name Daimler-Chrysler. 0.1 tzm and 212 m S / m m at Lc = 0.8 #m is obtained. The performance characteristics of MODFETs are compared with those of HBTs in Table 7.3 and Fig. 7.11. Table 7.3 shows that the values of transconductance, fT and fm~x have shown large improvements over the 1994 values quoted in Ref. [1].
7.4.4
N o i s e in n - M O D F E T s
Several groups have investigated noise characteristics of SiGe MODFETs [35, 94, 226, 164]. Simulations show that the noise characteristics are better than those of the devices based on III-V semiconductors. Recently Enciso et al. [35] have measured the noise performance of strained Si/Si0.58Ge0.42 n-MODFETs. The structure was grown by MBE on a 1000 f~cm p-type Si substrate. There was a relaxed SiGe buffer with graded Ge concentration from 0 to 43%. The active layer was a 9 nm strained Si layer sandwiched between two 3 nm Si0.58Ge0.42 layers and two Sb-doped SiGe carrier supply layers. The noise parameters were determined by measuring noise figure variation with frequency. The measurements were made for two impedance sources, a 50 f~ and an offset short line presented at the input transistor. The maximum gm of the devices was 700 m S / m m which is a record value for SiGe based FETs. The frequency behaviour was also good, maximum values of fT and fmax were 49 GHz and 70 GHz respectively. The values of gate source and drain resistances were extracted: RG -- 65~2mm, Rs - 1.8f~mm, and RD = 1.85f~mm. The measured noise characteristics of the 0.13 #m gate MODFETs are shown in Fig. 7.12. Figs. 7.12(a), and (b) show NFmin, Ga~8, and Rn as a function of I n s at 2.5 GHz. In the initial stages NFmin decreases as I n s increases because
210
CHAPTER 7. FETS AND OTHER DEVICES
Table 7.3: Performance of transistors fabricated using SiGe strained layers (see Figs. 7.9(a)and 7.9(5)).
Device HBT n-MODFETs p-MODFETs
*LG ---- 0 . 8
State of the /3(77K) fT GHz 13000 210 100 80
/3(RT) 5000
art
fmax
Fb
gm(RW) gm(77K)
GHz 180 120 100
dB 0.2 -
mS/mm
mS/mm
388 212"
670 300
#m
Potential Device
ft, fmax
HBT n-MODFETs p-MODFETs
GHz 225 ~ 300 > 200
T Delay ps <4 3-6 5-10
gm (RT) mS/mm
m
> 800 > 600
300 measured ----
N
HFET t s
extrapolated
200,
Si MOS r
100 ~m
1985
1990
1995 Years
m
m
Si BJT mmm
mm
m
m
2000
Figure 7.11: Progress in frequency of SiGe HBTs, standard Si BJTs, MOSFETs and SiGe HFETs (MODFETs) is shown. Data up to 1995 was compiled in Ref. [216]. We have updated the data for HBTs and HFETs up to 2002. Expected increase in the frequency beyond 2002 is also shown.
7.4. MODULATION DOPED FIELD EFFECT TRANSISTORS ~ 6O
-
(a)
'
'
'
(c)
211
'
5o ~
I 2:
~z
40
O
1: J.
Z
!
z 30 ~- 5
,,,!
I I
4
- 1 5 ~*>
( d ) ~
u~ 3 O
=
-10~ 2
-5 0
!
t
J
50 100 150 200 Drain current (mA/mm)
0
i
5 10 15 Frequency (GHz)
~
0
20
Figure 7.12: (a) Equivalent noise resistance R~, minimum noise figure NFmin, and available gain Ga~ plotted against drain current n s for 0.13 #m n-Si/SiGe MODFET at VDS = 1.25 V and 2.5 GHz. (c) and (d) same as (a) and (b) plotted against frequency at the same VDS and at I n s -- 70.7 mA/mm (Enciso 2001 [35]).
gm increases faster than the channel noise current. Also id is screened more efficiently by the associated power gain. At large I n s gm saturates and becomes nearly constant. After obtaining a shallow minimum, NFmin starts increasing in this region. Now the associated gain Ga** decreases and noise resistance increases. Figs. 7.12(c), and (d) show NFmin, Ga,~, and Rn as a function of frequency. At 2.5 GHz the characteristics are: NFmi~ = 0.3 dB, G~,~ = 19 dB and Rn - 41 f~. These results are comparable to the noise characteristics of SiGe HBTs [35]. The good noise performance is due to collision free transport of electrons in tile strained Si channel. The results of Enciso et al. [35] show that the n-Si MODFETs have a good potential for application in mobile microwave communications.
7.4.5
Circuit performance of M O D F E T s
Due to their low power consumption, CMOS transistors are important building blocks in digital integrated circuits [214]. CMOS technology is very mature. Recently it has been shown that speed-power performance of CMOS can be significantly improved by using the MOS transistors fabricated using SiGe strained layers [214]. The design suggested in [214] is planar and avoids inversion of the Si layer at the oxide interface. The CMOS is fabricated on a relaxed Si0.rGe0.a buffer. It consists of a Si quantum well under tensile strain for the electron
C H A P T E R 7. F E T S AND OTHER DEVICES
212
100
vm.e.s v
1_=._-=--=0.2 um k)aded)
oaOed) =10 fF)
o
=1o fF)
2 lO 0=
1
~L
015 V l
I
l
I
I
t
l
l I
.
.
l
100 stage delay (ps)
.
.
I
!
I
I
I
I
I
1000
Figure 7.13: Power delay product versus delay for Si/SiGe HCMOS and Si bulk CMOS. The corresponding drain bias values are indicated on the curves (Sadek 1996 [214]). 9 1996 IEEE
channel and a Sil_xGex compressed quantum well for the hole channel. Simulated performance of an 11-stage CMOS inverter ring oscillator is shown in Fig. 7.13. This figure shows the power-delay product as a function of average stage delay for the unloaded and loaded cases. The energy per switch decreases as V2D (VDDis the drain bias) but at the same time stage delay increases making the performance of the circuit poorer. Assuming that all the design parameters (device length, width, oxide thickness, series resistance, threshold voltage and interconnect and junction capacitances) have been optimized, the performance at a given voltage can be improved only by increasing the mobility of the carriers. It is here that SiGe quantum wells play a crucial role. It can be seen from Fig. 7.13 that the performance of the SiGe CMOS is superior in both the loaded and the unloaded cases. In the loaded cases the SiGe circuit can operate at 1.2 V retaining the same stage delay as the pure Si circuit operating at, 2.5 V. For a stage delay of 55 ps, the power-delay product in the SiGe CMOS improves by a factor of 4.6.
7.5
Strained-layer M O S F E T s on insulator
Gs et al. [12]have again emphasized the importance of tensile strain in Si channel for increasing the electron mobility. We have already discussed that tensile strain splits the 6-fold degenerate conduction band valley, a 2-fold degenerate state moves down and a 4-fold state moves up. The inplane effective mass of the electron decreases and intervalley scattering is suppressed resulting in considerable enhancement of the electron mobility. A spectacular velocity
7.5. S T R A I N E D - L A Y E R
MOSFETS ON INSULATOR
213
overshoot [12] is also predicted. In spite of these advantages bulk Si/SiGe subb100 nm CMOS technology still suffers some inherent limitations. Some of the inherent limitations can be overcome by using SOI technology. SOI devices are more radiation tolerant, short-channel effects van be more effectively controlled and have lower parasitic capacitance. By combining the strained channel with SOI technology (i.e. strained SOI technology) one can use advantages of both and remove some of the deficiencies they present when used separately. The mobility in strained SOI or strained SGOI are significantly larger than in SOI. Gs et al. [12]have investigated the electron transport in strained Si inversion layers by solving the Boltzmann transport equation and confirmed the above advantages. They have also found that enhancement of mobility depends on the thickness of both the SiGe layer on which strained Si layer is grown and on the thickness of the Si layer.
7.5.1
Strained-layer p-MOSFETs on insulator (strained SO1)
Silicon on insulator (SOI) MOSFETs are useful for sub-100 nm CMOS circuits. They have reduced parasitic source drain capacitance. The short channel effects can be suppressed and mobility can be increased by thinning the active semiconductor layer without increasing the dopant concentration [42]. The fluctuations in the threshold voltage are also reduced. Simple mesa isolation technology can be easily used in this technology. However hole mobility being small, the switching speed of the sub-100 nm devices is limited. The mobility can be increased and the advantages of SOI can be realized if strain is combined with SOI technology. Mizuno et al. [42, 21] have constructed SOI p-MOSFETs with a strained Si channel. The process flow and schematic structure of the MOSFET are shown in Fig. 7.14. Important steps in the process are the fabrication of relaxed SiGe layer on the buried-oxide layer produced by SIMOX (separation by implanted ox_Kygen) technology and growth of high quality strained Si layer on the relaxed SiGe film. A 5 nm gate-oxide was formed by thermal oxidation at 800~ [42, 21]. Source and drain regions were formed by implantation of 35 keV BF2 and annealing at 900~ for 30 min. TEM pictures showed that interfaces of the buried oxide were flat and there were no misfit dislocations in the strained Si layer. AFM pictures showed good surface morphology after SIMOX and also after growth of the strained Si layer. The Raman shift from the SiGe layer and from the strained Si layer showed that Ge content was 10%. The Ge content of the GeSi layer remained constant even after the high temperature SIMOX annealing because the buried oxide prevented diffusion of Ge towards the substrate. The MOSFET showed good FET characteristics. Hole mobility was higher than the universal mobility [191,238] of conventional MOSFETs. Transconductance of the strained layer MOSFETs was also higher than that of the control Si MOSFETs. However some amount of drain leakage was observed in the subthreshold region. Recently it has been demonstrated that relaxed SiGe layers can be grown on a insulator layer resulting in the SGOI (SiGe on insulator) technology. Mizuno
214
CHAPTER
7. F E T S A N D O T H E R D E V I C E S
Figure 7.14: (a) Process steps for fabrication of strained-SOI substrate (I, II, and III) and MOSFET (IV and V). (b) Schematic cross section of strained SOI MOSFET (Mizuno 2001 [42]). 9 2001 IEEE
et al. [4] deposited a 300 nm strained Si0.9Ge0.1 layer directly on a Si substrate. The SIMOX process was then used to create an oxide layer between the Si substrate and strained SiGe layer. During this process the strained SiGe layer relaxes by a slip between the layer and the buried oxide. Since the Ge content is low and the thickness of the layer is small, annealing could be carried out at 1300~ to form the continuous buried layer. Finally the SiGe layer was thinned and its Ge content increased by low temperature annealing in Ar-O2 mixture. The relaxation now was 93%. Tezuka et al. [3] have used a somewhat different technology to produce small mesas of relaxed SiGe (without any dislocations) on silicon oxide. First thin pseudomorphic SiGe layers were deposited on SOI substrates. Circular mesas of SiGe and Si were produced by chemical dry etching. These mesas were oxidized in pure oxygen ambient at 1200~ and 1050~ Ge content in the SGOI layer and in Si layer increased as the Ge atoms were rejected from the growing Silicon oxide. The oxide layer blocked the diffusion of Ge out of the SiGe layer. The radius of the mesas varied between 0.2 and 5 #m. The mesas were relaxed and completely free from dislocations. This provides a good substrate for growing strained Si layers for n-MOSFETs. In their most recent paper [21] Mizuno et al. improved further the design of the MOSFET. They fabricated a double SiGe (second-Si0.s2Ge0.1s/firstSi0.93Ge0.07) relaxed structure which made it possible to introduce higher strain in the Si channel. The hole mobility increased by 30% over the universal mobility and 45% over the control Si MOSFET mobility. In SIMOX technology the structure is subjected to temperatures > 1300~ During this step misfit defects can be created in the SiGe or Si strained layers. Huang et al. [50] have used the wafer bonding and hydrogen induced transfer
7. 6. H I G H - K G A T E - I N S U L A T O R S
215
technique to prepare SiGe layers on insulator substrates. Strain relaxed SiGe layers (15% to 30% Ge) were grown by UHVCVD at 500-550~ The thickness of the SiGe layers was 1-2 #m. The degree of strain relaxation was > 90%. H + with a dose of 2.5-5 • 1016/cm 2 was implanted with an energy such that its peak was near the top portion (away from the network of misfit dislocations) of the SiGe layer. After the chemical mechanical polishing and cleaning process the substrate (known as a donor wafer [39]) was bonded to a Si wafer (known as a handle wafer) with 300 nm of thermally grown oxide. The structure was thermally treated in the temperature range 300-500~ to separate the two parts at the peak of the hydrogen concentration (this technique is known as the "smart cut" technique). A high quality strained Si layer was grown on the relaxed SiGe layer. Modulation doping was used to produce carriers in the strained channel. Electron mobility of more than 35000 cm2/Vs were obtained at low temperatures. Cheng et al. [39] have also used the wafer bonding technique to fabricate strained Si layer on insulator. They removed the donor wafer by the etch-back technique. Electron mobility larger than the universal mobility was observed.
7.5.2
Thin-body MOSFETs
A thin-body (i.e. with small thickness of the channel) MOSFET is essentially an extension of the fully depleted SOI MOSFET discussed in the previous subsection. Yeo et al. [18] have demonstrated a 50 nm thin-body SiGe strained layer MOSFET on insulator. 50 nm channel is the shortest channel length of any MOSFET reported so far. A schematic cross section of the thin-body MOSFET was shown in Fig. 7.1(b) and the band-structure was shown in Fig. 7.1(c). The carrier profile is also shown schematically in Fig. 7.1(c). In the thin channel the source to drain current is restricted to a path close to the gate and therefore control of the gate is better. Subsurface leakage currents are suppressed. Short channel effects are suppressed without the need to dope the channel heavily. Dopant impurities in the channel cause degradation of mobility and fluctuation of threshold voltage [18]. The thin-body MOSFET has a buried oxide wall below the channel. The Ge concentration in the channel was graded from 0 to 30% (bottom to top). A 4 nm Si cap provides a good interface. The simulated charge density in the SiGe channel and in the parasitic Si channel is shown in Fig. 7.2. Process steps have been described in detail by Yeo et al. [18] (see Fig. 8 of their paper). The output characteristics of the MOSFET are compared with that of the control Si channel MOSFET in Fig. Fig. 7.15. There is significant (up to 70%) improvement in the drive current due to both the strain in the channel and to the thin-body structure.
7.6
High-k gate-insulators
The SiO2 gate dielectric has to be made ultra-thin for sub-100 nm MOSFET devices. As the thickness is scaled to a few nano-meters, gate leakage current due
216
CHAPTER
7. F E T S A N D O T H E R D E V I C E S
0.5 W/L = 5 . 0 ~ / 0 . 0 5 ~ n
- - - a - - SiGe-channel
~,, 0.4
--o--
Si-channel
_~
"-,~0.3
0.2
0.1
0.~
09
-0.5 -1.0 Drain Voltage gns (V)
-1.5
Figure 7.15: Output characteristics of the SiGe channel and Si channel thinbody p-MOSFETs. The higher hole mobility in the SiGe channel results in a 70% improvement in the drain current at VDS = --1.5 V and V c s - V T H - - -1.2 V (Yeo 2002 [18]). 9 2002 IEEE
to direct tunnelling becomes a problem. This has provided the motivation for finding alternative high k gate insulators [28, 70, 16]. A1203 and HfO2 reduce the gate leakage current of Si MOSFETs by orders of magnitude. However these dielectrics cause instability of threshold voltage and degrade the mobility. These disadvantages can be overcome to some extent by using strain to increase the mobility [16]. Several other insulators with high-k have been investigated. Notable among them are Ta205, TiO2, Zr202, ZrSi~O~, and HfO2. Equivalent oxide thickness (EOT) with some of these materials is as small as 5 A. Recently efforts have been made to find new high k insulators [28, 70]. Rim et al. [16] have fabricated strained Si n-MOSFETs using Hf20 as the gate dielectric. A thin tensile strained Si layer was grown on a 1.5 #m relaxed Si0.8~Ge0.15 layer by UHVCVD. Control devices on CZ Si (with no strain in the Si channel) were fabricated for comparison. As compared to the CZ Si devices, the leakage current was reduced by a factor more than 1000 and hole mobility in the channel increased by 30%. Ngai et al. [28] have designed and fabricated SiGe strained layer p-MOSFETs using high-k ZrO2 as the gate insulator. ZrO2 was deposited by the dc magnetron reactive sputtering from a
7. 6. H I G H - K G A T E - I N S U L A T O R S
9 SiGe -0.8
o
217
* * , AA"AA"AAAAAAAAAAAA'AA oooooo.oo~oooo~oooo
Si
VG'V--'IT-- e2 v
9 9o * o * * o 9 O
&O
-0.6
&&O ~ O &i& & &&i& ii&& 611i,ii& &li& AO 0 0 0 0 0 0 0 0 0 ~ I , 0 O O O O 0 0 0 O O 0
=o
v
=, &o &o&0, o&o A&o
E
N
0
-0.4
=~o
A&AA OOOO
V~=-V.r=-1.0 V
6656561,665565~t665116tI$$655550
l* $$6' 9 6
Ve.VT=.o.s v
1~
-~ ,, . . . . . . . , , , , , , , , . . . . . -0.2
1t$ . * *
61666AiiAA$
Vo'V.r='0-6V
66 6 liil/illillliililliillliilillii 9I i
i . .. .* .*.*. *. tA .=. . . . . . .A,* A . A . A = ~.s
666#~ &A i &
t ,A,~ , t
tittttttl
.1
-i .5 v~
I t # ' ~ 1 7 6 1 7I _6_ _ A _ _ _ A _ ,=,.,..=,~6oeo~lt -2
,
....
-zs
IV)
Figure 7.16: Output characteristics of Si and SiGe p-channel MOSFETs with ZrO2 gate dielectric ( W / L - 800 #m/30 pm). Comparison of the two characteristics shows a net increase in the drive current of the SiGe transistor (Ngai 2001 [28]).
Zr target in an Ar+O2 ambient. No Si capping layer was used between the active SiGe layer and the gate dielectric. The active layer consisted of an n-type 350 A UHVCVD grown Si0.s5Ge0.15 strained layer. The output characteristics of the transistor are shown in Fig. 7.16. Pal et al. [70] have investigated the properties of Gd203, Ga203(Gd203), Y203, and Ga203 deposited on boron (1-2 x 101~ cm -a) doped Si0.74Ge0.26 strained layers. Dielectric films were deposited by electron beam evaporation. The insulator films were characterized by energy dispersed x-ray analysis, secondary ion mass spectroscopy, and ellipsometry. The metal-insulator-semiconductor structures were characterised using current-voltage, capacitance-voltage and conductunce~voltage techniques to determine the resistivities, breakdown strength, fixed oxide charge and interface state densities. A summary of the properties of the oxides is given in Table 7.4. All the rare-earth oxides (except Ga203) show good dielectric properties. They passivate the SiGe surface. The passivating property was optimised and the interface state density was the lowest with Ga203(Gd203).
These experiments [16, 28, 70] show that strained layers used with high-k gate insulators show great promise.
218
C H A P T E R 7. F E T S A N D O T H E R D E V I C E S
Table 7.4: A comparison of various electrical parameters of the gate insulators (annealed at 450~ investigated by Pal et al. (2001) [70]. Ga20 3 (Sl)
Ga203(Gd203)
Gd203(S3)
Y203($4)
(s2) Film thickness
1975
2088
2056
2063
1.59
1.77
1.83
1.95
(h) Refractive index er p (D.cm) Eb (MV/cm) JL (A/cm2) Dit(eV-lcm2) Qox (cm2)
7.7 7.7.1
10.0 1.6x1010 1.0 3.6X105 2.4x1012 6.0x1012
12.3 6.1x1012 3.25 1.9x105 4.9x1011 8.4x101~
13.6 1.0xl014 3.3 3.5x10"8 6.3x1011 6.4x1011
16.0 6.8x103 4.0 3"6x108 5.4x1011 8.8x1011
MOSFETs containing Sil_x_yGe Cy alloys Sil_yCy channel n - M O S F E T s
As discussed earlier, tensile strain is required to fabricate a quantum well for electrons in Si. The graded SiGe buffer layers required to construct such channels are expensive and time consuming. Moreover they introduce threading dislocations in the active layer. Rim et al. [162] avoided the graded layer buffers and obtained tensile strain by introducing C in the channel. They fabricated n-MOSFETs with a Sil_uCy channel. The conduction band offset was found to be proportional to tensile strain and is equal to ,-~ 166 meV for each one percent of strain (see Fig. 1 of Ref. [162]).The MOSFETs had good turn-on characteristics and good sub-threshold behaviour. At room temperature the electron mobility is comparable (slightly smaller) to the mobility obtained with CZ control transistors. At 77 K the mobility was considerably degraded. Strain induced enhancement in the mobility was not observed. Presumably carbon introduces charged defects. Coulomb scattering by the defects and alloy scattering offset the increase in the mobility due to strain. Degradation of mobility in C containing layers has also been reported by Osten and Gaworzewski [190] and by Duschl et al. [90].
7.7.2
SiGeC p-channel M O S F E T s
John et al. [130] have investigated the characteristics of SiGeC channel pMOSFETs. Three transistors with different compositions of the channel were fabricated, a Sio.8Geo.2 channel, a Si0.793Ge0.2C0.07 channel and a Si channel
7.8.
ULTRA-SHALLOW JUNCTIONS
219
control device. In each case the channel length was 10 #m. The layers were deposited by UHVCVD. The thickness of the Si cap layer was 50/~. The dc characteristics were measured at room temperature and at 77 K. Hole mobility was extracted from the measured I - V curves. The subthreshold slopes were 101, 90 and 75 mV/dec in the three devices. At low fields and room temperature the mobility in C containing devices was higher. At room temperature the peak mobilities was 190 cm2/Vs in the device containing C and 140 cm2/Vs in the SiGe device without C. The enhanced mobility in C containing devices was attributed to lower density of process induced defects. However at high fields and/or at 77 K the mobility degraded and became smaller than the mobility in the SiGe device without C. The mobility in the Si control device was lower than that in the SiGe device. It was also lower that the mobility in bulk Si. Devices with larger concentrations of C to compensate the strain completely also investigated. They showed significantly degraded performance. There are other applications of carbon in Si based devices. Heinemann et al. [114]have shown that by using a carbon doped buried layer, Latchup can be suppressed. We have already discussed application of carbon in SiGe HBT technology in chapter 6
7.8 7.8.1
Ultra-shallow junctions Series resistance
Currently many groups are working on developing the technology for formation of shallow junctions with low series resistance [80, 81, 97, 106]-[113]. The International Technology Roadmap for Semiconductors (ITRS) [144] imposes several constraints on dimensions and dopant profiles in sub-100 nm gate-length transistors [108]. The expected evolution of junction depths and extension lengths (the distance between the silicide and the channel) as a function of year of introduction is shown in Fig. 7.17. The CPU gate-lengths are also shown in the figure. If the lengths are scaled as shown in this figure, it becomes necessary to scale the implantation dose and dopant concentrations to keep the series resistance within the acceptable limit. The series resistance has three components: the contact resistance Re, extension resistance P8 ^~xtr ~r and link-up or tip resistance Rtnk. The three components are shown in Fig. 7.18. Gossmann et al. [108] have discussed at length the contact resistance and the link-up (tip) resistance in the future sub-100 nm devices. (The link-up resistance is the resistance between two points A and B: The point A is the point where the doping concentration begins to decreases below its solubility limit and doping induced carriers also begin to decrease; the point boron is the point where the channel can be considered to begin i.e. where the carriers supplied by the inversion exceed the doping induced carriers.) These two resistances impose severe limitations on the technology. Gossmann et al. [108] have suggested possible methods to circumvent the limitations. We do not discuss the contact resistance here. The link-up resistance can be reduced by making the doping profile fall steeply by epitaxial
220
CHAPTER
7. F E T S A N D O T H E R D E V I C E S
L gCPU-gate (nm) 22 I
......
200
32 I
70 85 120 65 80 100140 IIII III
--
EXTENSION
_
SOURCE:
LENGTH DEPTH
ITRS-99
99
-
00
-
02
03
-
*Y ~oo -
YEAR
_
50
I
97
JUNCTION
150
45 I
04
08 l
ll
--
14
ii
: :,,,.,, "
~,
lJl', _
I
I
i I
llJ
wd 0
.
IJ
I
I
I
35
50
70
IIII III 1O0120 150180 110130 165
I 250
L ~echnology(nm) Figure 7.17: Junction depths and extension lengths as a function of technology node (bottom abscissa) and CPU gate-length (top abscissa). Also shown is the year of introduction [144, 108].
Figure 7.18" Schematic cross-section of a MOSFET. Three series resistance components, contact resistance Re , extension resistance t,~ .~=tr~ x t and link-up or tip resistance R~nk are shown [108].
221
7.8. U L T R A - S H A L L O W J U N C T I O N S
22
32
I
I
10 -
L~ Pu'gate (nm) 7 0 8 5 120 45 65 80 100140 I IIII III
SOURCE: ITRS-99
-
IMSIL
97
PMOS I SD = 0.35mA/pm
>6
Vextl + Vext2 = 0.05VDD
u.I YEAR
99 O0 9 01 9
02
0403
os .,., ,, .,,,,
In m
:
'~ --
I 35
50
l
,,,.,'
11
0
9II 9
9l
itlt 70
ttl
I I
100120 150180 110130 165 I_}:jechnologY(nm)
250
Figure 7.19: Required boron implant energy for PMOS extension regions [108]. techniques. However this impacts the performance of the intrinsic device adversely due to spilling of carriers into the channel and increase of the leakage current. The optimal lateral steepness depends on many variables and has been discussed by Gossmann et al. [108]. For 5% voltage drop in both the extension regions, the sheet resistivity of the extension regions is given by [108],
pext _____0 . 0 5 VDD I/I/ s
2
1
Io---N Lr
(7.1)
where IoN is the on-current (=0.75 m A / # m for NMOS and 0.35 m A / p m for PMOS), L~=t is the length of the extension, and W is the width of the device. Gossmann et al. [108] calculated the extension sheet resistance for different technology nodes. These calculations show that to keep the resistance within the limits imposed by Eq. (7.1) the implantation dose and peak dopant concentration should increase by a factor between 5 and 100 in sub-100 nm devices. Since we know the geometry, dose and peak concentration of the dopant, it is possible to calculate the required implant energy. The calculated implant energy is shown in Fig. 7.19. We see that ultra-low energy implants are necessary. Today's implanters are capable of implanting species at 0.1 keV [109]. However very low energy implants cause technological problems. Ion implantation causes sputtering of the surface atoms. First Si surface atoms are removed and the Si surface recedes. The high boron concentration
222
C H A P T E R 7. F E T S A N D O T H E R D E V I C E S
Figure 7.20: Retained boron dose is plotted as a function of boron implant dose for two different implant energies [109]. 9 2000 IEEE region moves closer to the surface. Now the sputtering yield of boron atoms increases. As the implantation proceeds and the concentration of boron increases, the loss of boron ions by sputtering increases [109] and the relative retained dose decreases further. The measured retained dose as a function of nominal dose is shown in Fig. 7.20. The results obtained using two different implant energies are shown. At high energies (> 2 keV) the retained dose is close to the normal implant dose and follows the dashed straight line. As the implant energy decreases to below 2 keV, the retained dose decreases dramatically. If BF2 instead of boron is used for implantation, loss of boron by sputtering becomes larger [109] (not shown in the figure). During thermal annealing of the shallow junctions, a larger fraction of boron is lost by out diffusion into the ambient. If low energy implantation is done through a deposited or native oxide layer, a significant fraction of boron is implanted into the oxide and is lost. We conclude that the implant energy should not be decreased too much. 7.8.2
High ramp
rates and spike anneals
Effect of ramp-rates The TED decreases with the depth of the junction (the junction depth is approximately equal to the projected range of the implant) [109, 20, and references given therein]. However TED is still a problem in the shallow junctions. The early experiments showed that the duration and magnitude of TED decreases rapidly as the temperature of annealing increases [20]. The {311} extended defects are not stable at high temperatures. Instead of inducing significant TED, the Si interstitials find other pathways (diffusion to the surface, into the substrate, recombination with vacancies or pairing with other defects) to disappear. At high temperatures the effective activation energy for the TED is negative [109]. This means that if the temperature can be increased to high
7.8.
ULTRA-SHALLOW JUNCTIONS
223
values very rapidly TED is suppressed. Two methods of rapid annealing, conventional rapid thermal annealing (RTA) and spike anneal, are used to suppress TED. Mannino et al. [80, 81] have investigated the effect of RTA ramp rates on boron marker-layers implanted in Si. RTA was done with ramp rates of 0.1, 1.0, 10, 100 and 300~ Two peak temperatures, 950 and 1100~ were used with a soak time of a few seconds. In each case diffusion length LTED Was calculated using the relation L~E D -- (a 2 --a02) where a is the standard deviation and the subscript '0' indicates the as grown layer. LTED decreases substantially with the increase in the ramp rate. For example while annealing at 1100~ LTED decreases from 40 nm to 20 nm by increasing the ramp rate from 1 to 100~ At this high ramp rate the thermal displacement is only 3 nm. It appears possible to suppress the TED completely by increasing the ramp rate sufficiently. However very high ramp rates will be required. The TED increases with the total implantation dose because the total number of injected interstitials becomes large. Thermal diffusion becomes significant above 900~ If the peak annealing temperature is 1100~ the thermal diffusion becomes quite large. The thermal diffusion is also suppressed considerably by increasing the ramp rate.
Spike anneals There is 0 s soak time in the ideal spike anneal. In practice the soak time is finite but small. Spike anneal has several advantages over the conventional RTA with several seconds of soak time [109, 110]. Spike anneal yields significant improvements in the junction depth and reduces overall thermal budget. This in-turn reduces total lateral diffusion per side in a p-MOSFET by 25% without changing the extension implant. More recently Lindsay et al. [110] have investigated the effects of RTA, spike anneal and oxide thickness on the boron profile (see Fig. 7.21). In the RTA the soak time was 10 s and in the spike anneal it was 1 s. The up-ramp rates were 100~ for the spike anneal and 50~ for the soak anneal. The corresponding down rates were 80~ and 50~ respectively [111]. Fig. 7.21 shows that the TED is significantly higher in the slow-ramp soak anneal than in the fast-ramp spike anneal. The abruptness also degrades by the soak time and by the thicker oxide. Lindsay et al. [110] found that the oxygen content in the ambient also affects the junction depth and the resistance (not shown in the figure). The literature values of resistance versus junction depth [109] are plotted in Fig. 7.22. This figure shows that several data points overlap even though their process parameters are not identical [109]. This happens because both junction depths and resistance do not depend uniquely on any one parameter e.g. the ramp-rate. They depend on implant energy, implant dose, ramp rate and soak time. If smaller energy or dose are used, shallow junctions with higher resistance are obtained. The same result is obtained with somewhat larger doses or energies but high ramp rate [109]. Agarwal et al. [109] have investigated the SIMS profiles in Si implanted with boron without removing the native oxide
224
C H A P T E R 7. FETS AND OTHER DEVICES
lx1021~--~ ]~t ~'E 1 • o ~'~ lxlO19
I
Rs ah,pt] 1x1021~ SpikelxlO15328 8nm I ]~ llnml ~".~ ~ Eo lx1020
--
~'
O0
I
spike\
~oak
~ lxl018 8
6 lx1017
Rs abrupt SpikelxlO15 598 8nm 5 480 lZlnm - -- --
20
40 60 depth (nm)
100
80
lx1017
O0
20
40 60 depth (nm)
80
100
Figure 7.21: SIMS profiles and resistance R s for spike and soak annealed boron implanted samples. The implantation was done at 0.5 keV with a dose of 1 x 1015 cm -2 through i nm and 4 nm oxides. The abruptness degrades by the soak in RTA and also by the thicker oxide. The abruptness (abbreviated as abrupt) is defined as the change in depth corresponding to the change in concentration from 1 x 1019 cm -3 to 1 x 1018 cm -3 and is measured in nm as shown [110].
2000
' I ' IA'
I ' I ' I ' I ' I J I ' I ' I ' I '
1000 E 9
lO0_J
O0
I t I I I I I , I , I , I ) I , I i I I I ,
20
40
60
80
I00
120
xj at 1x 1018 cm-3 (nm)
Figure 7.22- Comparison of sheet resistance and junction depth data from various sources compiled by Agarwal et al. [109]. Despite the fact that different rates and ion implantation parameters were used, all the data from spike anneal fall in a rather narrow band with approximate 1Ix dependence [109].
225
7.8. U L T R A - S H A L L O W J U N C T I O N S 1021
--, 1020
%__
g 1019 ~ 10TM
r~
1017 ]
_ , J !
O0 10
~
20
1
30
---r-
40 50 60 Depth (nm)
-
. - -
70
-
.
. . . .
80
90
Figure 7.23: SIMS profiles of boron junctions after implantation (A - 1 keV B, 1 x 1015 cm -2 into crystalline Si; B - 4.5 keV BF2, 1 x 1015 cm -2 into GeF2 pre-amorphized Si) and after spike annealing (A-*C, B--+D; ll00~ 1 s) [60].
from the Si surface. Several doses and two energies, 0.5 keV and 0.2 keV, were used. The implanted wafers were spike annealed at 1050~ The SIMS profiles for the 0.2 keV implant with a dose of 3 x 10 TM cm -2 and for the 0.5 keV implant with a dose of 1.7 x 10 TM cm -2 were nearly identicM. It is therefore possible to avoid the ultra-fast ramp rates but modify the implant parameters to obtain the same results. In order to avoid non-uniformity and non-repeatability the ramp rates should not be too high. For future sub-100 nm devices sub-1 keV implants will be required. The discussion of this section shows that the implant energies below 0.5 keV are not desirable and may not be necessary. Similarly spike anneal with high ramp rates is required but too high ramp rates are not recommended. The ambient in which annealing is done also affects the junction depth and resistance. It is a challenging task to achieve an optimum design for sub-lO0 nm transistors by adjusting implant dose, energy, ramp rates, ambient and oxide layer thickness so that each of these parameters is utilised optimally. The design must ensure that the uniformity is maintained and the process is repeatable. Other methods of reducing TED are also being tried. TED can be reduced by amorphization prior to ion implantation using isoelectric ions [20]. The clustering of boron near the peak which makes the boron immobile is eliminated by the amorphization process. Pre-amorphization of the Si surface prior to boron implantation leads to a reduction of the channeling tail, thereby allowing for shallower, more abrupt junctions. In addition, it has been found that implanting BF2 instead of boron (at the same equivalent boron energy) results in shallower junctions after annealing, a result which is tentatively ascribed to the co-implanted fluorine. In an approach to combine these two benefits, pre-amorphization with GeF2 ions has been used to fabricate shallow p-type junctions. Fig. 7.23 shows boron concentration profiles after implantation and after spike anneMing (ll00~ 1
226
C H A P T E R 7. F E T S A N D O T H E R D E V I C E S
s). By changing from a 1 keV boron implant into crystalline Si to a 4.5 keV BF2 implant into GeF2-pre-amorphized Si, a significant reduction of the channeling tail is seen, as expected. A spectacular difference in junction depths is observed for these two samples after spike annealing: the junction depth Xj is decreased from 65 nm for the reference sample to 30 nm for the GeF2/BF2 sample. Changing the concentrations of co-implanted fluorine by using other implant variants (always with the same effective Ge and boron implant energies) leads to a proportional scMing of X j in between 30 and 65 nm. This suggests that the junction depth reduction is strongly related to the co-implanted F. Indeed, SIMS measurements after RTA show that going from BF2 implantation to GeF2/BF2 leads to a significantly stronger incorporation of F into the near surface region. This suggests that fluorine probably traps Si interstitiMs and reduces the driving force for TED. Alternatively, the reduced junction depth may result from a chemical interaction between F and B. Clearly, this subject needs further investigation. The sheet resistance Psh for the shallowest junction (Xg-30 nm) is 390 ~/sq. These junction parameters are among the best obtained until today using ion implantation and rapid thermal annealing, breaking through the trade-off curve between Xj and Psh that is generally observed for ptype junctions. It is expected that further optimization of the pre-amorphization and implantation conditions (e.g., < 1 keV B) together with more aggressive spike anneals will lead to additional improvements in junction performance. The approach of pre-amorphization with co-implanted fluorine (PAF) was used for forming extensions in a 0.13 #m PMOS process flow, featuring a 2.3 nm pure gate oxide. From electrical analysis it is found that the underdiffusion of the extension decreases with increasing fluorine concentration. For the implant/anneM variants shown in Fig. 7.23, the overall source/drain underdiffusion is reduced by 25 nm when using GeF2/BF2, consistent with the measured reduction in X j. Furthermore, the measured source/drain series resistance was ~ 500 f~#m, with a 10% improvement with the PAF approach. It can therefore be concluded that PAF is suitable for the fabrication of sub-100 nm PMOS transistors. One drawback, however, is that the co-implanted fluorine is observed to enhance the penetration of boron through the thin gate oxides, leading to undesired shifts in the threshold voltage. This implies that a successful implementation of PAF may require the utilisation of heavily nitrided gate oxides (or high-k dielectrics) to increase the resistance against boron penetration. Alternatively, the CMOS processing scheme could be modified to prevent the introduction of fluorine atoms into the gate electrode during extension implantation.
7.9 7.9.1
Application junctions Raised
of
SiGe
source and drain
to
ultrashallow
junctions
Recently several groups have used SiGe technology to improve ultrashallow junctions for deep submicron technology [102, 49, 50, 51, 24, 75, 23]. Raised
7.9. A P P L I C A T I O N OF SIGE TO U L T R A S H A L L O W J U N C T I O N S 20
A
v
E
. . . . . . . . . . . . . . . V --4-- Conventional at 0~ 18 \ . . o - . Conventional at -50~ - Sio.aeGeo.14at 0~ 16 , ' ~ " 'r Sio aeGeo14 at-50~ O
14
,
=. " ~ " V .
'
1
.
2
d
'o 1.16
W = 100 p,m 9
,
o
0.2
O.3 Lg
(~m)
Sio.se_Geo.141,
0
m .
.~.
"
~
.
~ ... 0-9 . Sio.91Geo.oelOOnm 00nml~"~.,~,o~.~
1.22
E C~ 12
8
"conve'n~afSi .......
1.26 o~----~1.24
227
.
9
0.4
.
.
1.14
~ a +Ion[-50~176bLg
. w = I(X) ~m . . . . . . . . . . 0.2
o.3
0.4
]
1 o.s
Lg (l~m)
Figure 7.24: (a) Saturated transconductance gm versus effective gate length at different temperatures for conventional and Si0.s6Ge0.14 RSD devices, and (b) Ratio of values of ION at -50~ and at 100~ versus effective channel length for conventional and Si0.s6Ge0.14 RSD devices (Huang 2001 [49]). 9 2001 IEEE source drain (RSD) junctions have received considerable attention. Ultrashallow junctions with solid-state diffusion from SiGe have been formed. Sub-70 nm CMOS devices have been fabricated using recessed junction selective SiGe source/drains. Raised (also known as elevated) source and drain MOSFETs allow fabrication of shallow junctions and thicker sacrificial layers for silicided contacts to the junctions [319, 224, 49, 51]. In RSD technology Sil_=Ge= offers many advantages. Like Si, Sil_=Ge= can be deposited selectively over the exposed source and drain areas but SiGe can be deposited at lower temperatures. Sil_=Ge= gives lower contact resistance and higher current drivability [49]. Huang et al. [49] fabricated the RSD p-MOSFETs using UHVCVD. The experiments confirmed that the use of Sil_=Ge= improves transconductance and decreases the contact resistance. The improvements increase as the channel length is reduced. Due to the shallow junction depths and lesser implantation damage both drain induced barrier lowering and leal~ge current decrease when channel length becomes small ,~ 100 nm (see Fig. 7.24(a)). The low temperature behaviour of the devices shown in Fig. 7.24(a) and Fig. 7.24(b) is also very good. Gannavaram et al. [102] have presented a new technology useful for sub-70 nm CMOS. They selectively deposited in-situ boron doped SiGe at 500~ in the source drain windows recessed to the required junction depth. The technology meets the Roadmap requirement. For 30 nm junctions the sheet resistivity was < 100 gt/sq, and contact resistivity was 1.5 • 10-s ~tcm2. Reverse leakage was less than 1% of the I o f / b u d g e t . The lateral abruptness was perfectly box shaped. Thermal integration was compatible with high-k gate dielectrics using gate-last CMOS process flow. A comparison of sheet resistance vs junction depth curves of their technology with other technologies is shown in Fig. 7.25. In the current technology, low energy ion implantation (< 5 keV) is used to
228
C H A P T E R 7. F E T S A N D O T H E R D E V I C E S 1
"~1
I
I
I
1
I
I~
~ ~ ~
"""
",~
~o ,,m " " " - ..
4.1 I ~.1.~, t '
'
-
~
b.
In
I
~,,~gSO
70 n m " -.
~0~"~~
I
-'-._
t
" ~
. . . . . . . .
I
--
_
I
I
I
RTA -limit -~ curve
"~
-
102o," :, ..I
,,~ __~_.~_~___~a___
~t ~
"-..
/
This w o r k
I
Higher C R/TA temp
- "
~ : . . ,,v " - ~ _
~
I
a,;" :: p.(o&~ I
~
. . . . . .
1
~
"~
-
"~'-~.~10~0 ~ ~ Vc
~..
-
3
-...-./o%,, ?. '-t
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
/
Figure 7.25" Compilation of best case junction RSH values for various junction formation techniques compared against future technology window (Gannavaram 2000 [102]). 9 2000 IEEE form shallow junctions for nm channel-length regime. This results in degradation of current drivability [24]. The degradation occurs on two accounts: (1) source drain extension (SDE) overlap with gate is reduced and (2) carrier activation efficiency is degraded. To solve this problems Uchino et al. [24] have developed an advanced CMOS technology in which RSD windows and contact windows are formed over the field oxide. Ultrashallow junction formation occurs by solid-state diffusion from P doped SiGe and boron doped Si layers. Process steps for the raised source/drain n-MOSFET are shown in Figs. 7.26(a), 7.26(b) and 7.26(c). A cross-sectional SEM photograph of the n-MOSFET is shown in Fig. 7.26(d). Further details of the device are given in Tables 7.5(a) and 7.5(b). The junction depth was 25 nm and SDE resistance was 350 f~/sq for n-MOSFETs and 390 9t/sq for p-MOSFETs. Measurements showed excellent short channel characteristics. Drain-junction capacitance was reduced by 70 to 80%. A suitable overlap between SDE and gate was obtained and current drivability was high. 7.9.2
Poly-SiGeC
gate
It is advantageous to use n+-polycrystalline Si as the gate in n-MOSFETs and p+-polycrystalline Si as gate in p-MOSFETs in CMOS technology. Unfortunately boron from p+-polycrystalline gate penetrates through the gate oxide which results in changes in the threshold voltages. Polycrystalline SiGe gate is used because the workfunction of the gate can be adjusted by a few tenths of a volt by changing the Ge content. The sheet resistance of polycrystalline SiGe is lower than that of polycrystalline Si because grain size in the former is larger. However the problem of boron penetration and instability of threshold voltage
7.9. A P P L I C A T I O N OF SIGE T O U L T R A S H A L L O W J U N C T I O N S
229
Figure 7.26: Process steps for the raised source/drain n-MOSFET. (a)PolySi patterning and pocket implantation. (b) Selective p-doped SEG and SDE formation. (c) Tungsten local interconnection. (d) Cross-sectional SEM photograph of the finished n-MOSFET (Uchino 2001 [24]). 9 2001 IEEE
230
C H A P T E R 7. F E T S A N D OTHER DEVICES
T~ble 7.5- (a) Device specifications and fabrication method. (b) Device purameters (Uchino 2001 [24]).
(a) _
.|
,
Device
This work L
NMOS
i, ii ii
,i
.
l l llJIL
___
Drain area
0.35x10 pm
6.0xl 0 pm
S/D doping
Diffusion from P-doped SiGe
As i/i15 keV, 3x1014cm.2
Gate SiO2 thickness
4.6 nm
4.6 nm
i
PMOS
,
Cony.
ill
__
.
Drain area
0.40xl 0 pm
6.0xl 0 pm
SID doping
Diffusion from B-doped Si
BF2 i/i 10 keV, 5xl 014-cm.2
5.2 nm
4.0 nm
Gate SiO2 thickness |
i.
_ _
(b) Device
This work .
NMOS
,, .,,.,
Cony. , , .
Drain area
0.35x10 pm
6.0x10 pm
Drain capacitance
6.2 fF
19 fF
350 .Q/sq.
570 Q/sq.
Drain area
0.40x10 IJm
6.0x10 pm
Drain capacitance
11 fF
58 fF
Rext ,,,,,,,
PMOS
, |.
,
,,
, , , , ,
....
=
,.
...
Rext , . , , . . , . ,
390 .Q/sq. . . . . .
1.,
1060 .Q/sq
7.10. R E S O N A N T
231
TUNNELLING DIODES
persists. Chang et al. [136] fabricated p-MOS transistors in which a layer of polycrystalline SiGeC was introduced between the poly-Si gate and gate oxide. First n-Si was oxidized in dry oxygen at 900~ for 15 min, and a 80-90 A layer of silicon oxide was produced. Polycrystalline gates were deposited on top of the gate oxide by rapid thermal vapor deposition at 625 to 700~ After the growth of gate electrodes, 60 keV BF + was implanted to a dose of 5 x 1015 cm -2. Three types of samples were prepared. In one type, 400 nm of poly-Si gate was used. In the second type a layer of poly-SiGe was used between the gate oxide and the poly-Si gate. In the third type the SiGe layer was replaced by a SiGeC layer. The samples were annealed at 900~ for 40, 60 and 80 min and the threshold voltages of the devices were measured. The results are shown in Fig. 7.27. SIMS '
I
'
I
'
I
'
I
'
I
'
I
'
I
I 40
,
I 50
,
I 60
,
I 70
Oxide/Si
_
---<>---- O x i d e / S i G e / S i
>
6 -
~
Oxide/SiGeC/Si
e~0 o
>
4
O
2
0
, 0
I 10
,
I 20
,
I 30
,
,
I 80
A n n e a l i n g t i m e @ 900 C ( m i n )
Figure 7.27: Threshold voltages of the three devices are plotted as a function of annealing time (Chang 1999 [136]). measurements showed that boron diffused through SiGeC layer and segregated in the layer. Chang et al. [136] suggested that carbon reduces the potential of boron in the SiGeC layer and suppresses its outdiffusion into the oxide.
7.10 7.10.1
Resonant tunnelling diodes NDC
and
PVR
The principle of resonant carrier tunnelling has been known for a long time (see first edition of this book [1] for references). Applying a bias across a structure consisting of a quantum well and thin barriers surrounding it induces a small current by quantum mechanical tunnelling of the carriers through the barriers. The overall current through the device reaches a maximum whenever the energy of the injected carriers is in resonance with the energy of an eigen state of the
232
CHAPTER
7. F E T S A N D O T H E R D E V I C E S
quantum well. Thus I - V curves show sharp peaks, and every peak is followed by a region of Negative Differential Conductance (NDC). The current voltage curves of the diodes show maxima (known as peaks) and minima (known as valleys). The Peak to valley ratio, PVR, is an important figure of merit of the device. The devices, known as resonant tunnelling diodes (RTDs), are very useful for high-frequency detection and oscillations. If there is one quantum well with two barriers, the device is known as a double barrier or DB device. If there are two quantum wells and three barriers, the device is known as a three barrier (TB) device. Discrete energy levels in a double barrier quantum well structure were observed in 1974 and resonant tunnelling experiments in the 1012 Hz range were performed in 1984 [1]. Interest in negative resistance devices has grown steadily over the past several years. Most early work been has been done on tunnelling of electrons in GaAs/A1GaAs [1]. PVR of 30:1 at 300 K, frequency of oscillation exceeding 400 GHz and switching time of the order of picoseconds have been obtained [406]. These RTDs are likely to play an important role in submillimeter-wave analogue applications and as multiterminal high-speed logic devices. Several papers have also been published on GeSi strained layer RTDs. Work has been done on tunnelling of both holes and electrons. Because of several inherent difficulties, the performance of these diodes is still not as impressive as that of the RTDs based on III-V compound semiconductors. 7.10.2
Resonant
tunnelling
of holes in GeSi devices
Temperature effects Since the valence band offset in GeSi layers under biaxial compression is large, GeSi strained layers grown on Si(100) substrate are well suited for the study of resonant tunnelling of holes. As discussed earlier, strain removes the degeneracy of the heavy and the light hole bands. It is possible to adjust the strain in such a manner that only the heavy or the light holes are injected into the system. GeSi strained layer RTDs were first fabricated in 1988 by Liu et al. [407] and by Rhee et al. [412]. The structure of diodes fabricated by Liu et al. [407] consisted of a GeSi quantum well separated by Si barriers and grown on a Si(100) substrate. Rhee et al. [412] fabricated the diodes on relaxed buffer layers of different Ge concentrations. They were able to distribute the strain between the well and barriers in any desired manner. By changing Ge concentration in the buffer layer, the barrier or the quantum well or both can be strained. This work was followed by several papers published from 1989 through 1993 (see references [410, 408, 409, 416]). However, the best PVR obtained until recently was only 3 at 4.2 K (the value has improved recently, see Table 7.7 given later). Gennser et al. [413] made extensive measurements of I - V characteristics of GeSi hole RTDs over a large temperature range. They derived resonant energies from the observed characteristics and compared them with the theoretically predicted values. The characteristics remain practically independent of temper-
7.10. RESONANT TUNNELLING DIODES
233
ature up to 70 K. In this temperature range, interface scattering probably limits the performance. If this explanation is valid, it should be possible to increase the PVR by improving the quality of the interface. Two resonances at 150 meV and 300 meV were seen in the I - V curve. They were identified as being due to the lowest heavy hole (HH0) and light hole (LH0) states, respectively. An additional resonance due to second heavy hole state (HH1) was seen in the (dI/dV) - V curve. For any bias value a temperature-dependent current was observed above 70 K. Temperature-dependent currents can arise due to thermionic current or thermally assisted tunnelling. At any bias value well below the resonant state, the current density JrCs can be approximated by [413],
( J~s = A~Tln
l+exp-(Er-EF,
c-e~eV)/kT
1 + e x p - ( E ~ - EF,~- (1 - a ) e V ) / k T
) '
(7.2)
where the prefactor A~ depends oil the transmission coefficient and effective mass, V is the applied bias, Er is the energy of the resonant level, EF, c is the Fermi level of the contact and aeV is the energy difference between the emitter valence band edge and the bottom of the quantum well. If the activation energy r = ( E ~ - EF, c - ~eY) >> kT, Eq. (7.2) reduces to
J ~ - A~T 2 exp(-r
(7.3)
The thermionic current is given by, Jthermionic
--
A*T 2 exp(-r
(7.4)
where A* is the effective Richardson constant and r162 is the barrier height. The two equations are similar as far as the temperature dependence is concerned. Gennser et al. [413] were able to fit the currents observed at different temperatures with two thermally activated terms of the form given in Eq. (7.3) or Eq. (7.4) plus a temperature-independent part I0 due to a pure tunnelling component. The magnitude of the activation energy did not correspond to any reasonable value of the barrier heights and it was therefore concluded that the thermionic component was negligible. By extrapolating the activation energy to 0, values of resonant state energy with respect to the Fermi level in the cathode were extracted. By allowing for the temperature effect on the Fermi energy, resonant energies were determined. The resonant energies were also calculated by solving self-consistently the SchrSdinger equation separately for the light hole and the heavy hole in square well potentials. Table 7.6 shows that the measured and calculated energies are in good agreement. In the analysis no mixing of the heavy hole and light hole bands was assumed. Since tunnelling measurements under applied magnetic fields show considerable mixing of these states, the agreement is somewhat surprising. The mixing might have been masked by the uncertainties of the calculations, resolution of the experiment and the simplicity of tile model used. Gennser et al. [413] also studied relative contributions of different resonances and concluded that at high temperatures the PVR is reduced due to tunnelling through higher-order resonances.
234
C H A P T E R 7. F E T S A N D O T H E R D E V I C E S
Table 7.6: Calculated and measured values of the resonant states in meV measured from the valence band edge of the well (see text) [413].
HH0 LHO HH1 LH1
Calculated 25 + 3.5 57 + 7 100 + 12.5 220 + 35
Measured 37 + 5 50 • 5 81 • 10 191 + 20
Effect of magnetic field Extensive theoretical and experimental investigations of hole tunnelling in the GeSi RTDs under applied magnetic field have been made [351, 408]. As the magnetic field is applied parallel to the interface, the path of the holes passing through the interface is deflected. This is equivalent to reduction in the kinetic energy in the tunnelling direction and therefore additional voltage is needed to obtain the tunnelling currents. Using WKB approximation, the shift in resonant peak position is given approximately as eB2(2s 2 + 2b2 + w2)/3m *, where s, b and w are the thicknesses of the spacer, barrier and well, respectively, and B is the applied magnetic field [351]. This relation is well satisfied by the experimental data [351]. For a magnetic field in a direction perpendicular to the interface, the results are more complicated and not well understood.
Noise in R T D s Noise behaviour of a RTD is very complex [415]. The diode produced more noise at 77 K in the resonant tunnelling mode than at room temperature as a bulk resistor. The flicker noise varied as 1 / f 2 rather than 1 / f commonly observed in other devices. The magnitude of the flicker noise depended on both the magnitude of the current and on the polarity.
7.10.3
Electron tunnelling in GeSi RTDs
Electron resonance tunnelling in the GeSi structures has been studied by Ismail et al. [414], Chiang et al. [409], Krstelj et al. [416] and more recently by Suda et al. [25] and by Paul et al. [26]. Ismail et al. [414] fabricated the device on a highquality Ge0.3Si0.7 relaxed n type doped buffer layer grown on Si(100) substrate. The tunnelling structure consisted of a 5 nm Si well sandwiched between two unstrained Ge0.3Si0.7 barriers. There were two 15 nm Si layers on both sides of this structure. A phosphorous doped 30 nm GeSi layer and a 4 nm Si cap layer were deposited on the top of the device. Current voltage characteristics were measured at room temperature and at 77 K. At room temperature the PVR was 1.2. At 77 K the PVR improved to 1.5. There was a finite current at vanishingly small voltage, which indicates that the Fermi level on both sides
7.10.
RESONANT
TUNNELLING
DIODES
235
of the tunnelling junction is within -., l k T of the position of the lowest sublevel in the well. The slopes close to zero bias for the two polarities were different, indicating that the RTD was asymmetrical. Circuit oscillations in the NDC regime were seen. The onset voltage for NDC was about 0.5 V, considerably higher than the theoretically estimated value of 0.1 V, which shows that the internal resistance of the device was high. RTDs with qualitatively similar structure have been fabricated and studied by Krstelj et al. [416]. Ge concentration in the relaxed buffer layer and in the barrier layers was x -- 0.35, the width of the well was 2 to 5 nm and that of the barriers, 4 to 7 nm. The characteristics of the diode were symmetrical. Two distinct peaks were observed in the current voltage characteristics at 150 K. As the temperature was lowered, the low-voltage peak disappeared below 50 K. At 4.2 K, the PVR had its largest value of 2. Krstelj et al. [416] suggested that the anomalous behaviour of the lowvoltage peak may be due to phonon-assisted tunnelling or quantization of the emitter states. At higher temperature both mechanisms can cause tunnelling. In the first case, the phonons are available only at the higher temperatures. In the second mechanism, higher states of the barrier are occupied at higher temperatures, from which tunnelling is possible at a lower voltage. Like the hole RTDs, the PVR is small in the electron RTDs. The performance of GeSi RTDs has not yet reached a level that can be exploited in any useful device. However, according to theoretical predictions, a high value of PVR in the range of 10 to 30 is possible at 77 K [409].
7.10.4
R e c e n t work
Recently several groups have reported improved SiGe resonant tunnelling diodes [26, 25, 2]. We have collected the PVR values obtained by different groups in Table 7.7. This Table shows that in the earlier data the highest value of PVR is about 2 and this value was obtained only at low temperatures. No NDC in hole devices has been observed above 77 K. Best value of PVR (>_ 7.6) at room temperature has been observed recently in electron devices by Suda et al. [25]. We discuss this work in detail in this section. Suda et al. [25] fabricated both DB and TB electron devices and compared their NDC performance. The devices were fabricated using gas-source MBE on a 0 . 8 - 1.2 flcm n-type Si(001) substrate. The Ge fraction x in the Sil_xGex barriers was 0.3. The structure, layer compositions and thicknesses are shown in Fig. 7.28(a). The SiGe barriers were unstrained and were grown on strain relaxed SiGe buffer layers. The buffer layers consisted of alternating Si0.8Ge0.2 and Si0.rGe0.3 layers as shown in the figure. The tensile strained Si layer was grown on top of the strain relaxed layers. A DB or a TB device was fabricated on this layer. Fig. 7.28(a) shows the structure of the TB device. The observed current voltage curves for both the DB and the TB devices are shown in Fig. 7.28(b). The performance of the TB device is very superior. The PVR of the first resonance is a record value of 7.6.
236
C H A P T E R 7. F E T S A N D O T H E R D E V I C E S
Table 7.7: Comparison of observed PVR values of Sil_xGex RTDs.
x
Carder
Number of barriers
0.21
hole
2
0.22
hole
3
0.4
hole
2
0.5 0.3
hole electron
2 2
0.35
electron
2
0.3
electron
3
4.2 77 10 > 100 4.2 77 15 77 300 4.2 > 220 300
0.3
electron
3
77
Temperature (K)
PVR
Ref. No.
2. 1.8 1.1 NO 2.1 1.6 1.5 1.5 1.2 2.0 NO > 7.6
a a b b c c d e e f f g
1.67
h
(a) Liu 1988 [407], (b)Xu 1992 [411], (c) Rhee 1988 [412], (d)Gennser 1991 [413], (e) Ismail 1991 [414], (f) grstelj 1993 [416], (g)Suda 2001 [25], (h) Paul 2001 [26].
7.11
Photodetectors, waveguide switch and laser
7.11.1
IR
photodetectors
We have given an exhaustive review of SiGe based photodetectors in the first edition of this book [1]. More recently Jutzi and Berroth [89] have written a good review of SiGe photodetectors for optical communication application. We discuss more recent work on the detectors [14, 89, 87, 88] in this section. Because of the indirect bandgap, the absorption coefficient in SiGe alloys is small and large thicknesses of the layers are required for efficient detection of light. However the maximum thickness of the layer is limited by the critical thickness. Li et al. [14] inserted the absorption layer between two mirrors which form a resonant-cavity. Incident light is reflected by the two mirrors and travels back and forth which increases the total amount of light absorbed and enhances the performance of the detector. The detector is known as a resonant-cavityenhanced (RCE) photodetector. The pattern of interdigitated contacts and the schematic cross section of the metal-semiconductor-metal (MSM) detector is shown in Fig. 7.29. The absorption region consists of Sil_xGex/Si MQWs. The MQWs consisted of twenty periods, each period contained Si0.70Ge0.30(6 nm)/Si(25 nm) layers. An optimized detector requires that RI -- R2e -~d where R1 and R2 are the reflectivity of the front and back mirrors [14]. To increase the
237
7.11. PHOTODETECTORS, WAVEGUIDE SWITCH AND LASER
C'O'nO i Layer
si 6~ x
t.
9
L
t
-
.
=
PVR = 1.3 HE,->HF~
PVR = 7.6
/
....
9
P,= J
50 !"
I I si?,~o~ 30A I 1 " Layer
9
I Sil.xGexlSi RTD 70l" RoomTemperature
..... B Sio.?Geo.3 30 h B " Si 60 A (well) I" TripleBarrier BB SioTGeOS30 X BB" Structure C s,~o, ,w,,, j -
Strained
|
HEo'>H~
eV
'%
O
~' HEr
.. _.
Strain Relief
~yer ,~./////////4[ Si epilayer1500A YI~ V / / / / / / / / / ~
V////////A
(a)
n-Si(O01) ~,......,, ~
u0
0.5
(b)
1
1.5 Voltage
2
(V)
Figure 7.28: (a) Structure of TB Si]_~Ge~ electron tunnelling RTD and the band lineups calculated using the model of Ref. [341] and (b) Typical I - V curves observed at RT from the DB and TB electron RTDs (Suda 2001 [25]).
Figure 7.29: (a) Pattern of interdigitated electrodes and (b) schematic cross section (along the dotted line in (a)) of the SiGe/Si RCE MSM photodetector by wafer bonding technique (Li 2002 [14]).
238
C H A P T E R 7. F E T S A N D O T H E R D E V I C E S
reflection coefficient, the bottom mirror is a SiO2/Si distributed Bragg reflector (DBR) and the top mirror is A1203/Si DBR. The device was fabricated by the "smart cut"" and bonding technique described earlier. The area of the active region was 100 x 100 #m 2. The simulated photoresponse of the detector is shown in Fig. 7.30(a). Refractive indices of 3.5 for Si and 4.0 for Ge were 0.30
(a) 0.25
~', r @
0.20
E KI
0.15
"~; E =
0.10
r
0
0.05 0.00 ....
L __
I .....
i
1300
1290
1310
Wavelength(nm)
4O
,~
.z-
35
.>_ w r-
o
e,i
30
o
25 l
1260
0
!
1270
1
i 0
l 1290
.
i 1300
.
I 1310
i
l 1320
,
i 1330
,
i 1340
,
i 1350
, i360
Wavelength (nm)
Figure 7.30: (a) Simulated quantum efficiency of Si0.TGe0.3 of the SiGe/Si MQW RCE MSM photodetector and (b)Observed responsivity spectra of the same detector (Li 2002 [14]). used in the simulations. The refractive index of SiGe alloy was obtained by linear interpolation. The absorption coefficient of Si0.70Ge0.30 at 1300 nm was estimated to be 40 cm -1. The peak quantum efficiency at 1300 nm was about 25% and the FWHM of the responsivity was less than 1 nm. The breakdown voltage of the detector was 10 V and the dark current at 5 V was 230 nA. Fig. 7.30(b) shows the experimental responsivity of the detector. The figure shows a responsivity of 44 m A / W at 1314 nm and an FWHM of 6 nm. The measured quantum efficiency at 1314 nm was 4.2%, considerably lower than expected on the basis of the simulations. The discrepancy was attributed to the poor quality of the DBR due to inaccuracy in processing and scattering of light due to surface roughness. Nevertheless the detector fabricated by wafer
7.11. P H O T O D E T E C T O R S ,
WAVEGUIDE SWITCH AND LASER
239
bonding technique with DBR mirrors is a significant advance in the detector technology for application to 1.3 #m operation. Krapf et al. [27] have reported a Si/SiGe quantum well Infrared photodetector (QWIP) suitable for multispectral imaging. A QWIP was grown by MBE on a high resistivity n-type Si substrate. The device consisted of 20 periods of SiGe/Si QWs. Each period consisted a of boron (9.6 x 1011 cm -2) doped 30 /~ Si0.77Ge0.23 well and a 500/~ undoped Si barrier. A 300 A wide Si0.77Ge0.23 emitter p-doped to a concentration of 4 x 1018 cm -3 was grown on top of the QWs. Finally the whole structure was capped with heavily p-doped 5000/~ Si layers at the top and bottom. The photocurrent response of the detector was measured at 10 K. It showed peaks at 1300, 1800, and 2500 cm -1. A broad band at 4000 cm -1 was also observed. The first three peaks were assigned to HHI-~HH2 inter-subband transitions in the QWs, HH--.(SO+LH) intervalence band transitions in the SiGe emitter and H H ~ ( S O + L H ) transitions in the QWs. The device is capable of detecting at long, mid and short wavelength atmospheric windows. Luan et al. [141] have fabricated Ge photodetectors using 1 #m Ge epilayers grown by the two step method described in chapter 2. The external responsivities were 550 mA/W at 1.32 #m and 250 mA/W at 1.55-#m. The electron mobility in the Ge layers was 3500 cm2/V s. 7.11.2
Optical
waveguide
switch
Optical switches have many useful characteristics. They have small size, singlemode operation and they are independent of polarization. Li et al. [10] have given a list of references on the optical switches fabricated using SiGe materials. The switches rely on carrier induced refractive index changes. In the reflection type switch the reflecting interface must be precisely controlled. Li et al. [10] have fabricated an intersectional rib optical waveguide switch with a bow-tie electrode. These switches are better than those with straight electrodes. The switch is based on the totM internal reflection and the plasma dispersion effect of SiGe alloy. It is suitable for 1.3- and 1.5-#m operation. The schematic structure of the switch is shown in Fig. 7.31(a) and that of the waveguide in Fig. 7.31(b). The width of the rib is 2a)~, the inner rib height is 2bA and the etched depth of the rib is 2b(1 - r)A. Here A is the free space wavelength of light and r is the fractional height of side regions compared to the rib-center. The switch was fabricated on a p-type Si substrate. A lightly p-doped SiGe (~ 4% Ge) was grown on a 59-nm p-type Si buffer. The rib waveguide was formed by reactive ion etching. The n + injection region and the p+ collector were formed by phosphorus and boron ion implantation respectively. The chip was mounted on a copper heat sink to maintain the temperature constant during the measurements. 1.3- or 1.5-#m light from InGaAsP laser diodes was coupled into the input port 1 of the waveguide and light from the waveguide rib was collected by a lens and measured by an infrared image converter tube or an optical power meter and displayed on a video monitor. At zero injection current only port 4 shows the output signal. As the injection current increases to 85 mA for 1.3
240
C H A P T E R 7. F E T S A N D O T H E R D E V I C E S
2a~ (a) no (b) f - ~ ~ ~ ~ . ~
In
-r)k
Si(100) substrate
Figure 7.31" (a) Schematic structure of a SiGe-Si intersectional rib optical waveguide switch with a bow tie electrode and (b) configuration of the waveguide (Li 2002 [10]). 9 2002 IEEE
#m light and 78 mA for 1.3 #m light the output light switches to port 3. This happens because when carriers are injected, the refractive index of SiGe layer decreases and total internal reflection takes place. The extinction ratio was larger than 38.5 dB and insertion loss was less than 1.70 dB. The refractive index can be changed and an optical waveguide can be constructed by applying stress to a localized stripe region in a semiconductor. The stress can be created by growing a stripe of different lattice constant on the semiconductor [193]. Rho et al. [78] have demonstrated the fabrication of such a waveguide by depositing a Si3N4 stressor stripe on a SiGe layer.
7.11.3
SiGe
QW
resonant-state
terahertz
laser
Currently quantum cascade lasers (QCLs) are based on III-V compound technology and operate at 10 #m. However it is difficult to build QCLs at longer wavelengths [6]. Attempts have also been made to fabricate QCL based on SiGe [84]. Altukhov et al. [6] have built a resonant-state laser (RSL) based on a SiGe quantum well. The laser structure, design and emission spectrum are shown in Fig. 7.32. The p-type Sil_xGex quantum wells were grown by MBE on a 5-mm thick n-type Si substrate. The SiGe layer was 5 doped to a concentration of 6 x 1011 cm -2. The Ge concentration in the well layers was 0.15. The layer thicknesses and sequence of layers are shown in Fig. 7.32(a). The two Si layers were 5-doped in the middle to a concentration of 4 x 1011 to 1012cm -2. The finished structure of the laser including contacts and emission of light is shown schematically in Fig. 7.32(b). Spectra of 4 samples were measured in the energy range 10-15.5 meV and at different applied voltages. Fig. 7.32(c) shows intense THz emission at 104 pm at 1000 V. The inset shows the spectrum at 1500 V. The modal structure of the emission was studied at 1000 V and 500 V. At the higher voltage structure was complex probably because several modes were excited. The authors suggested that it was laser emission from a population inversion in the QW due to the resonant states of boron.
7.11. P H O T O D E T E C T O R S , W A V E G UIDE S W I T C H A N D L A S E R
241
Figure 7.32: (a) Schematic view of the laser structure, (b) laser design and (c) spectrum of stimulated THz emission at 1000 V and in the inset at 1500 V (Altukhov 2001 [6]). 7.11.4
Miscellaneous
devices
Conventionally Thermoelectric (TE) cooling in solid-state is done by devices based on Bi2We3 coolers. They are used extensively in microelectronic and optoelectronic devices for cooling and for temperature stabilization [46]. Polycrystalline SiGe alloys are also used as thermoelectric materials. It has been shown recently that thermoelectric coolers fabricated using Si/Ge superlattices show very good performance. Interested readers may read papers by Koga et al. and by Fan et al. [127, 85, 45, 46] and earlier references given in these papers. Several other devices based on SiGe technology are discussed in the first edition of this book [1]. Notable among them are Bipolar Inversion Channel Field Effect Transistors (BICFETs) and Mixed Tunnelling Avalanche Transit Time (MITATT) diodes.
This Page Intentionally Left Blank
Bibliography [1] S. C. Jain, Germanium-Silicon Strained Layers and Heterostructures, Advances in Electronics and Electron Physics series, (Supplement 24), Editor-in-chief of the series: Peter W. Hawkes, (Academic Press, Boston 1994). [2] F. Ben Zid, A. Bhouri, H. Mejri, R. Tlili, M. Said, J.-L. Lazzari, F. Arnaud d'Avitaya, and J. Derrien, Stark effect modeling in strained ntype Si/Sil_xGex resonant tunneling heterostructures, J. Appl. Phys. 91, 9170-6 (2002). [3] T. Tezuka, N. Sugiyama, S. Tal~gi, and T. Kawakubo, Dislocation-free formation of relaxed SiGe-on-insulator layers, Appl. Phys. Lett. 80, 3560-2
(2oo2). [4] Tomohisa Mizuno, Naoharu Sugiyama, Tsutomu Tezuka, and Shin-ichi Tal~gi, Relaxed SiGe-on-insulator substrates without thick SiGe buffer layers, Appl. Phys. Lett. 80, 601-3 (2002). [5] T. Ngai, X. Chen, J. Chen, and S. K. Banerjee, Improving SiO2/SiGe interface of SiGe p-metal-oxide-silicon field-effect transistors using water vapor annealing, Appl. Phys. Lett. 80, 1773-5 (2002). [6] I. V. Altukhov, E. G. Chirkova, V. P. Sinis, M. S. Kagan, Yu. P. Gousev, S. G. Thomas K. L. Wang, M. A. Odnoblyudov, and I. N. Yassievich, Towards Sil_xGex quantum-well resonant-state terahertz laser, Appl. Phys. Lett. 79, 3909-11 (2001). [7] Hans von K~nel, Matthias Kummer, Giovanni Isella, Elisabeth Miiller, and Thomas Hackbarth, Very high hole mobilities in modulation-doped Ge quantum wells grown by low-energy plasma enhanced chemical vapor deposition, Appl. Phys. Lett. 80, 2922-4 (2002). [8] T. Fromherz, W. Mac, A. Hesse, G. Bauer, C. Miesner, K. Brunner, and G. Abstreiter, Intraband absorption and photocurrent spectroscopy of selfassembled p-type Si/SiGe quantum dots, Appl. Phys. Lett. 80, 2093-5 (2002). 243
244
BIBLIOGRAPHY
[9] E. Tevaarwerk, P. Rugheimer, O. M. Castellini, D. G. Keppel, S. T. Utley, D. E. Savage, M. G. Lagally, and M. A. Eriksson, Electrically isolated SiGe quantum dots, Appl. Phys. Lett. 80, 4526-8 (2002). [10] B. Li and A.-J. Chua, Reflection type optical waveguide switch with bowtie electrode, J. Lightwave Technology 20, 65-70 (2002). [11] Paul A, Cain, Haroon Ahmed, and David A. Williams, Hole transport in coupled SiGe quantum dots for quantum computation, J. Appl. Phys. 92, 346-50 (2002). [12] F. Gs P. Cartujo-Cassinello, J. B. Rolds and F. Jim~nez-Molinos, Electron transport in strained Si inversion layers grown on SiGe-oninsulator substrates, J. Appl. Phys. 92, 288-95 (2002). [13] M. Cazayous, J. Groenen, F. Demangeot, R. Sirvin, M. Caumont, T. Remmele, M. Albrecht, S. Christiansen, M. Becker, and H. P. Strunk, Strain and composition in self-assembled SiGe islands by Raman spectroscopy, J. Appl. Phys. 91, 6772-4 (2002). [14] Cheng Li, C. J. Huang, Buwen Cheng, Yuhua Zuo, Liping Luo, Jinzhong Yu, and Qiming Wang, SiGe/Si resonant-cavity-enhanced photodetectors for 1.3 #m operation fabricated using wafer bonding techniques, J. Appl. Phys. 92, 1718-20 (2002). [15] T. Roch, M. Meduiia, J. Stangl, A. Hesse, R. T. Lechner, G. Bauer, G. Dehlinger, L. Diehl, U. Gennser, E. Miiller, and D. Griitzmacher,, Interface roughness in SiGe quantum-cascade structures from x-ray reflectivity studies, J. Appl. Phys. 91, 8974-8 (2002). [16] K. Rim et al. Mobility enhancement in strained Si NMOSFETs with HfO2 gate dielectrics, IEEE 2002 Symposium on VLSI technology, Digest of technical papers, 12-13 (2002). [17] Chung-Wei Lin, Shih-Yen Lin, Si-Chen Lee, and Chih-Ta Chia, Structural and optical properties of silicon-germanium alloy nanoparticles, J. Appl. Phys. 91, 2322-5 (2002).. [18] Yee-Chia Yeo, Vivek Subramanian, Jakub Kedzierski, Peiqi Xuan, TsuJae King, Jeffrey Bokor, and Chenming Hu, Design and fabrication of 50-nm thin-body p-MOSFETs with a SiGe heterostructure channel, IEEE Trans. Electron Devices 49, 279-86 (2002). [19] S. Zhang, G. Niu, John D. Cressler, Alvin J. Joseph, Greg Freeman, and David L. Harame, The Effects of Geometrical Scaling on the Frequency Response and Noise Performance of SiGe HBTs, IEEE Trans. Electron Devices 49, 429-35 (2002).
BIBLIOGRAPHY
245
[20] S. C. Jain, W. Schoenmaker, R. Lindsay, P. A. Stolk, S. Decoutere, M. Willander, and H. E. Maps, Transient Enhanced Diffusion of boron in Si, J. hppl. Phys. 91, 1-23 (2002). [21] T. Mizuno, N. Sugiyama, T. Tezuka, and Shin-Ichi Takagi, Novel SOI p-channel MOSFETs with higher strain in Si channel using double SiGe heterostructure, IEEE Trans. Electron Devices 49, 7-14 (2002). [22] Katsuyoshi Washio, Eiji Ohue, Hiromi Shimamoto, Katsuya Oda, Reiko Hayami, Yukihiro Kiyota, Masamichi Tanabe, Masao Kondo, Takashi Hashimoto, and Takashi Harada, A 0.2 #m 180-GHz-fm~x 6.7-ps-ECL SOI/HRS Self-Aligned SEG SiGe HBT/CMOS Technology for Microwave and High-Speed Digital Applications, IEEE Trans. Electron Devices 49, 271-8 (2002). [23] Francisco Gs Juan B. Roldn, Hans Kosina, and Tibor Grasser, Improving Strained-Si on Sil_xGez Deep Submicron MOSFETs Performance by Means of a Stepped Doping Profile, IEEE Trans. Electron Devices 48, 1878-84 (2001).
[24] Takashi Uchino, Akihiro Miyauchi, and Takeo Shiba, MOSFETs with U1trashallow Junction and Minimum Drain Area Formed by Using SolidPhase Diffusion from SiGe,IEEE Trans. Electron Devices 48, 1406-11 (2001).
[25] Yoshiyuki Suda and Hajime Koyama, Electron resonant tunneling with a high peak-to-valley ratio at room temperature in Sil_xGex/Si triple barrier diodes, Appl. Phys. Lett. 79, 2273-5 (2001). [26] D. J. Paul et al. Si/SiGe electron resonant tunneling diodes with graded spacer wall, Appl. Phys. Lett. 78, 4184-6 (2001). [27] D. Krapf, B. Adoram, J. Shappir, A. Ss S. G. Thomas, J. L. Liu, and K. L. Wang, Infrared multispectral detection using Si/Sil_xGex quantum well infrared photodetectors, Appl. Phys. Lett. 78, 495-7 (2001). [28] T. Ngai et al. Transconductance improvement in surface-channel SiGe p-metal-oxide-silicon field-effect transistors using a ZrO2 gate dielectric, Appl. Phys. Lett. 78, 3085-7 (2001). [29] Shiming Zhang, Guofu Niu, John D. Cressler, Hans-Joerg Osten, Dieter Knoll, Cheryl J. Marshall, Paul W. Marshall, Hak S. Kim, and Robert A. Reed, The Effects of Proton Irradiation on SiGe:C HBTs, IEEE Trans. Electron Devices 48, 2233-7 (2001). [30] Z. Jin, G. Niu, John D. Cressler, Cheryl J. Marshall, Paul W. Marshall, Hak S. Kim, Robert A. Reed, and David L. Harame, 1/f Noise in ProtonIrradiated SiGe HBTs, IEEE Trans. Nuclear Science 48, 2244-9 (2001).
246
BIBLIOGRAPHY
[31] G. Niu, J. D. Cressler, Z. Jin, S. Zhang, J. B. Juraver, M. Borgarino, R. Plana, and O. Llopis, Transistor noise in SiGe HBT RF technology, Proc. IEEE BCTM, 2000, pp. 207-210. [32] G. Niu, Z. Jin, John D. Cressler, R. Rapeta, Alvin J. Joseph, and David Harame, Transistor Noise in SiGe HBT RF Technology, IEEE J. SolidState Circuits 36, 1424-7 (2001). [33] G. Niu, Q. Liang, John D. Cressler, Charles S. Webster, and David L. Harame, RF Linearity Characteristics of SiGe HBTs, IEEE Trans. Microwave Theory and Techniques 49, 1558-65 (2001). [34] G. Niu, John D. Cressler, S. Zhang, William E. Ansley, Charles S. Webster, and David L. Harame, A Unified Approach to RF and Microwave Noise Parameter Modeling in Bipolar Transistors, IEEE Trans. Electron Devices 48, 2568-74 (2001).
[35] M. Enciso, F. Aniel, P. Crozat, R. Adde, M. Zener, A. Fox, and T. Hackbarth, 0.3 dB minimum noise figure at 2.5 GHz of 0.13 #m Si/Si0.58Ge0.42 n-MODFETs, Electronics Lett. 37, 1089-90 (2001).
[36] David L. Harame, David C. Ahlgren, Douglas D. Coolbaugh, James S. Dunn, Gregory G. Freeman, John D. Gillis, Robert A. Groves, Gregory N. Hendersen, Robb A. Johnson, Alvin J. Joseph, Seshardi Subbanna, Alan M. Victor, Kimball M. Watson, Charles S. Webster, and Peter J. Zampard, Current Status and Future Trends of SiGe BiCMOS Technology, IEEE Trans. Electron Devices 48, 2575-94 (2001). [37] Sbastien Jouan, Hlne Baudry, Didier Dutartre, Cyril Fellous, Michel Laurens, Damien Lenoble, Michel Marty, Augustin Monroy, Andr Perrotin, Pascal Ribot, Gilbert Vincent, and Alain Chantre, Suppression of Boron Transient-Enhanced Diffusion in SiGe HBTs by a Buried Carbon Layer, IEEE Trans. Electron Devices 48, 1765-9 (2001). [38] Akio Shima, Tomoko Jinbo, Nobuyoshi Natsuaki, Jiro Ushio, J.-H. Oh, Kanta Ono, and Masaharu Oshima, A model for the segregation and pileup of boron at the SiO2/Si interface during the formation of ultrashallow p+ junctions, J. Appl. Phys. 89, 3458-63 (2001). [39] Zhi-Yuan-Cheng et al. Electron mobility enhancement in strained-Si nMOSFETs fabricated on SiGe-on-insulator (SGOI) substrates, IEEE Electron Device Lett. 22, 321-3 (2001). [40] M. J. Palmer, G. Braithwaite, T. J. Grasby, P. J. Phillips, M. J. Prest, E. H. C. Parker, T. E. Whall, C. P. Parry, A. M. Waite A. G. R. Evans, S. Roy, J. R. Watling, S. Kaya, and A. Asenov, Effective mobilities in pseudomorphic Si/SiGe/Si p-channel metal-oxide-semiconductor fieldeffect transistors with thin silicon capping layers, Appl. Phys. Lett. 78, 1424-6 (2001).
BIBLIOGRAPHY
247
[41] J. M. Anteney, G. J. Parker, P. Ashburn, and H. A. Kemhadjian, The role of carbon on the electrical properties of polycrystalline Sil_yCy and Si0.s2-yGe0.1sC~ films, J. Appl. Phys. 90, 6182-9 (2001). [42] T. Mizuno, N. Sugiyama, A. Kurobe, and Shin-Ichi Takagi, Advanced SOI p-MOSFETs with Strained-Si Channel on SiGe-on-Insulator Substrate Fabricated by SIMOX Technology, IEEE Trans. Electron Devices 48, 1612-18 (2001). [43] N. Lukyanchikova, N. Garbar, M. Petrichuk, J. F. W. Schiz, and P. Ashburn, The Influence of BF2 and F Implants on the 1/f Noise in SiGe HBTs with a Self-Aligned Link Base, IEEE Trans. Electron Devices 48, 2808-15 (2001). [44] Adam R. Reid, Todd C. Kleckner, Mike K. Jackson, David Marchesan, Stephen J. Kovacic, and John R. Long, Thermal Resistance in TrenchIsolated Si/SiGe Heterojunction Bipolar Transistors, IEEE Trans. Electron Devices 48, 1477-79 (2001). [45] X. Fan, G. Zeng, E. Croke, C. LaBounty, C. C. Ahn, D. Vashaee, A. Shakouri, and J. E. Bowers, High cooling power SiGe/Si microcoolers, Electron. Lett. 37, 126-7 (2001). [46] X. Fan, G. Zeng, C. LaBounty, J. E. Bowers, E. Croke, C. C. Alan, S. Huxtable, A. Majumdar, and A. Shakouri, SiGeC/Si superlattice microcoolers, Appl. Phys. Lett. 78, 1580-2 (2001). [47] Katsuyoshi Washio, Masao Kondo, Eiji Ohue, Katsuya Oda, Reiko Hayami, Masamichi Tanabe, Hiromi Shimamoto, and Tak~shi Harada, A 0.2-#m Self-Aligned Selective-Epitaxial-Growth SiGe HBT Featuring 107GHz fm~ and 6.7-ps ECL, Trans. Electron Devices 48, 1989-94 (2001). [48] Katsuyoshi Washio, Eiji Ohue, Katsuya Oda, Reiko Hayami, Masamichi Tanabe,and Hiromi Shimamoto, A 50-GHz Static Frequency Divider and 40-Gb/s MUX/DEMUX Using Self-Aligned Selective-Epitaxial-Growth SiGe HBTs with 8-ps ECL, IEEE Electron Device Lett. 48, 1482-7 (2001). [49] H-J. Huang, K-M. Chen, T.-Y. Huang, T-S. Chao, Guo-Wei Huang, ChaoHsin Chien, and Chun-Yen Chang, Improved Low Temperature Characteristics of P-Channel MOSFETs with Sil_~Ge~ Raised Source and Drain, IEEE Trans. Electron Devices 48, 1627-32 (2001). [50] L. J. Huang et al SiGe-on-insulator prepared by wafer bonding and layer transfer for high-performance field-effect transistors, Appl. Phys. Lett. 78, 1267-9 (2001). [51] H-J. Huang, K-M. Chen, Chun-Yen Chang, T-S. Chao, and T.-Y. Huang, Electrical properties of p+-n junction using boron-doped Sil_~Ge~ layer deposited by ultrahigh vacuum chemical molecular epitaxy, J. Appl. Phys. 89, 5133-37 (2001).
248
BIBLIOGRAPHY
[52] Robert J. P. Lander, Youri V. Ponomarev, Jurgen G. M. van Berkum, and Wiebe B.de Boer, High Hole Mobilities in Fully-Strained Sil_~Gex Layers (0.3 < x < 0.4) and their Significance for SiGe pMOSFET Performance, IEEE Trans. Electron Devices 48, 1826-32 (2001). [53] F. Hirose, Y. Souda, K. Nal~no, S. Goya, T. Nishimori, and S. Okumura, New SiGe Bipolar Transistors and p-i-n Diodes for Power Switching, IEEE Trans. Electron Devices 48, 2417-20 (2001). [54] S. Yamaguchi, N. Sugii, S. K. Park, K. Nakagawa, and M. Miyao, Solidphase crystallization of Sil_~Ge~ alloy layers, J. Appl. Phys. 89, 2091-5 (2001). [55] A. Rodrfguez, T. Rodrlguez, J. Olivares, J. Sangrador, P. Marfn, O. Martfnez, J. J im~nez, and C. Ballesteros, Nucleation site location and its influence on the microstructure of solid-phase crystallised SiGe films, J. Appl. Phys. 90, 2544-52 (2001). [56] Nobuyuki Sugii, Thermal stability of the strained-Si0.TGe0.3 heterostructure, J. Appl. Phys. 89, 6459-63 (2001). [57] Minjoo L. Lee, C. W. Leitz, Z. Cheng, A. J. Pitera, T. Langdo, M. T. Currie, G. Taraschi, E. A. Fitzgerald, and Dimitri A. Antoniadis, Strained Ge channel p-type metal-oxide-semiconductor field-effect transistors grown on Sil_xGe~/Si virtual substrates, Appl. Phys. Lett. 79, 3344-6 (2001). [58] Akira Sakai, Yuji Torige, Masahisa Okada, Hiroya Ikeda, Yukio Yasuda and Shigeaki Zaima, Atomistic evolution of Sil_~_uGexCu thin films on Si(001) surfaces, Appl. Phys. Lett. 79, 3242-4 (2001). [59] E. Finkman, F. Meyer and M. Mamor, Short-range order and strain in SiGeC alloys probed by phonons, J. Appl. Phys. 89, 2580-7 (2001). [60] Veerle Meyssen, Peter Stolk, Jeroen van Zijl, Jurgen van Berkum, Willem van de Wijgert, Richard Lindsay, Charles Dachs, Giovanni Mannino, and Nick Cowern, Mat. Res. Soc. Symp. Proc. Vol. 669 J3.5 (2001). [61] T. C. Wang,Y. W. Zhang and S. J. Chua, Dislocation dynamics of strain relaxation in epitaxial layers, J. Appl. Phys. 89, 6069-72 (2001). [62] S. C. Jain, S. Decoutere, M. Willander and H. E. Maes, SiGe HBTs for BiCMOS applications (a two part-review), I. Stability, reliability and material parameters, Semicond. Sc. Technol. 16, R51-R65 (2001). [63] S. C. Jain, S. Decoutere, M. Willander, and H. E. Maes, SiGe HBT for application in BiCMOS technology: II. Design, technology and performance, Semicond. Sci. Technol. 16, R67-R85 (2001).
BIBLIOGRAPHY
249
[64]
S. C. Jain, A. Mehra, S. Decoutere, W. Schoenmaker, and M. Willander, Material Parameters for Analytical and NumericM Modelling of Si and Strained SiGe Heterostructure Devices, MRS Symp. Proc. Vol 677 (2001), pp AA4.24.1-6.
[65]
Xiangdong Chen, Kou-Chen Liu, Sanlmran Kartik J ayanarayanan, and Sanjay Banerjee, Electron mobility enhancement in strained SiGe verticM n-type metM-oxide-semiconductor field-effect transistors, Appl. Phys. Lett. 78, 377-9 (2001).
[66]
H. H. Lin, S. L. Cheng, L. J. Chen, Chih Chen, and K. N. Tu, Enhanced dopant activation and elimination of end-of-range defects in BF2implanted silicon-on-insulator by high-density current, Appl. Phys. Lett. 79, 3971-3 (200I).
[67]
Akira Salmi, Ken Sugimoto, Takeo Yamamoto, Masahisa Olmda, Hiroya Ikeda, Yukio Yasuda, and Shigeaki Zaima, Reduction of threading dislocation density in SiGe layers on Si (001) using a two-step strain-relaxation procedure, Appl. Phys. Lett. 79, 3398-400 (2001).
[68]
Julie L. Ngau, Peter B. Griffin, and James D. Plummer, Modelling the suppression of boron transient enhanced diffusion in silicon by substitutional carbon incorporation, J. Appl. Phys. 90, 1768-78 (2001).
[69]
C. Menon, A. Bentzen, and H. H. Radamson, Loading effect in SiGe layers grown by dichlorosilane and silane-based epitaxy, J. Appl. Phys. 90, 48059 (2001).
[70]
S. Pal and S. K. Ray, B. R. Chakraborty, S. K. Lahiri, and D. N. Bose, Gd203, Ga203(Gd203), Y203, and Ga203, as high- k gate dielectrics on SiGe: A comparative study, J. Appl. Phys. 90, 4103-7 (2001).
[71] U. KSnig, n-type and p-type hetero field effect transistors with Si and SiGe or Ge channels, in [100], pp 319-30.
[72]
Y. H. Luo, J. Wan, R. L. Forrest, J. L. Liu, M. S. Goorsky, and K. L. Wang, High-quMity strain-relaxed SiGe films grown with low temperature Si buffer, J. Appl. Phys. 89, 8279-83 (2001).
[73]
Y. H. Luo, J. L. Liu, G. Jin, K. L. Wang, G. D. Moore, M. S. Goorsky, C. Chih, and K. N. Tu, Effective compliant substrate for low-dislocation density relaxed SiGe growth, Appl. Phys. Lett. 78, 1219-21 (2001).
[74]
V. Aubry-Fortuna, M. Barthula, G. Tremblay, F. Meyer, P. Warren, and K. Lyutovitch, Fermi level position at metM Sil-x-u Ge, C u interfaces, J. Appl. Phys. 89, 5533-42 (2001).
[75]
H. Kim, G. Glass, P. Desjardins, and J. E. Greene, Ultra-highly doped Sia_xGex (001):B gas-source molecular-beam epitaxy: Boron surface segregation and its effect on film growth kinetics, J. Appl. Phys. 89, 194-205 (2001).
250
BIBLIOGRAPHY
[76] C.W. Leitz, M. T. Currie, A. Y. Kim, J. Lai, E. Robbins, E. A. Fitzgerald, and M. T. Bulsara, Dislocation glide and blocking kinetics in compositionally graded SiGe/Si, J. Appl. Phys. 90, 2730-6 (2001). [77] C.W. Leitz, M. T. Currie, E. A. Fitzgerald, Hole mobility enhancement in strained Si/Sil_uG% p-type metal-oxide-semiconductor field-effect transistors grown on relaxed Sil_xGex (x < y) virtual substrates, Appl. Phys. Lett. 25, 4246-8 (2001). [78] H. Rho, Howard E. Jackson, and B. L. Weiss, Mapping of local stress distributions in SiGe/Si optical channel waveguide, J. Appl. Phys. 90, 276-82 (2001). [79] E. Thor, M. Miihlberger, L. Palmetshofer, and F. Schiiffier, Deep-level transient spectroscopy of dislocation-related defects in epitaxial multilayer structures, J. Appl. Phys. 90, 2252-6 (2001). [80] G. Mannino, S. Whelan, E. Schroer, V. Privitera, P. Leveque, B. G. Svensson, and E. Napolitani, An investigation on the modelling of transient enhanced diffusion of ultralow energy implanted boron in silicon, J. Appl. Phys. 89, 5381-5 (2001). [81] G. Mannino, P. A. Stolk, N. E. B. Cowern, W. B. de Boer, A. G. Dirks, F. Roozeboom, J. G. M. van Berkum, P. H. Woerlee, and N. N. Toan, Effect of heating ramp rates on transient enhanced diffusion in ion-implanted silicon, Appl. Phys. Lett. 78, 889-91 (2001). [82] S. J. Jeng, B. Jagannathan, J.-S. Rich, J. Johnson, K. T. Schonenberg, D. Greenberg, A. Stricker, H. Chen, M. Khater, D. Ahlgren, G. Freeman, K. Stein, and S. Subbanna, A 210-GHz fW SiGe HBT with a Non-Self-Aligned structure IEEE Electron Device Lett. 22, 542-4 (2001). [83] H. J. Osten, G. Lippert, J. P. Liu, and D. Kriiger, Influence of carbon incorporation on dopant surface segregation in molecular-beam epitaxial growth of silicon, Appl. Phys. Lett. 77, 2000-2 (2000). [84] G. Dehlinger, L. Diehl, U. Gennser, H. Sigg, J. Faist, K. Ensslin, D. Gr"utzmacher, and E. Miiller, Intersubband electroluminescence from silicon-based quantum cascade structures, Science 290, 2277-9 (2000). [85] T. Koga, S. B. Cronin, M. S. Dresselhaus, J. L. Liu and K. L. Wang, Experimental proof-of-principle investigation of enhanced Z3DT in (001) oriented Si/Ge superlattices, Appl. Phys. Lett. 77, 1490-2 (2000). [86] L. K. Bera, W. K. Choi, W. Feng, C. Y. Yang, and J. Mi, Electrical properties of rapid thermal oxides on Sia_x_yGexCu films, Appl. Phys. Lett. 77, 256-8 (2000).
BIBLIOGRAPHY
251
[87] C. Li, Q. Yang, H. Wang, J. Zhu, L. Luo, J. Yu, Q. Wang, Y. Li, J. Zhou, and C. Lin, Sil_xGex/Si resonant-cavity-enhanced photodetectors with a silicon-on-oxide reflector operating near 1.3 pm, Appl. Phys. Lett. 77, 157-9 (2000). [88] 5 C. Li, Q. Yang, H. Wang, J. Yu, and Q. Wang, Back-incident SiGe-Si multiple quantum-well resonant-cavity-enhanced photodetectors for 1.3#m operation, IEEE Photonics Technol. Lett. 12, 1373-5 (2000). [89] M. Jutzi and M. Berroth, SiGe based photodetectors for optical communication applications, in Ref. [100], pp 342-48. [90] R. Duschl, O. G. Schmidt, and K. Eberl, Electronic properties of SiGeC alloys, in Ref. [100], pp 158-77. [91] Y.-C. Yeo, Q. Lu, T.-J. King, C. Hu, T. Kawashima, M. Oishi, S. Mashiro, and J. Sakai, Enhanced performance in sub-100 nm CMOSFET's using strained epitaxial silicon-germanium, in IEDM Tech. Dig.2000, 753-756 (2000). [92] J. Imbornone, J.-M. Mourant, and T. L. Tewksbury, Fully differen-tial dual-band image reject receiver in SiGe BiCMOS, in IEEE RFIC Symp., June 2000. [93] G. Niu, S. Zhang, John D. Cressler, Alvin J. Joseph, John S. Fairbanks, Lawrence E. Larson, Charles S. Webster, William E. Ansley, and David L. Harame, Member, Noise Modeling and SiGe Profile Design Tradeoffs for RF Applications, IEEE TRANSACTIONS ON ELECTRON DEVICES, 47, 203%44 (2000). [94] F. Aniel et al Low Temperature analysis of 0.25#m SiGe n-MODFET, IEEE Trans. Electron Devices 47, 1447-83 (2000). [95] Y.M. Haddara, B.T. Falmer, M.E. Law and T. Buyuklimanli, Accurate measurements of the intrinsic diffusivities of boron and phosphorous in silicon, Appl. Phys. Lett. 77, 1976-8 (2000). [96] R. Hull, Metastable strained layer configurations in the SiGe/Si system, in Ref. [100], pp21-41. [97] P. A. Stolk, F. N. Cubaynes, V. M. H. Meyssen, G. Mannino, N. E. B. Cowern, J. P. van Zijl, F. Roozeboom, and J. F. C. Verhoeven, Ultra shallow junction formation and gate activation in deep-submicron CMOS, Mat. Res. Soc. Symp. 610, pp B3.1.1-12 (2000). [98] F. Schiiffier, Electron and hole mobilities in Si/SiGe heterostructures, in Ref. [100], pp 196-209. [99] T. P. Pearsall, Strain symmetrisation for ultrathin SiGe superlattices, in Ref. [100]. pp 331-41.
252
BIBLIOGRAPHY
[100] E. Kasper and K. Lyutovich Editors, Properties of Silicon Germanium and SiGe:Carbon, emis Datareviews series No. 24, INSPEC, IEE London (2000).
[101] G. HoSck, E. Kohn, C. Rosenblad, H. von Ka~nel, H.-J. Herzog, and U. KSnig, High hole mobility in Si0.17Ge0.s3 channel metal-oxidesemiconductor field-effect transistors grown by plasma-enhanced chemical vapor deposition, Appl. Phys. Lett. 76, 3920-2 (2000).
[102] S. Ghannavaram, N. Pesovic, and M. C. Oztiirk, Low temperature (_< 800~ recessed junction selective silicon-germanium source/drain technology for sub-70 nm CMOS, IEEE IEDM00 Technical Digest, pp 437-40 (2000)
[103] T. Asano, T. Nakao, H. Matada, T. Tambo, H. Ueba, and C. Tatsuyama, Structural characterization of Sil_~Ge~ alloy layers grown by molecular beam epitaxy on Si (001) substrates, J. Appl. Phys. 87, 8759-65 (2000). [104] H. Trinkaus, B. Hollander, St. Rongen, S. Mantl, H.-J. Herzog, J. Kuchenbecker, and T. Hackbarth, Strain relaxation mechanism for hydrogenimplanted Sil_~Ge~ alloy/Si (100) heterostructures, Appl. Phys. Lett. 76,
3552-4 (2000). [105] W. K. Choi, J. H. Chen, L. K. Bera, W. Feng, K. L. Pey, J. Mi, C. Y. Yang, A. Ramam, S. J. Chua, J. S. Pan, A. T. S. Wee and R. Liu, Structural characterization of rapid thermal oxidized Sil_~_yGe~Cy alloy films grown by rapid thermal chemical vapor deposition, Appl. Phys. Lett. 87, 192-7 (2000). [106] V. Privitera, E. Schroer, F. Priolo, E. Napolitani, and A. Camera, Electrical behaviour of ultra-low energy implanted boron in silicon, J. Appl. Phys. 88, 1299-306 (2000).
[lO7] Masashi Uematsu, Diffusion Simulation of Ultra-Low-Energy Implanted Boron in Silicon, Jpn. J. Appl. Phys. 39, Part 2, No. 9A/B, L895-L897
(2000). [1081 H.-J. Gossmann, C. S. Rafferty, and P. Keys, Junction for deep sub-100 nm MOS: How far will ion implantation take us? Mat. Res. Soc. Symp. 610, B1.2.1-2.9 (2000).
[lO9] A. Agarwal, Ultra-shallow junctions formation using conventional ion implantation and rapid thermal annealing, IEEE Ion Implantation Technology Symposium (Austria 2000), pp 293-9; A. Agarwal, H.-J. Gossmann, A. T. Fiory, V. C. Venezia, and D. C. Jacobson, ECS PV 2000-9, 49 (2000).
[1 0] R. Lindsay, A. Lauwers, J. Friihauf, M. de Potter, and K. Maex, Effect of implant oxide on ultra-shallow junction formation, US J2001 proceedings, 2001, pp 255-260, also submitted to JVS.
BIBLIOGRAPHY
253
[111] R. Lindsay, private communication. [112] T.-S. Wang, A. G. Cullis, E. J. H. Collart, A. J. Murrell, and M. A. Foad, Elemental B distributions and clustering in low-energy B + ion-implanted Si, Appl. Phys. Lett. 77, 3586-8 (2000). [113] S. Solmi, M. Bersani, M. Sbetti, J. Lundsgaard Hansen and A. Nylandsted Larsen, Boron-interstitial silicon clusters and their effects on transient enhanced diffusion of boron in silicon, J. Appl. Phys. 88, 4547-52 (2000). [114] B. Heinemann, R. Barth, D. Bolze, K.-E. Ehwald, D. Knoll, D. Kruger, R. Kurps H. Rficker, P. Schley, B. Tillack, and W. Wolansky, Latchup immunity and well profile design by a deep carbon-doped layer, IEEE IEDM00 Technical Digest, pp 471-4 (2000). [115] R. Shivaram, G. Niu, J. D. Cressler, and E. T. Croke, The effect of carbon content on the minority carrier lifetime in lattice-matched p + - S i / p S i G e C / n - Si/n+-Si diodes, Solid State Electronics 44, 559-63 (2000). [116] H. Rficker, and B. Heinemann, Tailoring dopant diffusion for advanced SiGe:C heterojunction bipolar transistors, Solid-State Electron. 44, 783-9 (2000). [117] M. S. Carrol, and J. C. Sturm, Quantitative measurement of interstitial flux and surface supersaturation during oxidation of silicon, Mat. Res. Soc. Syrup. Vol.610, pp B4.10.1-6 (2000) [1181 Mark E. Law, Michelle Griglione, and M. Northridge, Influence of carbon on the diffusion of interstitials and boron in silicon, Mat. Res. Soc. Symp. Vol.610, pp B7.4.1-5 (2000) [119] J. P. Liu, and H. J. Osten, Substitutional carbon incorporation during Sil_x_uGe~Cy growth on Si (100) by molecular-beam epitaxy: Dependence on germanium and carbon, Appl. Phys. Lett. 76, 3546-8 (2000). [120] Michelle Griglione, Timothy J. Anderson, Yaser M. Haddara, Mark E. Law, Kevin S. Jones, and Alex van den Boggard, Diffusion of Ge in Sil_xGx/Si single quantum wells in inert and oxidising ambients, J. Appl. Phys. 88, 1366-72 (2000). [121] Y. Kanzawa, K. Nozawa, T. Saitoh, and M. Kubo, Dependence of substitutional C incorporation on Ge content for Sil_x_yGexCy crystals grown by ultrahigh vacuum chemical vapor deposition, Appl. Phys. Lett. 77, 3962-4 (2000). [122] J. M. Anteney, G. J. Parker, P. Ashburn, and H. A. Kemhadjian, Electrical properties of in situ phosphorus- and boron-doped polycrystalline SiGeC films, Appl. Phys. Lett. 77, 561-3 (2000).
254
BIBLIOGRAPHY
[123] J. Dunn, D. L. Harame, A. Joseph, N. Feilchenfield, K. Watson, S. Subbanna, G. Freeman, S. Voldman, D. Ahlgren, and R. Johnson, Trends in silicon germanium BiCMOS integration and reliability, IEEE 38th Annual International Reliability Physics Symposium, San Jose, CA., 237-42 (2000). [124] S. C. Jain, S. Decoutere and H. E. Maes, unpublished. [125] S. C. Jain, M. Willander, and R. Van Overstraeten, Compound Semiconductors Strained Layers and Devices, (Electronic Materials Series) Kluwer Academic Publishers Boston, 2000. [126] M. Caymax and S. Decoutere, unpublished. [127] T. Koga, X. Sun, S. B. Cronin, and M. S. Dresselhaus, Carrier pocket engineering applied to "strained" Si/Ge superlattices to design useful thermoelectric materials, Appl. Phys. Lett. 75, 2438-40 (1999). [128] D. V. Singh et al J. Appl. Phys. 85, 978-84 (1999); D. V. Singh et al J. Appl. Phys. 85, 985-93 (1999). [129] L. Wu et al Theoretical study of valence-band offsets of strained Si/Sil_~_~GexCy/Si(O01) heterostructures, J. Appl. Phys. 86, 4473-6 (1999). [130] S. John, S. K. Ray, E. Quinones, S. K. Oswal, and S. K. Banerjee, Heterostructure P-channel metal-oxide-semiconductor transistor utilizing a Sil_~_yG%Cy channel, Appl. Phys. Lett. 74, 847-9 (1999). [131] U. KSnig et al. n- and p-type SiGe HFETs and circuits, Solid State Electronics 43, 1383-8 (1999). [132] A. Gruhle, H. Kibbel, A. Schurr, D. Behammer, and U. KSnig, SiGe heterostructure bipolar transistors with 156 GHz transit frequency, Electrochemical Soc. Proc. 99-17, 198-200 (1999). [133] H. J. Osten, D. Knoll, B. Heinemann, H. Rficker, B. and Tillack, Carbon doped SiGe Heterojunction Bipolar Transistors for high frequency applications, IEEE IEDM99 Technical Digest, pp 109-16 (1999). [134] K. J. Grahn, Y. Fu, and M. Willander, Hole mobility and diffusion coefficient in strained and relaxed doped GexSil_x alloys, Physica Scripta T79, 174-8 (1999). [135] B. M. M. McGregor, R. J. P. Lander, P. J. Phillips, E. H. C. Parker, and T. E. Whall, Temperature-dependent Hall scattering factor and drift mobility in remotely doped Si:B/SiGe/Si heterostructures [136] C. L. Chang and J. C. Sturm, Suppression of boron penetration by polycrystalline Sil_x_yGexCy in metal-oxide-semiconductor structures, Appl. Phys. Lett. 74, 2501-3 (1999).
BIBLIOGRAPHY
255
[137] H. Kurata, K. Suzuki, T. Futatsugi, and N. Yokoyama, Shallow pp-type SiGeC layers synthesized by ion implantation of Ge, C, and B in Si, Appl. Phys. Lett. 75, 1568-70 (1999). [138] R. A. Metzger, Silicon Germanium as a commercial technology, Compound Semiconductor March 1999 p 36; R. Dixon, Silicon Germanium, Compound Semiconductor January/February 2000, p 72. [139] X. Deng and M. Krishnamurthy, Role of surface instability and anisotrow in strain relaxation of epitaxial SiGe on Si(110), J. Appl. Phys. 85, 7689-93 (1999). [140] R. Duschl, O. G. Schmidt, W. Winter, K. Eberl, M. W. Dashiell, J. Kolodzey, N. Y. Jin-Phillipp and F. Phillipp, Growth and thermal stability of pseudomorphic Gel_yCy/Ge superlattices on Ge(001), Appl. Phys. Lett. 74, 1150-2 (1999). [141] Hsin-Chiao Luan, Desmond R. Lim, Kevin K. Lee, Kevin M. Chen, Jessica G. Sandland, Kazumi Wada, and Lionel C. Kimerling, High-quality Ge epilayers on Si with low threading-dislocation densities, Appl. Phys. Lett. 75, 2909-11 (1999). [142] C. W. Liu, Y. D. Tseng, M. Y. Chern, C. L. Chang and J. C. Sturm. Thermal stability of Si/Sil_x_yGexCy/Si quantum wells grown by rapid thermal chemical vapor deposition, J. Appl. Phys. 85, 2124-8 (1999). [143] Z. M. Jiang, C. W. Pei, X. F. Zhou, W. R. Jiang, B. Shi, X. H. Liu, Xun Wang, Q. J. Jia, W. L. Zheng, and X. M. Jiang, Study of strain in partially relaxed Ge epilayers on Si(100) substrate, Appl. Phys. Lett. 75, 370-2 (1999). [144] The International Technology Roadmap for Semiconductors (Semiconductor Industries Association of America, (SIA), San Jose, 1999, see 2000 Update), http://www.sematech.org. [145] H. Riicker B. Heinemann, D. Bolze, D. Knoll, D. Kruger, R. Kurps, H. J. Osten, P. Schley, B. Tillack, and P. Zaumseil, Dopant diffusion in Cdoped Si and SiGe: physical model and experimental verification, International Electron Devices Meeting, IEEE IEDM99 Technical Digest, pp 345-8 (1999). [146] C. A. King, M. R. Frei, M. Mastrapasqua, K. K. Ng, Y. O. Kim, R. W. Johnson, S. Moinian, S. Martin, H.-I. Cong, F. P. Klemens, R. Tang, D. Nguyen, T.-I. Hsu, T. Campbell, S. J. Molloy, L. B. Fritzinger, T. G. Ivanov, K. K. Bourdelle, C. Lee, Y.-F. Chyan, M. S. Carroll, and C. W. Leung, Very Low Cost Graded SiGe Base Bipolar Transistors for a High Performance Modular BiCMOS Process, IEDM 99, 565-8 (1999).
256
BIBLIOGRAPHY
[147] G. Freeman, D. Ahlgren, D. R. Greenberg, R. Groves, F. Huang, G. Hugo, B. J agannathan, S. J. Jeng, J. Johnson, K. Schonenberg, K. Stein, R. Volant, and S. Subbanna, A 0.181m 90 GHz fT SiGe HBT BiCMOS, ASICCompatible, Copper Interconnect Technology for RF and Microwave Applications, IEDM 99, 569-72 (1999). [148] W. Klein and B-U. Klepser, "75GHz bipolar production technology for the 21st century", Proceedings ESSDERC 1999, p 88. [149] A. Schuppen, SiGe-HBTs for mobile communication, Electronics 43, 1373-81 (1999).
Solid-State-
[150] Niu Guofu, Shiming Zhang, John D. Cressler, Alvin J. Joseph, John S. Fairbanks, Larry E. Larson, Charles S. Webster, William E. Ansley, and David L. Harame, SiGe Profile Design Trade-offs for RF Circuit Applications, IEDM 1999. [151] H. Riicker, B. Heinemann, D. Bolze, R. Kurps, D. Kruger, G. Lippert, and H. J. Osten, The impact of supersaturated carbon on transient enhanced diffusion, Appl. Phys. Lett. 74, 3377-9 (1999). [152] K. E. Ehwald, D. Knoll, B. Heinemann, K. Chang, J. Kirchgessner, R. Mauntel, I. S. Lim, J. Steele, P. Schley, B. Tillack, A. Wolff, K. Blum, W. Winkler, M. Pierschel, U. Jagdhold, R. Barth, T. Grabolla, H.J. Erzgriiber, B. Hunger and H.J. Osten, Modular Integration of highperformance SiGe:C HBTs in a Deep Submicron, Epi-Free CMOS Process, IEEE IEDM [153] H. Nii, C. Yoshino, S. Yoshitomi, K. Inoh, H. Furuya, H. Nakajima, H. Sugaya, H. Naruse, Y. Katsumata, and H. Iwai, An 0.3- pm Si epitaxial base BiCMOS technology with 37-GHz fmax and 10-V BVceo for RF telecommunication, IEEE-Transactions-on-Electron-Devices 46, 71221 (1999); see also H. Nii, T. Yoshino, K. Inoh, N. Itoh, H. Nakajima, H. Sugaya, H. Naruse, Y. Katsumata, and H. Iwai, 0.3- pm BiCMOS technology for mixed analog/Digital application systems, IEEE BCTM 97, 68-71 (1997).
[154]
Niu Guofu, S. J. Mathew, G. Banerjee, J. D. Cressler, S. D. Clark, M. J. Palmer, and S. Subbanna, Total dose effects on the shallow-trench isolation leakage current characteristics in a 0.35 mu m SiGe BiCMOS technology, IEEE-Transactions-on-Nuclear-Science 46, 1841-7 (1999).
[155] A. J. Joseph, J. D. Cressler, D. Richey, and G. Niu, Optimization of SiGe HBT's for operation at high current densities, IEEE-Transactionson-Electron-Devices 46, 1347-1354 (1999). [156] H. J. Osten, Carbon-containing layers on silicon growth, properties and applications, Trans Tech Publications Ltd, Switzerland (1999).
BIBLIOGRAPHY
257
[157] J. M. Anteney, G. Lippert, P. Ashburn, H. J. Osten, B. Heinemann, G. I. Parker, and D. Knoll, Characterization of effectiveness of carbon incorporation in SiGe for the elimination of parasitic energy barriers in SiGe HBT's, IEEE Trans. Electron Device 20, 116-8 (1999). [158] R. F. Scholz, P. Werner, U. GSsele, and T. Y. Tan, The contribution of vacancies to carbon out-diffusion in silicon, Appl. Phys. Lett. 74, 392-4 (1999). [159] W. Winkler, J. Borngraber, He. Erzgraber, Ha. Erzgraber, B. Heinemann, D. Knoll, H. J. Osten, M. Pierschel, K. Pressel, and P. Schley, Wireless communication integrated circuits with CMOS-compatible SiGe HBT technology modules, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327). IEEE, Piscataway, NJ, USA; pp 351-8 (1999). [160] P. Ribot, S. Jouan, J. L. Regolini, Process stability of SiGe heterostructures for BiCMOS applications, Journal-de-Physique-IV-(Proceedings). vol.9, Sept. 1999; pp 327-32 [161] M. Gliick, U. KSnig, W. Winter, K. Brunner, and K. Eberl, Modulation doped Sil_x_yGexCy p-type hetero-FETs, Physica E2, 768-71 (1998). [162] K. Rim. T. O. Mitchell, J. L. Hoyt, G. Fountain, and J. F. Gibbons, Characteristics of surface-channel strained Sil_yCy n-MOSFETs, MRS Proc. Vol. 533, 43-48 (1998). [163] K. Pinardi, S. C. Jain, H. E. Maes, R. Van Overstraeten, and M. Willander, Effect of elastic constants on the stresses in the stripes and substrates: A 2D FE calculation, Semiconductor Sci. Technol.13, 864-70 (1998). [164] Denelon et al 4 noise parameter determination for transistors based on frequency dependence of noise figure, Electron. Lett. 34, 1612-4 (1998). [165] T. P. Pearsall em et al Temperature dependence of mobility in n-type short-period Si-Ge superlattices, Appl. Phys. Lett. 72, 76-8 (1998). [166] K. C. Liu, and collaborators, Enhanced mobility P-MOSFETs using tensile-strained Sil_~Cy layers, IEEE Electron Device Lett. 20, 338-40 (1999). [167] K. Washio, E. Ohue, K. Oda, M. Tanabe, H. Shimamoto, and T. Onai, 95 GHz ]T self-aligned selective-epitaxial SiGe HBT's with SMI electrodes, presented at the IEEE Int. Solid-State Circuits Conf. (1998); see also K. Washio, E. Ohue, K. Oda, M. Tanabe, H. Shimamoto, and T. Onai, A selective-epitaxial SiGe HBT with SMI electrodes featuring 9.3 ps ECL gate-delay, in Tech. Dig. Int. Electron Device Meeting, 1997, pp. 795-798.
258
BIBLIOGRAPHY
[168] S. Decoutere, R. Kuhn, F. Vleugels, G. Vancuyck, M. Caymax, B. Mohadjeri, and L. Deferm, A 0.35 mu m BiCMOS technology with 50 GHz fmax selective epitaxial base transistors for RF applications, Proceedings of the 1998 Bipolar/BiCMOS Circuits and Technology Meeting 1998. [169] J.D. Cressler, SiGe HBT technology: a new contender for Si-based RF and microwave circuit applications, IEEE-Transactions-on-Microwave-Theoryand-Techniques 46, 572-89 (1998). [170] J. L. Regolini, J. Pejnefors, T. Baffert, C. Morin, P. Ribot, S. Jouan, M. Marty, and A. Chantre, Epitaxial growth of SiGe layers for BiCMOS applications, Materials Science in Semiconductor Processing 1, 317-23 (1998). [171] K. Pinardi, S. C. Jain, M. Willander, A. Atkinson, H. E. Maes, and R. Van Overstraeten, A method to interpret micro-Raman experiments made to measure nonuniform stresses: Application to local oxidation of silicon, J. Appl. Phys. 84, 2507-12 (1998). [172] F. M. Buffer B. Meinerzhagen, G. Fischer, and H. Kibbel, Hole transport investigation in unstrained and strained SiGe, Journal-of-VacuumScience-&-Technology-B- (Microelectronics-and-Nanometer-Structures) 16, 1667-9 (1998). [173] D. C. Ahlgren, G. Hueckel, G. Freeman, K. Walter, R. Groves, T. H. Ting, A. Vayshenker, and E. Hostetter, Device reliability and repeatability of a high performance Si/SiGe HBT BiCMOS technology, ESSDERC'98 Proceedings of the 28th European Solid-State Device Research Conference. Editions Frontieres, Paris, (1998)pp 452-5. [174] F. M. Buffer and B. Meinerzhagen, Hole transport in strained Sil_~Ge~ alloys on Sil_uGeu substrates, Journal-of-Applied-Physics 84, 5597-602 (1998). [175] M. V. Fischetti, and S. E. Laux, Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys, J. Appl. Phys. 80, 2234-52 (1996). [176] R. A. Johnson, M. J. Zierak, K. B. Outama, T. C. Bahn, A. J. Joseph, C. N. Cordero, J. Malinowski, K. A. Bard, T. W. Weeks, R. A. Milliken, T. J. Medve, G. A. May, W. Chong, K. M. Walter, S. L. Tempest, B. B. Chau, M. Boenke, M. W. Nelson, and D. L. Harame, 1.8 million transistor CMOS ASIC fabricated in a SiGe BiCMOS technology, Technical Digest, IEEE International Electron Devices Meeting (1998). [177] P. J. Briggs, A. B. Walker, and D. C. Herbert, Modelling of hole mobilities in heavily doped strained SiGe, Semiconductor-Science-and-Technology 13, 692-9 (1998).
BIBLIOGRAPHY
259
[1781 D. Knoll, B. Heinemann, H. J. Osten, K. E. Ehwald, B. Tillack, P. Schley, R. Barth, M. Matthes, Kwang Soo Park, Young Kim, and W. Winkler, Si/SiGe:C Heterojunction Bipolar Transistors in an Epi-Free Well, SinglePolysilicon Technology, IEDM Technical Digest 78-81 (1998). [179] E. Ohue, K. Oda, R. Hayami and K. Washio, A 7.7-ps CML using selectiveepitaxial SiGe HBTs, Proceedings BCTM, p 97, (1998). [180] U. Seiler, V. Dietrich, and A. Schuppen, Load pull analysis of SiGe power HBTs for mobile communication systems, ESSDERC'98. Proceedings of the 28th European Solid-State Device Research Conference. Editions Frontieres, Paris, France; pp 456-9 (1998). [181] J. Arndt, H. Dietrich, A. Schuppen, and U. Erben, SiGe-key technology for economic solutions in high frequency, GAAS 98. Conference Proceedings. Miller Freeman UK, London, UK; pp 199-204 (1998).
[182] Bart Van Haaren, Myrianne Regis, Olivier Llopis, Laurent Escotte, Andreas Gruhle, Claus Mahner, Robert Plana, and Jacques Graffeuil, LowFrequency Noise Properties of SiGe HBT's and Application to Ultra-Low Phase-Noise Oscillators, IEEE Trans. Microwave Theory Tech, vol. 46, pp. 647-652, May (1998). [183] R. F. Scholz, P. Werner, U. GSsele, J.-Y. Huh, and T. Y. Tan, Carbon induced undersaturation of silicon self-interstitials,, Appl. Phys. Lett. 72, 200-02 (1998). [184] J. Stangl, S. Zerlauth, F. Schaffler, G. Bauer, M. Berti, D. De-Salvador, A. V. Drigo, and F. Romanato, Strong deviation of the lattice parameter in Sil_~_yGe~Cy epilayers from Vegard's rule, Epitaxy and Applications of Si-Based Heterostructures. Symposium. 533, Mater. Res. Soc., Warrendale, PA, USA; 257-62 (1998).
[185] P. Werner, H.-J. Gossmann, D. C. Jacobson, U. Go&de, Carbon diffusion in silicon, Appl. Phys. Lett. 73, 2465-7 (1998). [1861 N. L. Rowell, R. L. Williams, G. C. Aers, H. Lafontaine, D. C. Houghton, K. Brunner, K. Eberl, O. Schmidt, and W. Winter, Band alignment of Sil_xGex and Sil_x_yGexCy quantum wells on Si(001), Epitaxy and Applications of Si-Based Heterostructures, Symposium 533, Mater. Res. Soc., Warrendale, PA, USA; pp 235-43 (1998). [187] I. M. Anteney, P. Ashburn, G. J. Parker, G. Lippert, and H. J. Osten, Effect of the carbon position in the base for the elimination of parasitic energy barriers in SiGe:C HBTs, ESSDERC'98, Proceedings of the 28th European Solid-State Device Research Conference, (Editions Frontieres, Paris, France 1998), pp 132-5 (1998). [188] R. F. Lever; J. M. Bonar, and A. F. W. Willoughby, Boron diffusion across silicon-silicon germanium boundaries, J. Appl. Phys. 83, 1988-94 (1998).
260
BIBLIOGRAPHY
[189] C. L. Chang, L. P. Rokhinson, and J. C. Sturm, Direct optical measurement of the valence band offset of p+Si~_~_yGe~Cy/p-Si(lO0) by heterojunction internal photoemission, Epitaxy and Applications of SiBased Heterostructures, Symposium 533, Mater. Res. Soc., Warrendale, PA, USA; pp 245-50 (1998). [190] H. J. Osten and P. Gaworzewski, Charge transport in strained Sil_yCy and Sil_x_yGexCy alloys on Si(001), J. Appl. Phys. 82, 4977-61 (1997). [191] K. Chen et al. Predicting CMOS speed with gate oxide and voltage scaling and interconnect loading effects, IEEE Trans. Electron Devices 44, 1951 (1997). [192] S. C. Jain, H. Maes, and K. Pinardi, Stresses in strained GeSi stripes and quantum structures, Thin Solid Films, 292, 218-226 (1997). [193] S. C. Jain, M. Willander, K. Pinardi, and H. Maes, A review of recent work on stresses and strains in semiconductor heterostructures, Physica Scripta T69, 65-72 (1997). [194] J. M. Ldpez-Gonzs and L. Pratt, The importance of bandgap narrowing distribution between the conduction and valence bands in abrupt HBT's, IEEE Trans. Electron Devices 44, 1046-51 (1997). [195] I. M. Anteney, G. Lippert, P. Ashburn, H. J. Osten, B. Heinemann, and G. J. Parker, Electrical determination of bandgap narrowing and parasitic energy barriers in SiGe and SiGeC heterojunction bipolar transistors, IEEE MTT/ED/AP/LEO Societies Joint Chapter United Kingdom and Republic of Ireland Section. 1997 Workshop on High Performance Electron Devices for Microwave and Optoelectronic Applications. EDMO (Cat. No.97TH8305). IEEE, New York, NY, USA; pp 55-60 (1997). [196] D. L. Harame, High-performance BiCMOS process integration: Trends, issues, and future directions, in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, 1997, pp. 36-43. [197] S. C. Jain, A. H. Harker and R. A. Cowley, Misfit-strain and misfitdislocations in lattice mismatched epitaxial layers, Philos. Mag. A 75 (6), 1461-1515 (1997). [198] S. C. Jain, K. Pinardi, M. Willander, A. Atkinson, H. E. Maes, and R. Van Overstraeten, Raman spectra of GeSi strained quantum wires, Semicond. Sci. Technol. 12, 1507-9 (1997). [199] K. Schonenberg, S.-W. Chan, D. Harame, M. Gilbert, C. Stanis, and L. Gignac, The stability of Sil_xGex strained layers on small-area trenchisolated silicon, J. Mater. Res. 12, 364-70(1997).
BIBLIOGRAPHY
261
[200] U. K5nig and A. Gruhle, High frequency SiGe heterostructure devices, Proceedings IEEE/Cornell Conference on Advanced Concepts in High Speed Semiconductor Devices and Circuits (Cat. No.97CH36078), pp 1423 (1997). [201] F. M. Buffer, P. Graf, B. Meinerzhagen, B. Adeline, M. M. Rieger. H. Kibbel, G. Fischer, Low- and high-field electron-transport parameters for unstrained and strained Sil_~Ge~, IEEE-Electron-Device-Letters 18, 2646 (1997) [202] F. M. Buffer, P. Graf, S. Keith, and B. Meinerzhagen, Full band Monte Carlo investigation of electron transport in strained Si grown on Sil_~Ge~ substrates, Appl. Phys. Lett. 70,2144-6 (1997). [203] K. M. Strohm, and J. F. Luy, Silicon-Germanium bipolar technology for RF and microwave applications, M+RF97 Microwave and Communications Technology Conference Proc. Nexus Information Technology, Swanley, UK 1997, PP 340-5. [204] D. R. Greenberg, M. Rivier, P. Girard, E. Bergeault, J. Moniz, D. Ahlgren, G. Freeman, S. Subbanna, S. J. Jeng, K. Stein, D. Nguyen-Ngoc, K. Schonenberg, J. Malinowski, D. Colavito, D. L. Harame, and B. Meyerson, Large-signal performance of high-BV~o graded epi-base SiGe HBT's at wireless frequencies, in Tech. Dig. Int. Electron Device Meeting, 799-802 (1997). [205] K. Pinardi, B. Dietrich and S. C. Jain, unpublished. [206] L. D. Lanzerotti, J. C. Sturm, E. Stach, R. Hull, T. Buyuklimanli, and C. Magee, Suppression of boron transient enhanced diffusion in SiGe heterojunction bipolar transistors by carbon incorporation, Appl. Phys. Lett. 70, 3125-7 (1997); See also Defects and Diffusion in Silicon Processing Symposium, (Mater. Res. Soc., Pittsburgh USA, 1997), pp 297-302; See also Technical Digest IEDM96, 249-52 (1996). [207] T. O. Mitchell, J. L. Hoyt, and J. F. Gibbons, Substitutional carbon incorporation in epitaxial Sil_yCy layers grown by chemical vapor deposition, Appl. Phys. Lett. 71 1688-90 (1997). [208] K. Oda, E. Ohue, M. Tanabe, H. Shimamoto, T. Onai, and K. Washio, 130 GHz fT SiGe HBT technology, in Tech. Dig. Int. Electron Device Meeting, 1997, pp 791-794. [209] *B. Dietrich, E. Bugiel, H. Frankenfeldt, A. H. Harker, U. Jagdhold, B. Tillack, and A. Wolff, Solid St. Electronics 40, 307- -310 (1996). [210] S. C. Jain, M. Willander, and H. Maes, Stresses and strains in epilayers, stripes and quantum structure8 of III-V compound semiconductors, Semiconductor Sci. and Technol. 11, 641-71 (1996); Erratum Semiconductor Sci. and Technol. 11, 975 (1996).
262
BIBLIOGRAPHY
[211] Y. S. Tang and C. M. Sotomayor Torres, Gadest 95, Proc. of Gettering and Defect Engineering in Semiconductor Technology Conf. Eds.: H. Richter, M. Kittler and C. Claeys, Solid State Phenomena 47-48, (SCITEC Publications, Switzerland 1996), pp 613-8.
[2121
B. Dietrich, E. Bugiel, H. Frankenfeldt, A. H. Harker, U. Jagdhold, B. Tillack, and A. Wolff, International Conf. Modulated Semicond. July 1014, 1995 Madrid.
[213] B. Dietrich, E. Bugiel, H. Frankenfeldt, Y. S. Tang, C. M. Sotomayor Torres, H.-P. Zeindl, and A. Wolff, Gadest 95, Proc. of Gettering and Defect Engineering in Semiconductor Technology Conf. Eds.: H. Richter, M. Kittler and C. Claeys, Solid State Phenomena 47-48, (SCITEC Publications, Switzerland 1996), pp 535-40. [214] A. Sadek, K. Ismail, M. A. Armstrong, D. A. Antoniadis, and F. Stern, Design of Si/SiGe heterojunction complementary metal-oxide-semiconductor transistors, IEEE Trans. Electron Device 43, 1224-32 (1996). [2151 K. Ismail, Correlation between dislocations and electron transport properties, Proc. of Gettering and Defect Engineering in Semiconductor Technology Conf. Eds.: H. Richter, M. Kittler and C. Claeys, Solid State Phenomena 47-48, (SCITEC Publications, Switzerland 1996), pp 503-8. [216] U. KSnig and J. Hersener, Needs of low thermal budget processing in SiGe Technology, Proc. of Gettering and Defect Engineering in Semiconductor Technology Conf. Eds.: H. Richter, M. Kittler and C. Claeys, Solid State Phenomena 47-48, (SCITEC Publications, Switzerland 1996), pp 503-8. [217] A. Schiiuppen, H. Dietrich, S. Gerlach, and J. Arndt, SiGe technology and components for mobile communications systems, in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, 1996, pp. 130-133. [2181 H. Ruiicker, M. Methfessel, B. Dietrich, K. Pressel, and H. J. Osten, Phonons as a probe of short-range order in Sil-xCx alloys, Phys. Rev. B 53, 1302-9 (1996). [219] C. Blair, T. Luk, J. Darmawan, and D. Bien, An 0.5 mum BiCMOS technology for low power wireless telecommunications applications, Proceedings of the 1996 BIPOLAR/BiCMOS Circuits and Technology Meeting (Cat. No.96CH35966). IEEE, New York, NY, USA;.126-9 (1996).
[220]
N. E. B. Cowern, A. Cacciato, J. S. Custer, F. W. Saris, and W. Vandervorst, Role of C and B clusters in transient diffusion of B in silicon, Appl. Phys. Lett. 68, 1150-2 (1996).
[2211 S. C. Jain, H. Maes, K. Pinardi, and I. De Wolf, Stresses and strains in lattice-mismatched stripes, quantum wires, quantum dots, and substrates in Si technology, J. Appl. Phys. 79, 8145-8165 (1996).
BIBLIOGRAPHY
263
[222] S. C. Jain, M. Willander, and H. Maes, Stresses and strains in epilayers, stripes and quantum structures of III-V compound semiconductors, Semiconductor Sci. and Technol. 11, 641-71 (1996); Erratum Semiconductor Sci. and Technol. 11, 975 (1996). [223] S. C. Jain, A. H. Harker, A. Atkinson and K. Pinardi, Edge induced stress and train in stripe films and substrates: A 2D finite element calculation, J. Appl. Phys. 78, 1630-7 (1995).
[2241
H. Tian, K. W. Kim, J. R. Hauser, N. A. Masnari, and M. A. Littlejohn, Effects of profile-doped elevated source/drain structures on deepsub-micron MOSFETs, Solid-State Electron. 38, 573-9, (1995).
[225]
P. Gaworzewski, K. Tittelbach-Helmrich, U. Penner, and N. V. Abrosimov, Electrical properties of lightly doped p-type silicon-germanium single crystals, Journal-of-Applied-Physics 83, 5258-63 (1998).
[2261 Anwar et al Noise performance of Si/Sil_xGex FETs, IEEE Trans. Electron Devices 42, 1841-6 (1995).
[2271
S. C. Jain, B. Dietrich, H. Richter, A. Atkinson, and A. H. Harker, Stresses in strained GeSi stripes: Calculation and determination from Raman measurements, Phys. Rev. B 52, 6247-53 (1995).
[228]
P. A. Stolk, H, J. Gossmann, D. J. Eaglesham, D. C. Jacobson, H. S. Luftman, and J. M. Poate, Understanding and controlling transient enhanced dopant diffusion in silicon, Beam-Solid Interactions for Materials Synthesis and Characterization, Proc. Symp. Mater. Res. Soc. 354, 307-18 (1995); see also P. A. Stolk, H. J. Gossmann, D. J. Eaglesham, D. C. Jacobson, C. S. Rafferty, G. H. Gilmer, M. Jaraiz, J. M. Poate, H. S. Luftman, and T. E. Haynes, Physical mechanisms of transient-enhanced dopant diffusion in ion-implanted silicon, J. Appl. Phys. 81, 6031-50 (1997).
[229] D. L. Harame, J. H. Comfort, J. D. Cressler, E. F. Crabl~, J. Y.-C. Sun, B. S. Meyerson, and T. Tice, Si/SiGe epitaxial-base transistors: Part IIProcess integration and analog applications, IEEE Trans. Electron Devices 40, 469-482 (1995). [230] A. Schfippen, A. Gruhle, H. Kibbel, and U. KSnig, Mesa and planar SiGe-HBTs on MBE-wafers, Journal-of-Materials-Science:-Materials-inElectronics 6, 298-305 (1995). [2311 D. L. Harame, J. H. Comfort, J. D. Cressler, E. F. Crabb~, J. Y.-C. Sun, B. S. Meyerson, and T. Tice, Si/SiGe epitaxial-base transistors: Part IMaterials, physics, and circuits, IEEE Trans. Electron Devices, vol. 40, 455-468, (1995).
[2321
J. M. Sung et al., A high performance super self-aligned 3-V/5-V BiCMOS technology with extremely low parasitics for low power mixed-signM applications, IEEE Trans. Electron Devices, vol. 42, pp. 513-521 (1995).
264
BIBLIOGRAPHY
[233] H.-J. Gossmann, G. H. Gilmer, C. S. Rafferty, F. C. Unterwald, T. Boone, J. M. Poate, H. S. Luftman, and W. Frank, Determination of Si selfinterstitial diffusivities from the oxidation-enhanced diffusion in B dopingsuperlattices: The influence of the marker layers, J. Appl. Phys. 77, 194851 (1995).
[2a4]
H.-J. Gossmann, C. S. Rafferty, F. C. Unterwald, T. Boone, T. K. Mogi, M. O. Thompson, and H. S. Luftman, Behavior of intrinsic Si point defects during annealing in vacuum, Appl. Phys. Lett. 67, 1558-60 (1995).
[2351 S. C. Jain, H. J. Osten, B. Dietrich, and H. Riicker, Growth and properties of strained Sil_~_~Ge~Cy layers, Semicond. Sci. Technol. 10, 1289-302 (1995). [236] U. Jain, S. C. Jain and A. H. Harker, Nucleation of dislocation loops in strained epitaxial layers, J. Appl. Phys. 77, 103-5 (1995). [237] P.A. Stolk, H.-J. Gossmann, D. J. Eaglesham, and J. M. Poate, Implantation and Transient Boron Diffusion: The Role of the Silicon SelfInterstitial, Nucl. Instr. and Meth. B 96, 187-95 (1995). EARLIER THAN 1995 [238] S. Takagi, A. Toriumi, M. Iwase, and H. Tango, On the universality of inversion layer mobility in Si MOSFETs-Part I: Effects of substrate impurity concentration, IEEE Trans. Electron Devices 41, p. 2357-62 (1994). [239] J. Welser, J. L. Hoyt, and J. F. Gibbons, Electron mobility enhancement in strained-Si N-type Metal-Oxide Semiconductor Field Effect transistors, IEEE Electron Device Lett. 15, 100-102 (1994). [240] T. Arakawa, S Tsukamoto, Y. Nagamune, M. Nishioka, J.-H. Lee, and Y. Arakawa, Jpn. J. Appl. Phys. 32, L1377 (1993). [241] K. Ismail, S. F. Nelson, J. O. Chu, and B. S. Meyserson, Electron transport properties of Si/SiGe heterostructures: Measurements and device implications, Appl. Phys. Lett. 63, 660-2 (1993). [242] K. Ismail, J. O. Chu, and B. S. Meyserson, High hole mobility in SiGe alloys for device applications, Appl. Phys. Lett. 64, 3124-6 (1994). [243] E. Murakami, K. Nakagawa, A. Nishida, and M. Miyao, Strain controlled SiGe modulation doped FET with ultrahigh hole mobility, IEEE Electron Device Lett. 12, 71-3 (1991). [244] M. Miyao, E. Murakami, H. Etoh, K. Nakagawa, and A. Nishida, High hole mobility in strained Ge channel of modulation doped p Si0.5)/Ge/Sil_x Gex heterostructure, Journal of Crystal Growth 111, 91215 (1991).
BIBLIOGRAPHY
265
[245] Curtis Tsai, Syun-Ming Jang, Julie Tsai, and Rafael Reif, Growth and characterization of undoped and in situ doped Sil_x Ge, alloy on patterned oxide Si substrates by very low pressure chemical vapor deposition at 700 and 625~ J. Appl. Phys. 69, 8158-63 (1991). [246] U. KSnig, A. Gruhle, and A. Schiippen, SiGe devices and circuits: where are advantages over III/V ? GaAs IEEE Gallium Arsenide Integrated Circuit Symposium. 17th Annual Technical Digest 1995 (Cat. No.95CH35851), 14-17 1995; [247] The effective lifetime in semicrystalline silicon, S. C. Jain, R. Janssens, G. Cheek, P. de Pauw, R. Mertens and R. Van Overstraeten, Solar Cells 9, 345-352 (1983); P. de Pauw, R. Mertens, R. Van Overstraeten and S. C. Jain, On the injection level dependence of the minority carrier lifetime in defected silicon substrates, Solid State Electronics, 27, 573-587 (1984). [248] K. Nishida, K. Nakagawa, E. Murakami, and M. Miyo, Elimination of misfit dislocations in Sil_xGex/Si heterostructures by limited-area molecularbeam epitaxial growth, J. Appl. Phys. 71, 5913-7 (1992). [249] E. A. Fitzgerald, G. P. Watson, R. E. Proano, and D. G. Ast, Nucleation mechanisms and the elimination of misfit dislocations at mismatched interface by reduction in growth area, J. Appl. Phys. 65, 2220-37 (1989). [250] E. A. Fitzgerald, The effect of substrate growth area on misfit and threading dislocation densities in mismatched heterostructures, J. Vac. Sci. Technol. B 7, 784-8 (1989). [251] D. B. Noble, J. L. Hoyt, C. A. King, and J. F. Gibbons, Reduction in misfit dislocation density by the selective growth of Sil_xGe~/Si in small areas, Appl. Phys. Lett. 56, 51-3 (1990). [252] S. R. Stiffier, J. H. Comfort, C. L. Stanis, D. L. Harame, and E. de F~esart, The thermal stability of SiGe films deposited by ultrahigh-vacuum chemical vapor deposition, J. Appl. Phys. 70, 1416-20, (1991). See also, Erratum, J. Appl. Phys. 70, 7194 (1991). [253] U. Gnutzmann, and K. Clausecker, Theory of direct optical transitions in an optical indirect semiconductor with a superlattice structure, Appl. Phys. 3, 9-14 (1974). [254] J. W. Matthews and A. E. Blakeslee, Defects in epitaxial multilayers, J. Cryst. Growth, 27, 118-25 (1974). [255] D. C. Houghton, C. J. Gibbings, C. G. Tuppen, M. H. Lyons, and M. A. G. Halliwell, The structural stability of uncapped versus buried GexSil_x strained layers through high temperature processing, Thin Solid Films 183, 171-82 (1989).
266
BIBLIOGRAPHY
[256] S. C. Jain, T. J. Gosling, J. R. Willis, R. Bullough, and P. Balk, A theoretical comparison of the stability characteristics of capped and uncapped GeSi strained epilayers, Solid-State Electron. 35, 1073-9 (1992). [257] T. J. Gosling, R. Bullough, S. C. Jain, and J. R. Willis, Misfit dislocation distribution in capped (buried) strained semiconductor layer, J. Appl. Phys. 73, 8267-78 (1993). [258] J. C. Bean, Silicon based semiconductor heterostructures: column IV bandgap engineering, Proceedings of the IEEE 80, 571-87 (1992). [259] F. C. Frank, and J. Van der Merwe, One dimensional dislocations. II. Misfitting monolayers and oriented overgrowth, Proc. Roy. Soc. (London), i 198, 216-25 (1949). [260] S. C. Jain, A. H. Harker, K. Pinardi, M. Willander, H. Maes, and R. P. Mertens, ESSDERC 95, Proc. 25th European Solid State Device Research Conf. Eds.: H. C. de Graaff and H. van Kranenburg, (Editions Frontieres, France 1995) pp 459-62. [261] A. Schiippen, U. Erben, A. Gruhle, H. Kibbel, H. Schumacher, and U. KSnig, Enhanced SiGe heterojunction bipolar transistors with 160 GHz fmax, in Tech. Dig. Int. Electron Device Meeting, 1995, pp 743-746. [262] T. Manku, J. M. McGregor, A. Nathan, D. J. Roulston, J-P. Noel, and D. C. Houghton, Drift hole mobility in strained and unstrained doped Sil_~ Ge~ alloyS, IEEE-Transactions-on-Electron-Devices 40, 19906 (1993). [263] J. Poortmans, M. Caymax, A. Van Ammel, M. Libezny, K. Werner, S. C. Jain, J. Nijs and R. Mertens, On the minority carrier mobility and the effective bandgap in heterojunction bipolar transistors with strained SiGe base, Proceedings of ESSDERC 93, September 1993, France, pp 317-20. [264] T. K. Carns, S. K. Chun, M. O. Tanner, K. L. Wang, T. I. Kamins, J. E. Turner, D. Y. C. Lie, M. Nicolet, and R. G. Wilson, Hole mobility measurements in heavily doped Si/sub 1-x/Ge/sub x/strained layers, IEEE-Transactions-on-Electron-Devices, 41, 1273-81 (1994). [2651 Z. Matutinovic-Krstelj, V. Venkataraman, E. J. Prinz, J. C. Sturm, and C. W. Magee, Base resistance and effective bandgap reduction in n-p-n Si/Sil_xGex/Si HBTs with heavy base doping, IEEE-Transactions-onElectron-Devices 43, 457-66 (1996); see also Z. Matutinovic-Krstelj, V. Venkataraman, E. J. Prinz, J. C. Sturm, and C. W. Magee, A comprehensive study of lateral and vertical current transport in Si/Sil_xGe,/Si HBT's, International Electron Devices Meeting 1993. Technical Digest (Cat. No.93CH3361-3). IEEE, New York, NY, USA; 1993. pp 87-90.
BIBLIOGRAPHY
267
[266] D. M. Richey, J. D. Cressler, and A. J. Joseph, Scaling issues and Ge profile optimization in advanced UHV/CVD SiGe HBT's, IEEE Trans. Electron Devices, vol. 44, pp. 431-440 (1997). [267] D. B. M. Klaassen, A unified mobility model for device simulation - Part I: Model equations and concentration dependence, Solid-State Electron., vol. 35, pp. 953-959, 1992. [268] D. B. M. Klaassen, A unified mobility model for device simulation- Part II: Temperature dependence of carrier mobility and lifetime, Solid-State Electron., vol. 35, pp. 961-967, (1992). [269] J. M. McGregor, T. Manku, J-P. Noel, D. J. Roulston, A. Nathan, and D. C. Houghton, Measured in-plane hole drift and Hall mobility in heavilydoped strained p-type Sil_~ Gex, Journal-of-Electronic-Materials, 22, 31921 (1993). [270] S. M. Sze, Ed., High speed semiconductor devices (Wiley Interscience Publication 1990). [271] E. Kasper, H. J. Herzog, and H. Kibbel, A one dimensional SiGe superlattice grown by UHV epitaxy, App. Phys. 8, 199-205 (1975). [272] E. Kasper, and H. J. Herzog, Elastic strain and misfit dislocation density in Ge0.08Si.92 films on silicon substrates, Thin Solid Films 44, 357-70 (1977). [273] A. J. Joseph, J. D. Cressler, R. C. Jaeger, D. Richey, and D. L. Harame, Neutral base recombination in advanced SiGe HBT's and its impact on the temperature characteristics of precision analog circuits, in Tech. Dig. IEEE Int. Electron Device Meeting, 1995, pp. 755-758. [274] T. F. Meister, R. Steng, H. W. Meul, R. Weyl, P. Packan, H. Klose, R. Schreiter, J. Popp, H. M. Rein, and L. Treitinger, Sub-20 ps silicon bipolar technology using selective epitaxial growth, IEEE IEDM Tech. Digest, pp 401-4 (1992).
[2751
F. Sato, H. Takemure, T. Tashiro, H. Hirayama, M. Hiroi, K. Koyama and M. Nakamae, A self-aligned selective MBE technology for highperformance bipolar transistors, IEDM 1990, p 607
[276] S. C. Jain, T. J. Gosling, J. R. Willis, D. H. J. Totterdell, and R. Bullough, A new study of critical layer thickness, stability and strain relaxation in pseudomorphic GexSil_~ strained epilayers, Phil. Mag. A 65, 1151-67 (1992). http://www.tandf.co.uk/journals
[277]
T. I. Kamins, K. Nauka, J. B. Kruger, J. L. Hoyt, C. A. King, D. B. Noble, C. M. Gronet, and J. F. Gibbons, Small geometry, high performance, Si/SixGelx heterojunction bipolar transistors, IEEE Electron Device Lett. 10, 503-5 (1989).
268
BIBLIOGRAPHY
[278] G. L. Patton, J. H. Comfort, B. S. Meyerson, E. F. Crabb~, G. J. Scilla, E. de Fresart, J. M. C. Stork, J. Y. C. Sun, D. L. Harame, and J. N. Burghartz, 75-GHz fT SiGe-base heterojunction bipolar transistors, IEEE Electron Device Lett. 11, 171-3 (1990). [279] D. L. Harame, J. M. C. Stork, B. S. Meyerson, K. Y.-J. Hsu, J. Cotte, K. A. Jenkins, J. D. Cressler, P. Restle, E. F. Crabb~, E. S. Subbanna, T. E. Tice, B. W. Scharf, and J. A. Yasaitis, Optimization of SiGe HBT technology for high speed analog and mixed-signal applications, in Tech. Dig. Int. Electron Device Meeting, 1993, pp. 71-74. [280] R. J. Hawkins, Limitations of Nielsen's and related noise equations applied to microwave bipolar transistors, and a new expression for the frequency and current dependent noise figure, Solid State Electron., vol. 20, pp. 191-196, July 1977. [281] J. W. Slotboom, G. Streutker, A. Pruijmboom, and D. J. Gravesteijn, Parasitic energy barriers in SiGe HBTs, IEEE Electron Device Lett. 12, 486-8 (1991). [282] E. J. Prinz, and J. C. Sturm, Current gain-Early voltage products in heterojunction bipolar transistors with nonuniform base bandgaps, IEEE Electron Device Lett. 12, 661-3 (1991). [283] W. E. Ansley, J. D. Cressler, and D. M. Richey, Base profile optimization for minimum noise figure in advanced UHV/CVD SiGe HBT's, IEEE Trans. Microwave Theory Tech, vol. 46, pp. 653-660, May 1998. [284] H. Kroemer, Heterostructure Bipolar Transistors and Integrated Circuits, Proc. IEEE 70, 13-25 (1982). [285] W. Shockley, U.S. Patent 2569347, issued 25 September 1951. [286] A. K. Tipping and R. C. Newman, The diffusion coefficient of interstitial carbon in silicon, Semicond. Sci. Technol. 2, 315-7 (1987). [287] N. E. B. Cowern, Interstitial traps and diffusion in epitaxial silicon films, Appl. Phys. Left. 64, 2646-8 (1994). [288] H. J. Gossmann, C. S. Rafferty, H. S. Luftman, F. C. Unterwald, T. Boone, and J. M. Poate, Oxidation enhanced diffusion in Si B-doping superlattices and Si self-interstitial diffusivities, Appl. Phys. Lett. 63, 639-41 (1993). [289] S. C. Jain and A. E. Hughes, Ostwald Ripening and its application to Precipitates and Colloids in Ionic Crystals and Glasses, J. Mater. Sci. 13, 1611-31 (1978). [290] The coupled equations are written explicitly in Ref. [68].
BIBLIOGRAPHY
269
[2911 H. J. Gossmannn, P. A. Stolk, D. J. Eaglesham, G. H. Gilmer, and J. M. Poate, Diffusion of Si self-interstitials in the presence of carbon-related interstitial traps, Proceedings of the Fourth International Symposium on Process Physics and Modelling in Semiconductor Technology, (Electrochem. Soc. Pennington, NJ, 1996), 64-74 (1996).
[2921
D-X. Xu, C. J. Peters, J. P. Noel, S. J. Rolfe, and N. G. Tarr, Control of anomalous boron diffusion in the base of Si/SiGe/Si heterojunction bipolar transistors using PtSi, Appl. Phys. Lett. 64, 3270-2 (1994).
[2931 N. Moriya, L. C. Feldman, H. S. Luftman, C. A. King, J. Bevk, and B. Freer, Boron diffusion in strained Sil_~Ge, alloy epitaxial layers, PhysicalReview-Letters, 71, 883-6 (1993). [2941 N. E. B. Cowern, P. C. Zalm, P. van-der-Sluis, D. J. Gravesteijn, and W. B. De-Boer, Diffusion in strained Si(Ge), Phys. Rev. Lett. 72, 2585-8 (1994).
[295]
W. P. Gillin, and D. J. Dunstan, Strain and interdiffusion in semiconductor heterostructures, Phys. Rev. B 50, 7495-8 (1994).
[296] N. E. B. Cowern, R. C. M. De-Kruif, J. G. M. van-Berkum, W. B. De-Boer, D. J. Gravesteijn, and C. W. T. Bulle-Liewma, Interdiffusion mechanisms in coherently strained SiGe multilayers, Proceedings of the Fourth International Symposium on Process Physics and Modelling in Semiconductor Technology, (Electrochem. Soc., Pennington, NJ, USA 1996), pp 195-209. [2971 J. C. Bean, L. C. Feldman, A. T. Fiory, S. Nakahara, and I. K. Robinson, GexSil_x/Si strained-layer superlattice grown by molecular beam epitaxy, J. Vac. Sci. Technol. A2, 436-40 (1984). [298] J. H. Comfort, G. L. Patton, J. D. Cressler, W. Lee, E. F. Crabbd, B. S. Meyerson, Y.-C. Sun, J. M. C. Stork, P.-F. Lu, J. N. Burghartz, J. Warnock, G. Scilla, K.-Y. Toh, M. D. Agostino, C. Stanis, and K. Jenkins, Profile leverage in a selfaligned epitaxial Si or SiGe base bipolar technology, IEDM Tech. Digest, 21-4 (1990). [2991 S. C. Jain, and W. Hayes, Structure, properties and applications of GexSil-x strained layers and superlattices, Semicond. Sci. Technol. 6, 54776 (1991).
[300]
R. Braunstein, A. R. Moore, and F. Herman, Intrinsic optical absorption in germanium silicon alloys, Phys. Rev. 109, 695-710 (1958).
[3011 [3021
R. Braunstein, Phys. Rev. 130, 869 (1963). R. People, Physics and applications of strained layer heterostructures, IEEE J. Quantum Electronics, QE 22, 1696-710 (1986). See also R. People, and J. C. Bean, Band alignments of coherently strained GezSil_z/Si heterostructures on (001) G%Sil_y substrate, Appl. Phys. Lett. 48, 133840 (1986).
270
BIBLIOGRAPHY
[303] J. H. Comfort, E. F. Crabb~, J. D. Cressler,W. Lee, J. Y.-C. Sun, J. Malinowski, M. D'Agostino, J. N. Burghartz, J. M. C. Stork, and B. S. Meyerson, Single crystal emitter cap for epitaxial Si and SiGe base transistors, IEDM Tech. Digest, 857-60 (1991). [304] J. C. Sturm, H. Manoharan, L. C. Lenchyshyn, M. L. W. Thewalt, N. L. Rowell, J.-P. Noel, and D. C. Houghton, Well resolved band edge photoluminescence of excitons confined in strained Sil_~Ge, quantum wells, Phys. Rev. Lett. 66, 1362-5 (1991). [305] E. F. Crabb~, J. H. Comfort, W. Lee, J. D. Cressler, B. S. Meyerson, A. C. Megdanis, J. Y.-C. Sun, and J. M. C. Stork, 73 GHz selfaligned SiGe base bipolar transistors with phosphorus doped polysilicon emitters, IEEE Electron Device Lett. 13, 259-61 (1992). [306] A. Gruhle, H. Kibbel, U. Konig, U. Erben, and E. Kasper, MBE grown Si/SiGe HBTs with high/3, ft and fma~, IEEE Electron Device Lett. 13, 206-8 (1992). [307] S. Sato, T. Hashimoto, T. Tatsumi, H. Kitahata, and T. Tashiro, Sub 20 ps ECL circuits with 50 GHz fmax self-aligned SiGe HBTs, IEEE IEDM 92 Tech. Digest, pp 397-400 (1992). [308] C. A. King, J. L. Hoyt, C. M. Gronet, and J. F. Gibbons, Band gap and transport properties of Sil_xGex by analysis of nearly ideal Si/ Sil_xGex/Si heterojunction bipolar transistors, IEEE Trans. Electron Devices 36, 2093-104 (1989). [309] D. L. Harame, E. F. Crabbe, J. D. Cressler, J. H. Comfort, J. Y.-C Sun, S. R. Stiffier, E. Kobeda, J. N. Burghartz, M. M. Gilbert, J. C. Malinowski, A. J. Dally, S. Ratanaphanayarat, M. J. Saccamanago, W. Rausch, J. Cotte, C. Chu, and J. M. C. Stork, A high performance epitaxial SiGe-base ECL BiCMOS technology, IEEE IEDM Tech. Digest, pp 19-22 (1992). [310] J. D. Cressler, J. H. Comfort, E. F. Crabb~, J. Y. Sun, and J. M. C. Stork, An epitaxial emitter cap, SiGe-base bipolar technology with 22 ps gate delay at liquid nitrogen temperature, 1992 VLSI Symp. Tech. Digest, pp 102-103. [311] M. Namba, T. Kobayashi, T. Uchino, T. Nakamura, M. Kondo, Y. Tamaki, S. Iijima, T. Kure, and M. Tanabe, A 64 GHz Si bipolar transistor using in-situ phosphorus doped polysilicon emitter technology, IEEE IEDM Tech. Digest, pp 443-6 (1991). [312] H. Takemura, C. Ogawa, M. Kurisu, G. Uemura, T. Morikawa, and T. Tashiro, A Si bipolar transistor with fmax of 40GHz and its application to a 35GHz dynamic frequency divider, 1992 Symp. on VLSI Technology, pp 60-1 (1992).
BIBLIOGRAPHY
271
[313] A. Gruhle, A. Schuppen, U. Konig, U. Erben, and H. Schumacher, International Electron Devices Meeting. Technical Digest (Cat. No.95CH35810). IEEE, New York, NY, USA; 1995, pp 725-8. [314] E. J. Prinz, P. M. Garone, P. V. Schwartz, X. Xiao, and J. C. Sturm, The effects of base dopant outdiffusion and undoped Sil_~Ge~ junction spacer layers in Si/Sil_xGe~/Si heterojunction bipolar transistors, IEEE Electron Device Lett. 12, 42-4, (1991). [315] J. C. Sturm, E. J. Prinz, and C. W. Magee, Graded base Sil_~Gex/Si heterojunction bipolar transistors grown by rapid thermal chemical vapor deposition with near ideal electrical characteristics, IEEE Electron Device Lett. 12, 303-5 (1991). [316] S. C. Jain, and D. J. Roulston, A simple expression for band gap narrowing (BGN) in heavily doped Si, Ge, GaAs and Ge~Sil_x strained layers, SolidState Electron. 34, 453-65 (1991). [317] J. D. Cressler, J. H. Comfort, E. F. Crabb~, G. L. Patton, W. Lee, J. Y. Sun, J. M. C. Stork, and B. S. Meyerson, Sub-30-ps ECL circuit operation at liquid nitrogen temperature using self-aligned epitaxial SiGe-base bipolar transistors, IEEE Electron Device Lett. 12, 166-8 (1991).
[3 8] U. Jain, S. C. Jain, J. Nijs, J. R. Willis, R. Bullough, R. Mertens, and R. Van Overstraeten, Effect of dislocation-dislocation interactions on criticallayer-thickness and strain relaxation in strained GexSil_~ layers with 60 ~ and 90 ~ dislocations, Solid-State Electron. 36, 331-7 (1993). [319] H. Shin, A. F. Tasch, T. J. Bordelon, and C. M. Maziar, MOSFET drain engineering analysis for deep-submicronmeter dimensions: A new structural approach, IEEE Trans. Electron Devices 39, 1922-27, (1992). [320] U. Jain, S. C. Jain, A. Atkinson, J. Nijs, R. Mertens, and R. Van Overstraeten, Strain relaxation in semiconductor strained epilayers with nonperiodic interacting dislocations, J. Appl. Phys. 73, 1773-80 (1993); see also A. Atkinson, and S. C. Jain, A new approach to calculating the energy of systems of misfit dislocations in strained epitaxial layers, J. Phys. Condens. Matter 5, 4595-600 (1993). [321] C. G. Tuppen, and C. J. Gibbings, A quantitative analysis of strain relaxation by misfit dislocation glide in Sil_xGex/Si heterostructures, J. Appl. Phys. 68, 1526-34 (1990). [322] T. J. Gosling, S. C Jain and A. H. Harker, The kinetics of strain relaxation in lattice-mismatched semiconductor layers, Physica Status Solidi (a) 146,
713-34 (1994). [323] J. P. Hirth, and J. Lothe, Theory of Dislocations (McGraw-Hill, New York, 1968).
272
BIBLIOGRAPHY
[324]
A. Atkinson and S. C. J ain, The energy of finite system of misfit dislocations in epitaxial strained layers, J. Appl. Phys. 72, 2242-8 (1992); A. Atkinson and S. C. Jain, The energy of systems of misfit dislocations in epitaxial strained layers, Thin Solid Films 222, 161-5 (1992); A. Atkinson and S. C. Jain, A new approach to calculating the energy of systems of misfit dislocations in strained epitaxial layers, J. Phys. Condens. Matter 5, 4595-600 (1993).
[325]
D. C. Houghton, Misfit dislocation dynamics in Sil_xGe~/(100) Si: Uncapped alloy layers, buried strained layers, and multiple quantum wells, Appl. Phys. Lett. 57, 1434-6 (1990); D. C. Houghton, Nucleation rate and glide velocity of misfit dislocations in SiGe/(100) Si heterostructures, Appl. Phys. Lett. 57, 2124-6 (1990).
[326] D. C. Houghton, Strain relaxation kinetics in Sil_~Ge~/Si heterostructures, J. Appl. Phys. 70, 2136-51 (1991). [327] J. W. Matthews, Coherent interfaces and misfit dislocations, in Epitaxial growth, Part B, Ed.: J. W. Matthews (Academic Press New York, 1975), pp 559-609; J. W. Matthews, Defects associated with the accommodation of misfit between crystals, J. Vac. Sci. Technol. 12, 126-33 (1975). [328] B. S. Meyerson, UHV/CVD growth of Si and Si:Ge alloys: physics, and device applications, Proc. IEEE 80, 1592-608 (1992). [329] C. A. King, J. L. Hoyt, C. M. Gronet, J. F. Gibbons, M. P. Scott, and J. Turner, Si/Sil_xGe~ Heterojunction Bipolar Transistors Produced by Limited Reaction Processing, IEEE Electron Device Lett. 10, 52-4 (1989).
[3301
C. A. King, J. L. Hoyt, D. B Noble, C. M. Gronet, James F. Gibbons, M. P. Scott, T. I. Kamins, and S. S. Laderman, Electrical and Material Quality of Sil_~Ge~/Si p-N heterojunctions produced by limited reaction processing, IEEE Electron Device Lett. 10, 159-61 (1989).
[33 ]
R. Hull, and J. C. Bean, Nucleation of misfit dislocations in strainedlayer epitaxy in the Ge~Sil_~/Si system, J. Vac. Sci. Technol. A 7, 2580-5 (1989); R. Hull, J. C. Bean and C. Buescher, A phenomenological description of strain relaxation in GexSil_~ heterostructures, J. Appl. Phys. 66, 5837-43 (1989).
[332] R. Hull, J. C. Bean, L. Peticolas, and D. Bahnck, Growth of GexSil_x alloys on Si(ll0) surface, Appl. Phys. Lett. 59, 964-6 (1991); R. Hull, J. C. Bean, D. Bahnck, J. M. Bonar, and L. J. Peticolas, Dynamic observation of misfit dislocations in strained layer heterostructures, Proc. Microsc. Semicond. Mater. Conf. Inst. Phys. Conf. Ser. No. 117, 497-508 (1991). [333] R. Hull, J. C. Bean, D. J. Werder, and R. E. Leibenguth, In situ observation of misfit dislocation propagation in GexSil_x/Si(100) heterostructures, Appl. Phys. Lett. 52, 1605-07 (1988).
BIBLIOGRAPHY
273
[334] R. Hull, J. C. Bean, D. Bahnck, L. J. Peticolas, Jr., K. T. Short, and F. C. Unterwald, Interpretation of dislocation propagation velocities in strained GexSil_,/Si(100) heterostructures by the diffusive kink pair model, J. Appl. Phys. 70, 2052-65 (1991). [3351 D. D. Perovic, and D. C. Houghton, 'Barrierless' misfit dislocation nucleation in SiGe/Si strained layer epitaxy, in Mechanism of heteroepitaxial growth, Eds.: M. F. Chisholm, B. J. Garrison, R. Hull, and L. J. Schowalter, (MRS Symp. Proc. Vol 263) pp 391-402. [336] C. G. Tuppen, C. J. Gibbings, and M. Hockly, The effect of misfit dislocation nucleation and propagation on Si/Ge~Sil_, critical thickness values, J. Crystal Growth, 94, 392-404 (1989). [337] V. Higgs, P. Kightley, P. J. Goodhew, and P. D. Augustus, Metal-induced dislocation nucleation for metastable SiGe/Si, Appl. Phys. Lett. 59, 82931 (1991), see also V. Higgs, G. Davies, and R. Kubiak, The influence of metallic contamination on the lattice relaxation of Ge~Sil_x epitaxial alloys, Materials Science Forum 65-66, 351-6 (1990). [338] B. W. Dodson, Metastability in Si/Ge strained layer structures, in MRS Symposium Proc. on Heteroepitaxy on Silicon: Fundamentals, Structure and Devices, Edited by H. K. Choi, R. Hull, H. Ishiwara, and R. H. Nemanich (MRS, Pittsburgh, 1988) [339] F. Louchet, D. Cochet Muchy, and Y. Brechet, Investigation of dislocation mobilities in germanium in the low-temperature range by in situ straining experiments, Philos. Mag. A 57, 327-35 (1988); F. Louchet, Evidence of a transition in glide mechanism of dislocations in silicon by weak-beam in situ straining experiments, Inst. Phys. Conf. Ser. No. 60, 35-8 (1981). [340] D. V. Lang, R. People, J. C. Bean, and A. M. Sergent, Measurement of the band gap of GexSil_~ strained-layer heterostructures, Appl. Phys. Lett. 47, 1333-5 (1985). [341] C. G. Van de Walle, and R. M. Martin, Theoretical calculations of heterojunction discontinuities in the Si/Ge system, Phys. Rev. B34, 5621-34 (1986). [342] Q. M. Ma, and K. L. Wang, Strain induced nonlinear energy band splitting of Si~_~Ge~ alloys coherently grown on (111) and (110) oriented Ge substrates, Appl. Phys. Lett. 58, 1184-6 (1991). [343] G. Abstreiter, H. Brugger, T. Wolff, H. Jorke, and H. J. Herzog, Strain induced two dimensional electron gas in selectively doped Sil-xGex superlattices, Phys. Rev. Lett. 54, 2441-4 (1985); G. Abstreiter, H. Brugger, T. Wolf, H. Jorke, and H. J. Herzog, Two-Dimensional electron system in Si/SixGel_x strained-layer superlattices, Surface Sci. 174, 640-5 (1986).
274
BIBLIOGRAPHY
[344] H. Jorke, and M. Sawodny [1989], unpublished, quoted by Kasper and Sch~ffier. [345] T. Manku, and A. Nathan, Energy band structure for strained p type GexSil_~, Phys. Rev. B 43, 12634-7 (1991). [346] T. Manku, and A. Nathan, Effective mass for strained p type Ge~Sil_x, J. Appl. Phys. 69, 8414-6 (1991). [347] Y. Fu, S. C. Jain, M. Willander, and J. J. Loferski, Valence band structure of heavily doped strained Ge~Sil_~ layers, J. Appl. Phys. 74, 402-7 (1993). [348] S. M. Sze, Physics of semiconductor devices (John Wiley, and Sons, New York, 1981). [349] W.-X. Ni, J. Knall, and G. V. Hanson, Band offsets at Si/Sil_~Ge~ heterojunction interfaces studied with X ray photoemission: Influence of strain and crystal orientation, Proc. 2nd. Int. Symp. Silicon MBE (J. C. Bean and L. J. Schowalter, Eds.) Proc.
[350] J.-P. Cheng, V. P. Kesan, D. A. Grutzmacher, T. O. Sedgwick, and J. A. Ott, Cyclotron resonance studies of two-dimensional holes in strained SiGe/Si quantum wells, Appl. Phys. Lett. 62, 1522-4 (1993).
[351] P. J. Wang, B. S. Meyerson, F. F. Fang, J. Nocera, and B. Parker, Two dimensional hole gas in Si/Si0.85Ge0.15/Si modulation-doped double structures, Appl. Phys. Lett. 54, 2701-3 (1989). See also P. J. Wang, B. S. Meyerson, F. F. Fang, J. Nocera, and B. Parker, High hole mobility in Si/Sil_~Gex/Si p-type modulation-doped double heterostructures, Appl. Phys. Lett. 55, 2333-5 (1989). [3521 T. Manku, and A. Nathan, Lattice mobility of holes in strained and unstrained Sil_~Gex alloys, IEEE Electron Device Lett. 12, 704-6 (1991). [353] S. Krishnamurthy, and A. Sher, Generalized Brooks formula and the electron mobility in SixGel_~ alloys, Appl. Phys. Lett. 47, 160-2 (1985). [354] L. E. Kay, and T.-W. Tang, Monte Carlo calculation of strained and unstrained electron mobilities in Sil_xGe~ using an improved ionizedimpurity model, J. Appl. Phys. 70, 1483-8 (1991); B. Pejcinovic, L. E. Kay, T.-W Tang, and D. H. Navon, Numerical simulation and comparison of Si BJTs and Sil_~Ge~ HBTs, IEEE Trans. Electron Devices 36, 2129-37 (1989); see also B. Pejcinovic, T-W Tang, and D. H. Navon, A comparison of Si and based BJTs using numerical simulation, Proc. IEEE 1988 Bipolar and Circuits and Technology Meeting, pp 46-8. [355] E. J. Prinz, P. M. Garone, P. V. Schwartz, X. Xiao, and J. C. Sturm, The effect of base emitter spacers and strain dependent densities of states in Si/Sil_xGex/Si heterojunction bipolar transistors, Tech. Digest IEDM 89, 639-42 (1989).
BIBLIOGRAPHY
275
[356] G. L. Patton, D. L. Harame, J. M. C. Stork, B. S. Meyerson, G. J. Scilla, and E. Ganin, Graded SiGe base, Polyemitter heterojunction bipolar transistors, IEEE Electron Device Lett. 10, 534-6 (1989). [3571 Z. A. Shaft, C. J. Gibbings, P. Ashburn, I. R. C. Post, C. G. Tuppen, and D. J. Godfrey, The importance of neutral base recombination in compromising the gain of Si/SiGe heterojunction bipolar transistors, IEEE Trans. Electron Devices 38, 1973-6 (1991).
[358] S. C. Jain, J. Poortmans, S. S. Iyer, J. J. Loferski , J. Nijs, R. Mertens, and R. Overstraeten, Electrical and optical bandgaps of Ge~Sil_~ strained layers, IEEE Trans. Electron Devices 40, 2338-43 (1993). [3591 M. Libezn:~, S. C. Jain, J. Poortmans, M. Caymax, J. Nijs, R. Met-tens, K. Werner and P. Balk, P hotoluminescence determination of the Fermi energy in heavily doped strained Sil_~Ge~ alloy layers, Appl. Phys. Lett. 64, 1953-5 (1994). [360] Von G. Busch, and O. Vogt, Electrische Leitfahigkeit und Halleffekt von Ge Si Legierungen, Helv. Phys. Acta 33, 437-59 (1960). [361] M. Glicksman, Mobility of electrons in Germanium-Silicon alloys, Phys. Rev. 102, 1496 (1956). [3621 S. C. Jain K. H. Winters. and R. Van Overstraeten, Carrier Transport in Bulk Silicon and in Weak Silicon Inversion Layers, in Advances in Electronics and Electron Physics, Ed. P. W. Hawkes, (Academic Press, 1990), Vol. 78, pp 103-154. [363] M. I. Alonso, and K. Winer, Raman spectra of c-Sil_~Ge~ alloys, Phys. Rev. B 39, 10056-62 (1989). [364] J. S. Kline, F. H. Pollak, and M. J. Cardona, Electroreflectance in GeSi alloys, Helv. Phys. Acta, 41, 968-77 (1968). [3651 S. C. Jain, R. P. Mertens, and R. J. Van Overstraeten, The Bandgap Narrowing and its Effects on the Properties of Moderately and Heavily Doped Germanium and Silicon, in Advances in Electronics and Electron Physics, Ed: P. W. Hawkes (Academic Press 1991), Vol. 82, pp 197-275. [366] J. Weber, and M. I. Alonso, Near band gap photoluminescence of SiGe alloys, Phys Rev. B 40, 5683-93 ((1989). [3671 J. Weber, and M. I. Alonso, Detection of dislocation related photoluminescence bands in Si-Ge alloys grown by liquid phase epitaxy, Proc. Int. Conf. Science and Technol. Defect Control in Semicond. Ed. K. Sumino (Elsevier Science Publishers 1990) pp 1453-7. [368] D. J. Robbins, L. T. Canham, S. J. Barnett, A. D. Pitt, and P. Calcott, Near band gap photoluminescence from pseudomorphic Sil_~Ge~ single layers on silicon, J. Appl. Phys. 71, 1407-14 (1992).
276
BIBLIOGRAPHY
[369] M. A. Renucci, J. B. Renucci, and M. Cardona, Raman scattering in GeSi alloys, in Light Scattering in Solids, Ed.: M. Ballcanski (Flammarion, Paris 1971) pp 326-9 (1971). [370] J. B. Renucci, M. A. Renucci, and M. Cardona, Volume dependence of the Raman frequencies of GeSi alloys, Solid State Commun. 9, 1651-4 (1971). [371] K. Terashima, M. Tajima, and T. Tatsumi, Near bandgap photoluminescence of Sil_~Ge, alloys grown on Si(100) by molecular beam epitaxy, Appl. Phys. Lett. 57, 1925-7 (1990). [372] D. Dutartre, G. Bremond, A. Souifi, and T. Benyattou, Excitonic photoluminescence from Si capped strained GexSil_, layers, Phys. Rev. B 44, 11525-7 (1991). [373] J. Spitzer, K. Thonke, R. Sauer, H. Kibbel, H.-J. Herzog, and E. Kasper, Direct observation of band-edge luminescence and alloy luminescence from ultrametastable silicon-germanium alloy layers; Appl. Phys. Lett. 60, 1729-31 (1992). [374] J. C. Sturm, Q. Mi, H. Manoharan, X. Xiao, and P. V. Schwartz, Well resolved band edge photo and electroluminescence in strained Sil_~Ge~ quantum wells and superlattices, Japan Soc. Appl. Phys. IEEE. Inst. Electron. Inf. Commun. Eng. Japan, 150-2, (1991), Extended Abstracts of the 1991 International Conference on Solid State Devices and Materials, Yokohama, Japan, 27-29 Aug. 1991, Bus. Center Acad. Soc. Japan Tokyo, Japan. [375] X. Xiao, C. W. Liu, J. C. Sturm, L. C. Lenchyshyn, M. L. W. Thewalt, R. B. Gregory, and P. Fejes, Quantum confinement effects in strained silicon germanium alloy quantum wells, Appl. Phys. Lett. 60, 2135-7 (1992). [376] X. Xiao, C. W. Liu, J. C. Sturm, L. C. Lenchyshyn, and M. L. W. Thewalt, Photoluminescence from electron-hole plasmas confined in Si/Sil_~Ge,/Si quantum wells; Applied Physics Letters 60, 1720-2 [377] N. L. Rowell, J.-P. Noel, and D. C. Houghton, Infrared emission from strained Si/Sil_xGe~ quantum wells, Canadian Journal of Physics 69, 474-8 (1991). [378] N. L. Rowell, J. P. Noel, D. C. Houghton, and M. Buchanan, Electroluminescence and photoluminescence from Sil_xGe~ alloys, Appl. Phys. Lett. 58, 95 7-8 (1991). [379] K. Terashima, M. Tajima, T. Niino, and T. Tatsumi, Photoluminescence of Sil_xGex/Si quantum well structures, Extended Abstracts of the 1991 International Conference on Solid State Devices and Materials, Yokohama, Japan, 27-29 Aug. 1991, pp 246-248. Bus. Center Acad. Soc. Japan Tokyo, Japan; see also K. Terashima, M. Tajima, N. Ikarashi, T. Niino, and
BIBLIOGRAPHY
277
T. Tatsumi, Photoluminescence of Sil_~Ge~/Si quantum well structures, Japn. J. Appl. Phy. Part 1 30, 3601-5 (1991).
[380] K. Terashima, and M. Tajima, Dislocation related photoluminescence in SiGe(100) grown by molecular beam epitaxy, J. Crystal Growth 111,920-4 (1991). [381] J.-P. Noel, N. L. Rowell, D. C. Houghton, A. Wang, and D. D. Perovic, Luminescence origins in molecular beam epitaxial GexSil_~ alloys, Appl. Phys. Lett. 61, 690-2 (1992). [382] R. Zachai, K. Eberl, G. Abstreiter, E. Kasper, and H. Kibbel, Photoluminescence in short period Si/Ge strained layer superlattices, Phys. Rev. Lett. 64, 1055-8 (1990). [383] R. Zachai, K. Eberl, G. Abstreiter, E. Kasper, and H. Kibbel, Photoluminescence transitions in short period Si/Ge strained layer superlattices grown on Si and Ge substrates, Surf. Sci. 228, 267-9 (1990). [384] D. J. Robbins, P. Calcott, and W. Y. Leong, Electroluminescence from a pseudomorphic Ge~Sil_x alloy, Appl. Phys. Lett. 59, 1350-2 (1991).
[385] Q. Mi, X. Xiao, J. C. Sturm, L. C. Lenchyshyn, and M. L. W. Thewalt, Room temperature 1.3 #m electroluminescence from strained Sil_~Ge~/Si quantum wells, Appl. Phys. Lett. 60, 3177-9 (1992). [386] U. Schmid, N. E. Christensen, and M. Cardona, Direct transition energies in strained ten monolayer Ge/Si superlattices, Phys. Rev. Lett. 65, 2610 (1990); Phys. Rev. B 41, 5919-30 (1990). [387] U. Schmid, N. E. Christensen, and M. Cardona, Relativistic band structure of Si, Ge, and GeSi: inversion asymmetry effects, Phys. Rev. B 41, 5919-30 (1990); see also U. Schmid, N. E. Christensen, and M. Cardona, Calculated deformation potentials in Si, Ge and GeSi, Solid State Commun. 75, 39-43 (1990). [388] R. Zachai, K. Eberl, G. Abstreiter, E. Kasper, and H. Kibbel, Reply, Phys. Rev. Lett. 65, 2611 (1990). [389] U. Menczigar J. Brunner, E. Friess, M. Gail, G. Abstreiter, H. Kibbel, H. Presting, and E. Kasper, Photoluminesccence studies of Si/Sil_~Gex quantum wells and SimGen superlattices, Thin Solid Films 222, 227-33 (1992). [390] H. Okumura, K. Miki, S. Misawa, K. Salmmoto, T. Sakamoto, and S. Yoshida, Observation of direct band gap properties in GeSi strained layer superlattices, Jpn. J. Appl. Phys. 28, L 1893-5 (1989).
[3911 T. P. PearsM1, Differential optical absorption spectroscopy in GeSi superlattices, Appl. Phys. Lett. 60, 1712-14 (1992).
278
BIBLIOGRAPHY
[392] H. Diimbkes, H. J. Herzog, H. Jorke, H. Kibbel, and E. Kasper, The n-channel SiGe/Si modulation-doped field-effect transistor, IEEE Trans. Electron Devices ED33, 633-8 (1986). [393] K. Iniewski, S. Voinigescu, J. Atcha, and C. A. T. Salama, Analytical modeling of threshold voltages in p-channel Si/SiGe/Si MOS structures, Solid-State Electron. 36, 775-83 (1993). [394] J. J. Rosenberg, and S. C. Martins, Selfaligned Germanium MOSFETs using a nitrided native oxide gate insulator, IEEE Electron Device Lett. 9, 639-40 (1988). [395] S. C. Martin, L. M. Hitt, and J. J. Rosenberg, p-Channel germanium MOSFETs with high channel mobility, IEEE Electron Device Letters 10, 325-6 (1989). [396] S. C. Jain, and P. Balk, Preparation and properties of the GeSi-oxide system, Thin Solid Films 223, 348-57 (1993). [397] S. Subbanna, V. P. Kesan, M. J. Tejwani, P. J. Restle, D. J. Mis, and S. S. Iyer, Si/SiGe p channel MOSFETs, VLSI Technology Symp. pp 103-4 (1991). [398] P. M. Garone, V. Venkntaraman, and J. C. Sturm, Hole mobility enhancement in MOS gated GeSi/Si heterostructure inversion layers, IEEE Electron Device Lett. 13, 56-8 (1992). [399] D. K. Nayak, J. C. S. Woo, J. S. Park, K. Wang, K. P. MacWilliams, Enhancement-mode quantum-well GexSil_x PMOS, IEEE Electron Device Letters 12, 154-6 (1991). [400] K. Goto, J. Murota, T. Maeda, R. Schutz, K. Aizawa, R. Kircher, K. Yokoo, S. Ono, Fabrication of a SiGe-channel MOSFET containing high Ge fraction layer by low-pressure chemical vapour deposition, Extended Abstracts of the 1992 International Conference on Solid State Devices and Materials, Business Center for Acad. Soc. Japan, 26-28 Aug. 1992, Tokyo, Japan, pp 449-51. [401] D. K. Nayak, J. S. Park, J. C. S. Woo, K. L. Wang, G. K. Yabiku, and K. P. MacWilliams, High performance GeSi quantum-well PMOS on SIMOX, Tech. Digest. IEDM 92, pp 777-80 (1992). [402] D. K. Nayak, J. C. S. Woo, J. S. Park, K. L. Wang, and K. P. MacWilliams, High-mobility p-channel metal-oxide-semiconductor field-effect transistor on strained Si, Appl. Phys. Lett. 62, 2853-5 (1993). [403] C. R. Selvakumar, B. Hecht, SiGe-channel n-MOSFET by germanium implantation, IEEE Electron Device Lett. 12, 444-6, (1991).
BIBLIOGRAPHY
279
[404] S. Verdonckt-Vandebroek, E. F. Crabbe, B. S. Meyerson, D. L. Harame, P. J. Restle, J. M. C. Stork, A. C. Megdanis, C. L. Stanis, A. A. Bright, High-mobility modulation-doped SiGe-channel p-MOSFETs, IEEE Electron Device Letters 12, 447-9 (1991). [405] V. P. Kesan, S. Subbanna, P. J. Restle, M. J. Tejwani, J. M. Altken, S. S. Iyer, and J. A. Ott, High performance 0.25 #m p-MOSFETs with silicongermanium channels for 300K and 77K operation, Tech. Digest, IEDM 91, 25-8 (1991). [406] S. M. Sze, Microwave diodes, chapter 9 in Ref. [270], pp 531-9. [407] H. C. Liu, D. Landheer, N. Buchanan, and D. C. Houghton, Resonant tunnelling in Si/Sil_,Ge~ double-barrier structures. Appl. Phys. Lett. 52, 1809-11 (1988). [408] G. Schuberth, G. Abstreiter, E. Gornik, F. Schaffier, and J. F. Luy, Resonant tunneling of holes in Si/SiGe quantum-well structures, Physical Review B (Condensed Matter) 43, 2280-4, ( 1991 ). [409] J. C. Chiang, and Y-C. Chang, Resonant tunneling of electrons in Si/Ge strained-layer double-barrier tunneling structures, Appl. Phys. Lett. 61, 1405-7 (1992). [410] H. C. Liu, H. C. Landheer, M. Buchanan, D. C. Houghton, M. D'Iorio, and S. Kechang, Hole resonant tunnelling in SiGe/Si heterostructures, Superlattices and Microstructures 5, 213-7 (1989). Ex[411l D. X. Xu, G. D. Shen, M. Willander, J. F. Luy, and F. Schs perimental studies on the NDR of Sil_xGe~/Si triple barrier resonant tunneling diodes, Solid-State Electron. 35,611-14, (1992).
[4121
S. S. Rhee, J. S. Park, R. P. G. Karunasiri, A. Ye, and K. L. Wang, Resonant tunneling through a Si/Sil_xGe~/Si heterostructure on a GeSi buffer layer, Appl. Phys. Lett. 53, 204-6 (1988).
[413] U. Gennser, V. P. Kesan, S. S. Iyer, T. J. Bucelot, and E. S. Yang, Temperature dependent transport measurements on strained Si/Sil_~Gex resonant tunneling devices, J. Vac. Sci. Technol. B 9, 2059-63 (1991). [414] K. Ismail, B. S. Meyerson, and P. J. Wang, Electronn resonant tunneling in Si/SiGe double barrier diodes, Appl. Phys. Lett. 59,973-5 (1991). [415] Y. Ol~da, J. Xu, H. C. Liu, D. Landheer, M. Buchanan, and D. C. Houghton, Noise characteristics of a Si/SiGe resonant tunneling diode, Solid State Electronics 32, 797-800 (1989). [416] Z. Matutinoviac-Krstelj, C. W. Liu, X. Xiao, and J. C. Sturm, Evidence of phonon-absorption-assisted electron resonant tunneling in Si/Sil_~ Ge~ diodes, J. Vac. Sci. Technol. B 11, 1145-8 (1993).
280
BIBLIOGRAPHY
[417] R. People, J. C. Bean, D. V. Lang, A. M. Sergent, H. L. Stormer, K. W. Wecht, R. T. Lynch, and K. Baldwin, Modulation doping in GexSil_x/Si strained layer heterostructures, Appl. Phys. Lett. 45, 1231-3 (1984). [4181 R. People, J. C. Bean, and D. V. Lang, Modulation doping in GexSil_x/Si strained layer heterostructures: Effects of alloy layer thickness, doping setback, and cladding layer dopant concentration, J. Vac. Sci. Technol. A3, 846-50 (1985). [419] H. Jorke, and H. J. Herzog, Mobility enhancement in modulation doped Si/GexSil_x superlattice grown by Molecular Beam Epitaxy, Proc. 1st Int. Symp. on Silicon Molecular Beam Epitaxy, Ed.: J. C. Bean, (Pennington, N. J., Electrochemical Soc. 1985), pp 352-9.
Index 2D electron gas 206-207 2D hole gas 206-207
of layers with free surfaces, 17, 19 of superlattices, 21 current gain-Early voltage product ~VA, 2, 149, 161-163 cut off frequency fw, 2, 165, 166
alloy scattering 105, 106, 107, 108 band discontinuities, see band structure bandgap narrowing due to heavy doping 101-105 apparent bandgap narrowing 103 combined effect of doping and strain 103 bandstructure splitting of bands 91-92 bandgaps 92 band offsets 93-96 experimental studies of band offsets 96 optical 128 base resistance RB 151, 152 base transit time TB 150, 153, 154 BGN see bandgap narrowing blocking of dislocations, 51-54 breakdown voltage BVcEo, 2 buffer layers, see strain relaxed layers
Diffusion, thermal 73 effect of surface and interface 78 of carbon interstitials 78 of Ge in strained Si 75 of Si, boron and phosphorus 73 of Si interstitials 76-78 dislocations core energy, 13 misfit,9, 10, 11 energies, 12 interacting, 13 non-periodic array, 16 periodic array, 13 threading, 9, 10 Early voltage, 61 stability, 65 Effective Density of States 98-101 cubic alloys 98 doped strained alloys 98-99 effective mass 99, 107
carbon, 2, 61-71, 116-118, 178-182, 225, 228 CMOS, 61, 156 co-implantation combined effect of strain and doping on Fermi energy 99, 101 commercial production, 3-5 critical thickness hc definition, 12 of capped layers, 20
Fermi energy 99 graded layers, see strain relaxed layers HBTs t3, see current gain/7 281
INDEX
282 fmax, See maximum oscillation frequency fmax A1GaAs/GaAs 148 Base After Gate (BAGate) 158 base current 164 BiCMOS 175 BiCMOS technology 150 BJT 147 breakdown voltage BVcBo 167, 168 breakdown voltage BVcEo 168, 169 breakdown voltage BVEBo 168 CDMA 147 collector current 164 comparison of designs 153-154 current gain/3 147, 161 current gain-Early voltage product ~VA, 149, 161-163 cut off frequency fT, 165, 166, 169, 171 DBAG/TEMIC technology 157159 degradation 176, 179 Early voltage 161, ECL circuits 177 epitaxial base 154, 155 gate delay Td 148, 152, 166, 168, 170 Ge profile 149, 150, 163, 167 GSM 147 Gummel plots 163 Hitachi design 152-153 Hitachi technology 159-160 IBM and DBAG designs 150152 IBM technology 156 Johnson Limit 171 layer structure 149 link resistance 165 LNA 147 LOCOS 175 logic swing voltage 170 low temperature 177 maximum oscillation frequency fmax, 165, 166, 169, 171
out diffusion of boron 179 parasitic barriers 169. 179 performance 174 power-delay curve 155 reliability 173, 176 resistance, thermal 173 selective growth 154 shallow trench isolation 178 Si BJT 177 Si technology 149 SONET 147 STI, see shallow trench isolation switching current 170 technology 154 VCO 147 wide bandgap emitter 148 with SiGeC base 178 yield 173, 175 Heterostructure Bipolar Transistor, see HBTs IR photodetector absorption layer 236 DBR 238 FWHM 238 MQW detector 236 multiple quantum well detector, see MQW detector RCE detector 236 resonant cavity enhanced detector, see RCE detector Land marks, 5-7 lattice constants, 9 lattice mismatch, 9 maximum oscillation frequency fm~x, 2, 165 misfit parameter, 12 mobility 110 effect of interface scattering 113 effect of strain and doping 109, electron mobility in cubic alloys 105-106 electron mobility in strained Si 108
INDEX electron mobility in strained alloys 105-106 hole mobility in cubic SiGe alloys 105-106 hole mobility in strained SiGe alloys 111-113 mobility in MODFETs 206 212 AC performance 208 circuit performance 211-212 comparison with HBTs 210 DC performance 208 Design of MODFETs 207 electron and hole mobilities in 2D gas 207 enhanced mobility in 2D gas 206 growth on buffers 206 minimum noise figure 211 noise in MODFETs 209-210 noise resistance 211 performance characteristics of MODFETs 200 tensile strained Si 207 trans conductance 207, 208 Modulation Doped Field Effect Transistors, see MODFETs multiplication of dislocations, 512 MOSFETs 195-231 band diagram 196, 197 buried layers 196 channel charge density 197, 198 gate dielectric 196 Ge channel MOSFETs 195 mobility 198 optimum performance 199 performance characteristics nMOSFETs 200 performance characteristics pMOSFETs 200 plasma enhanced CVD 199 Si cap 199 SIMOX substrate 201 strained layer n-MOSFETs 202203 strained layer n-MOSFETs 202203
283 strained layer p-MOSFETs 195202 tensile strained Si channel 202 thin body MOSFETs 196 threshold voltage VTH 197, 201 transconductance 200, 201 vertical MOSFETs 203-204 subthreshold characteristics 205 effect of grading rate 205 MOSFETs on insulator 212-215 design 214 p-MOSFETs on insulator 213215 SGOI 213 SIMOX technology 214 SOI technology 213 thin-body MOSFET
nucleation of dislocations 47-51 homogeneous nucleation 47-49 heterogeneous nucleation, 48-51 optical properties 118-139 optical properties of cubic alloys effect of electron-hole plasma 123 effect of dislocations 123 electroreflectance 124 luminescence 120-123 Raman scattering 124 optical absorption 118-120 optical studies of strained alloys broad luminescence band 129 dislocation related luminescence 130 effect of Ge concentration 126 effect of growth method 126 electroluminescence 131 132 MC phonon energies 128 near band-edge luminescence 124128 NP and TO lines 125-126 optical waveguide switch 239-240 bow-tie electrode 239 characteristics 239 copper heat sink 240
284
INDEX
image converter 240 injection current 240 refractive index 239, 240 rib, width and height 239, 240 single mode operation 239 structure 239, 240 wavelength 240 polycrystalline films, 33-35 propagation of dislocations, 41-47 double kink model, 43 excess stress, 41, 42 measurements, 45 mechanism, 42 Schmid factor, 42 single kink model 44 pseudomorphic layer, 9 quantum dots 137-138 quantum wells confinement energy 132 effect of defects 132 effect of well width 132 electron hole plasma 133 quantum wires 134-137 Raman studies 135-136 optical studies 136 PL and PR 137 resonant tunnelling diodes 231-236 current voltage ( I - V) characteristics 232 D B devices, see two barrier devices effect of magnetic field 233-234 figure of merit 231 heavy hole states 233 light hole states 233 NDC 231 Negative Differential Conductance, see NDC noise in RTDs 234 Peak to Valley Ratio, see PVR PVR 231, 236 recent work 235 resonant energies 232
resonant tunnelling of electrons 234 resonant tunnelling of holes 232 resonant tunnelling of holes 232 RTD, see resonant tunnelling diod TB devices, see three barrier devices Temperature effects 232 thermionic current 233 three barrier devices 232 tunnelling states 233 two barrier devices 232 SiGeC layers, 61 critical thickness, 65 growth on SiGe buffer, 62 effect of Ge concentration, 71 electrical properties 116-117 IR and Raman measurements 67-71 strain distribution 63 GeC/Ge superlattices 64-65 precipitation of SiC 66 substitutional carbon 67 single crystal films 117 stability of strained layers effect of heat treatment 27, 28 large area layers 22, 23 of surfaces 26 small layers 24 with trench isolation 25 stability, 9 strain 2, 9 strain relaxation, 2 Dodson-Tsao theory, 54-57 recent work, 57 strain relaxed layers using compliant substrate 37 using graded layers 35-36 using hydrogen implantation 36 using two step relaxation 37-40 stresses edge induced 29, 30 effect of dimensions 31-33 superlattices band structure 140-142
285
INDEX
mobility 142 Raman spectra 143 luminescence 143 transition energies 144 direct transitions 144, 145 TED 61, 79-82 improved model of TED suppression 88-90 outdiffusion of carbon 86-88 suppression by carbon 82-84
trapping model 84-86 transient enhanced diffusion, see TED unit current gain cutoff frequency, see unit current gain cutoff frequency fW Vegard's law 9 for SiGeC layers 62 waveguide switch, see optical waveguide switch
This Page Intentionally Left Blank
Contents of Volumes in This Series
Volume I
Physics of III-V Compounds
C. Hilsum, Some Key Features of III-V Compounds F. Bassani, Methods of Band Calculations Applicable to III-V Compounds E. O. Kane, The k - p Method V. L. Bonch-Brueoich, Effect of Heavy Doping on the Semiconductor Band Structure D. Long, Energy Band Structures of Mixed Crystals of III-V Compounds L. M. Roth and P. N. Argyres, Magnetic Quantum Effects S. M. Puri and T. H. Geballe, Thermomagnetic Effects in the Quantum Region W. M. Becker, Band Characteristics near Principal Minima from Magnetoresistance E. H. Putley, Freeze-Out Effects, Hot Electron Effects, and Submillimeter Photoconductivity in InSb H. Weiss, Magnetoresistance B. Ancker-Johnson, Plasma in Semiconductors and Semimetals
Volume 2
Physics of III-V Compounds
M. G. Holland, Thermal Conductivity S. L Nookooa, Thermal Expansion U. Piesbergen, Heat Capacity and Debye Temperatures G. Giesecke, Lattice Constants J. R. Drabble, Elastic Properties A. U. Mac Rae and G. W. GobelL Low Energy Electron Diffraction Studies R. Lee Mieher, Nuclear Magnetic Resonance B. Goldstein, Electron Paramagnetic Resonance T. S. Moss, Photoconduction in III-V Compounds E. Antoncik and J. Tauc, Quantum Efficiency of the Internal Photoelectric Effect in InSb G. W. Gobeli and L G. Allen, Photoelectric Threshold and Work Function P. S. Pershan, Nonlinear Optics in III-V Compounds M. Gershenzon, Radiative Recombination in the III-V Compounds F. Stern, Stimulated Emission in Semiconductors
287
288
CONTENTS OF VOLUMES IN THIS SERIES Volume 3
Optical Properties of III-V Compounds
M. Hass, Lattice Reflection W. G. Spitzer, Multiphonon Lattice Absorption D. L. Stierwalt and R. F. Potter, Emittance Studies H. R. Philipp and H. Ehrenveich, Ultraviolet Optical Properties M. Cardona, Optical Absorption Above the Fundamental Edge E. J. Johnson, Absorption Near the Fundamental Edge J. O. Dimmock, Introduction to the Theory of Exciton States in Semiconductors B. Lax and J. G. Mavroides, Interband Magnetooptical Effects H. Y. Fan, Effects of Free Carries on Optical Properties E. D. Palik and G. B. Wright, Free-Carrier Magnetooptical Effects R. H. Bube, Photoelectronic Analysis B. O. Seraphin and H. E. Benett, Optical Constants
Volume 4
Physics of III-V Compounds
N. A. Gorvunova, A. S. Borcheuskii and D. N. Tretiakov, Hardness N. N. Sirota, Heats of Formation and Temperatures and Heats of Fusion of Compounds of AlllB v D. A. R. L. N. R.
L. Kendall, Diffusion G. Chynoweth, Charge Multiplication Phenomena W. Keyes, The Effects of Hydrostatic Pressure on the Properties of III-V Semiconductors W. Aukerman, Radiation Effects A. Goo'unova, E P. Kesamanly, and D. N. Nasledov, Phenomena in Solid Solutions T. Bate, Electrical Properties of Nonuniform Crystals
Volume 5
I n f r a r e d Detectors
H. Levinstein, Characterization of Infrared Detectors P W. Kruse, Indium Antimonide Photoconductive and Photoelectromagnetic Detectors M. B. Prince, Narrowband Self-Filtering Detectors I. Melngalis and T. C. Harman, Single-Crystal Lead-Tin Chalcogenides D. Long and J. L. Schmidt, Mercury-Cadmium Telluride and Closely Related Alloys E. H. Putlev The Pyroelectric Detector N. B. Stevens, Radiation Thermopiles R. J. Keyes and T. M. Quist, Low Level Coherent and Incoherent Detection in the Infrared M. C. Teich, Coherent Detection in the Infrared E R. Arams, E. W. Sard, B. J. Pevton and E P. Pace, Infrared Heterodyne Detection with Gigahertz IF Response H. S. Sommers, Jr., Macrowave-Based Photoconductive Detector R. Sehr and R. Zuleeg, Imaging and Display
Volume 6
Injection Phenomena
M. A. Lampert and R. B. Schilling, Current Injection in Solids: The Regional Approximation Method R. Williams, Injection by Internal Photoemission
CONTENTS OF VOLUMES IN THIS SERIES
289
A. M. Barnett, Current Filament Formation R. Baron and J. W. Mayer, Double Injection in Semiconductors IV. Ruppel, The Photoconductor-Metal Contact
Volume 7
A p p l i c a t i o n and Devices
Part A J. A. Copeland and S. Knight, Applications Utilizing Bulk Negative Resistance F. A. Padovani, The Voltage-Current Characteristics of Metal-Semiconductor Contacts P. L. Howe1; W. W. Hooper, B. R. Cairns, R. D. Fairman, and D. A. Tremere, The GaAs Field-Effect Transistor M. H. White, MOS Transistors G. R. Antell, Gallium Arsenide Transistors T. L. Tanslev, Heterojunction Properties
Part B T. Misawa, IMPATT Diodes H. C. Okean, Tunnel Diodes R. B. Campbell and Hung-Chi Chang, Silicon Junction Carbide Devices R. E. Enstrom, H. Kressei, and L. KrassneJ; High-Ternperature Power Rectifiers of GaAsl xP~
Volume 8
Transport and Optical Phenomena
R. J. Stirn, Band Structure and Galvanomagnetic Effects in III-V Compounds with Indirect Band Gaps R. W. Ure, Jr., Thermoelectric Effects in III-V Compounds H. Piller, Faraday Rotation H. Barry Bebb and E. W. Williams, Photoluminescence I: Theory E. W. Williams and H. Barry Bebb, Photoluminescence II: Gallium Arsenide
Volume 9
Modulation Techniques
B. O. Seraphin, Electroreflectance R. L. Aggarwal, Modulated Interband Magnetooptics D. F. Blossev and Paul Handler, Electroabsorption B. Batz, Thermal and Wavelength Modulation Spectroscopy I. Balslev, Piezooptical Effects D. E. Aspnes and N. Bottka, Electric-Field Effects on the Dielectric Function of Semiconductors and Insulators
Volume 10
Transport Phenomena
R. L. Rhode, Low-Field Electron Transport J. D. Wiley, Mobility of Holes in III-V Compounds C. M. Wolfe and G. E. Stillman, Apparent Mobility Enhancement in Inhomogeneous Crystals
290
CONTENTS OF VOLUMES IN THIS SERIES
R. L. Petersen, The Magnetophonon Effect
Volume 11
S o l a r Cells
H. J. Hovel, Introduction; Carrier Collection, Spectral Response, and Photocurrent; Solar Cell
Electrical Characteristics; Efficiency; Thickness; Other Solar Cell Devices; Radiation Effects; Temperature and Intensity; Solar Cell Technology
Volume 12
I n f r a r e d D e t e c t o r s (II)
W. L. Eiseman, J. D. Merriam, and R. E Potter, Operational Characteristics of Infrared
Photodetectors P. R. Bratt, Impurity Germanium and Silicon Infrared Detectors E. H. Putley, lnSb Submillimeter Photoconductive Detectors G. E. Stillman, C. M. Wolfe, and J. O. Dimmock, Far-Infrared Photoconductivity in High Purity
GaAs G. E. Stillman and C. M. Wolfe, Avalanche Photodiodes P. L. Richards, The Josephson Junction as a Detector of Microwave and Far-Infrared Radiation E. H. Putley, The Pyroelectric Detector- An Update
Volume 13
Cadmium
Telluride
K. Zanio, Materials Preparations; Physics; Defects; Applications
Volume 14
Lasers,
Junctions, Transport
N. Holonyak, Jr., and M. H. Lee, Photopumped III-V Semiconductor Lasers H. Kressel and J. K. Butler, Heterojunction Laser Diodes A. Van der Ziel, Space-Charge-Limited Solid-State Diodes P. J. Price, Monte Carlo Calculation of Electron Transport in Solids
Volume 15
Contacts, Junctions, E m i t t e r s
B. L. Sharma, Ohmic Contacts to III-V Compounds Semiconductors A. Nussbaum, The Theory of Semiconducting Junctions J. S. Escher, NEA Semiconductor Photoemitters
Volume 16
Defects, ( H g C d ) S e ,
(HgCd)Te
H. Kressel, The Effect of Crystal Defects on Optoelectronic Devices C. R. Whitsett, J. G. Broerman, and C. J. Summers, Crystal Growth and Properties of Hgl _xCdxSe
Alloys M. H. Weiler, Magnetooptical Properties of Hgl-xCdxTe Alloys P. W. Kruse and J. G. Ready, Nonlinear Optical Effects in Hgl-xCdxTe
CONTENTS OF VOLUMES IN THIS SERIES
Volume 17
291
CW Processing of Silicon and Other Semiconductors
J. F. Gibbons, Beam Processing of Silicon A. Lietoila, R. B. Gold, J. F. Gibbons, and L. A. Christel, Temperature Distributions and Solid Phase Reaction Rates Produced by Scanning CW Beams A. Leitoila and J. F. Gibbons, Applications of CW Beam Processing to Ion Implanted Crystalline Silicon N. M. Johnson, Electronic Defects in CW Transient Thermal Processed Silicon K. F. Lee, T. J. Stultz, and J.E Gibbons, Beam Recrystallized Polycrystalline Silicon: Properties, Applications, and Techniques T. Shibata, A. Wakita, T. W. Sigmon and J. E Gibbons, Metal-Silicon Reactions and Silicide Y. L Nissim and J. F. Gibbons, CW Beam Processing of Gallium Arsenide
Volume 18
Mercury Cadmium Telluride
P W. Kruse, The Emergence of (Hgl-xCdx)Te as a Modern Infrared Sensitive Material H. E. Hirsch, S. C. Liang, and A. G. White, Preparation of High-Purity Cadmium, Mercury, and Tellurium W. F. H. Micklethwaite, The Crystal Growth of Cadmium Mercury Telluride P E. Petersen, Auger Recombination in Mercury Cadmium Telluride R. M. Broudy and V. J. Mazurczyck, (HgCd)Te Photoconductive Detectors M. B. Reine, A. K. Soad, and T. J. Tredwell, Photovoltaic Infrared Detectors M. A. Kinch, Metal-Insulator-Semiconductor Infrared Detectors
Volume 19
Deep Levels, G a A s , Alloys, Photochemistry
G. E Neumark and K. Kosai, Deep Levels in Wide Band-Gap III-V Semiconductors D. C. Look, The Electrical and Photoelectronic Properties of Semi-Insulating GaAs R. F. Brebrick, Ching-Hua Su, and Pok-Kai Liao, Associated Solution Model for G a - l n - S b and Hg-Cd-Te Y. Ya. Gurevich and Y V. Pleskon, Photoelectrochemistry of Semiconductors
Volume 20
Semi-Insulating GaAs
R. N. Thomas, H. M. Hobgood, G. W. Eldridge, D. L. Barrett, T. T. Braggins, L. B. Ta, and S. K. Wang, High-Purity LEC Growth and Direct Implantation of GaAs for Monolithic Microwave Circuits C. A. Stolte, Ion Implantation and Materials for GaAs Integrated Circuits C. G. Kirkpatrick, R. T. Chen, D. E. Holmes, P. M. Asbeck, K. R. Elliott, R. D. Fairman, and J. R. Oliver, LEC GaAs for Integrated Circuit Applications J. S. Blakemore and S. Rahimi, Models for Mid-Gap Centers in Gallium Arsenide
Volume 21
Hydrogenated Amorphous Silicon Part A
J. L Pankove, Introduction M. Hirose, Glow Discharge; Chemical Vapor Deposition
292
CONTENTS OF VOLUMES IN THIS SERIES
Y. Uchida, di Glow Discharge T. D. Moustakas, Sputtering L Yamada, Ionized-Cluster Beam Deposition B. A. Scott, Homogeneous Chemical Vapor Deposition F. J. Kampas, Chemical Reactions in Plasma Deposition P A. Longeway, Plasma Kinetics H. A. Weakliem, Diagnostics of Silane Glow Discharges Using Probes and Mass Spectroscopy L. Ghtttman, Relation between the Atomic and the Electronic Structures A. Chenevas-Paule, Experiment Determination of Structure S. Minomura, Pressure Effects on the Local Atomic Structure D. Adler, Defects and Density of Localized States
Part B J. I. Pankove, Introduction G. D. Cody, The Optical Absorption Edge of a-Si:H N. M. Amer and W. B. Jackson, Optical Properties of Defect States in a-Si:H P J. Zanzucchi, The Vibrational Spectra of a-Si:H Y Hamakawa, Electroreflectance and Electroabsorption J. S. Lannin, Raman Scattering of Amorphous Si, Ge, and Their Alloys R. A. Street, Luminescence in a-Si:H R. S. Crandall, Photoconductivity J. Tauc, Time-Resolved Spectroscopy of Electronic Relaxation Processes R E. Vanier, IR-Induced Quenching and Enhancement of Photoconductivity and Photoluminescence H. Schade, Irradiation-Induced Metastable Effects L. Ley, Photoelectron Emission Studies
Part C J. L Pankove, Introduction J. D. Cohen, Density of States from Junction Measurements in Hydrogenated Amorphous Silicon P. C. Taylor, Magentic Resonance Measurements in a-Si:H K. Morigaki, Optically Detected Magnetic Resonance J. Dresner, Carrier Mobility in a-Si:H T. Tiedje, Information About Band-Tail States from Time-of-Flight Experiments A. R. Moore, Diffusion Length in Undoped a-S: H W. Beyer and J. Overhof Doping Effects in a-Si:H H. Fritzche, Electronic Properties of Surfaces in a-Si:H C. R. Wronski, The Staebler-Wronski Effect R. J. Nemanich, Schottky Barriers on a-Si:H B. Abeles and T. 77edje, Amorphous Semiconductor Superlattices
Part D J. I. Pankove, Introduction D. E. Carlson, Solar Cells
CONTENTS OF VOLUMES IN THIS SERIES
293
G. A. Swartz, Closed-Form Solution of I - V Characteristic for a s-Si:H Solar Cells L Shimizu, Electrophotography S. Ishioka, Image Pickup Tubes P. G. L e c o m b e r and W. E. Spear, The Development of the a-Si:H Field-Effect Transistor and its Possible Applications D. G. Ast, a-Si:H FET-Addressed LCD Panel S. Kaneko, Solid-State Image Sensor M. Matsumura, Charge-Coupled Devices M. A. Bosch, Optical Recording A. D ' A m i c o and G. Fortunato, Ambient Sensors H. Kulkimoto, Amorphous Light-Emitting Devices R. J. Phelan, Jr., Fast Decorators and Modulators J. I. Pankove, Hybrid Structures P G. LeComber, A. E. Owen, W.E. Spear, J. Hajto, and W. K. Choi, Electronic Switching in Amorphous Silicon Junction Devices
Volume 22
Lightwave Communications Technology Part A
Nakajima, The Liquid-Phase Epitaxial Growth of InGaAsP T. Tsang, Molecular Beam Epitaxy for III-V Compound Semiconductors B. Stringfellow, Organometallic Vapor-Phase Epitaxial Growth of III-V Semiconductors Beuchet, Halide and Chloride Transport Vapor-Phase Deposition of InGaAsP and GaAs Razeghi, Low-Pressure, Metallo-Organic Chemical Vapor Deposition of Gaxlnl xAsPl _y Alloys P M. Petro[f Defects in III-V Compound Semiconductors K. W. G. G. M.
Part B J. P van der Ziel, Mode Locking of Semiconductor Lasers K. Y. Lau and A. Yariu, High-Frequency Current Modulation of Semiconductor Injection Lasers C. H. Henry, Special Properties of Semi Conductor Lasers Y. Suematsu, K. Kishino, S. Arai, and E Koyama, Dynamic Single-Mode Semiconductor Lasers with a Distributed Reflector W. T. Tsang, The Cleaved-Coupled-Cavity (C 3) Laser
Part C R .J. Nelson and N. K. Dutta, Review of InGaAsP InP Laser Structures and Comparison of Their Performance N. Chinone and M. Nakamura, Mode-Stabilized Semiconductor Lasers for 0.7-0.8- and 1.1 - 1.6-~m Regions Y. Horikoshi, Semiconductor Lasers with Wavelengths Exceeding 2 ~tm B. A. Dean and M. Dixon, The Functional Reliability of Semiconductor Lasers as Optical Transmitters R. H. Saul, T. P Lee, and C. A. Burus, Light-Emitting Device Design C. L. Zipfel, Light-Emitting Diode-Reliability T. P Lee and T. Li, LED-Based Multimode Lightwave Systems
294
CONTENTS OF VOLUMES IN THIS SERIES
K. Ogawa, Semiconductor Noise-Mode Partition Noise
Part D F. T. T. S.
Capasso, The Physics of Avalanche Photodiodes P Pearsall and M. A. Pollack, Compound Semiconductor Photodiodes Kaneda, Silicon and Germanium Avalanche Photodiodes R. Forrest, Sensitivity of Avalanche Photodetector Receivers for High-Bit-Rate Long-
Wavelength Optical Communication Systems J. C. Campbell, Phototransistors for Lightwave Communications
Part E S. Wang, Principles and Characteristics of Integrable Active and Passive Optical Devices S. Margalit and A. Yario, Integrated Electronic and Photonic Devices T. Mukai, Y. Yamamoto, and T. Kimura, Optical Amplification by Semiconductor Lasers
Volume 23
Pulsed Laser Processing of Semiconductors
R. C. G. R. R. D.
F. Wood, C. W. White and R. T. Young, Laser Processing of Semiconductors: An Overview W. White, Segregation, Solute Trapping and Supersaturated Alloys E. Jellison, Jr., Optical and Electrical Properties of Pulsed Laser-Annealed Silicon F. Wood and G. E. Jellison, Jr., Melting Model of Pulsed Laser Processing F. Wood and F. W. Young, Jr., Nonequilibrium Solidification Following Pulsed Laser Melting H. Lowndes and G. E. Jellison, Jr., Time-Resolved Measurement During Pulsed Laser
D. D. R. R.
M. Zebner, Surface Studies of Pulsed Laser Irradiated Semiconductors H. Lowndes, Pulsed Beam Processing of Gallium Arsenide B. James, Pulsed CO2 Laser Annealing of Semiconductors T. Young and R. F. Wood, Applications of Pulsed Laser Processing
Irradiation of Silicon
Applications of Multiquantum Wells, Selective Doping, and Superlattices
Volume 24
C. Weisbuch, Fundamental Properties of III-V Semiconductor Two-Dimensional Quantized
Structures: The Basis for Optical and Electronic Device Applications H. Morkoq and H. Unlu, Factors Affecting the Performance of (AI,Ga)As/GaAs and
(Al,Ga)As/InGaAs Modulation-Doped Field-Effect Transistors: Microwave and Digital Applications N. T. Linh, Two-Dimensional Electron Gas FETs: Microwave Applications M. Abe et al., Ultra-High-Speed HEMT Integrated Circuits D. S. Chemla, D. A. B. Miller and P W. Smith, Nonlinear Optical Properties of Multiple Quantum Well Structures for Optical Signal Processing F. Capasso, Graded-Gap and Superlattice Devices by Band-Gap Engineering W. T. Tsang, Quantum Confinement Heterostructure Semiconductor Lasers G. C. Osbourn et al., Principles and Applications of Semiconductor Strained-Layer Superlattices
CONTENTS OF VOLUMES IN THIS SERIES Volume 25
295
Diluted Magnetic Semiconductors
W. Giriat and s K. Furdyna, Crystal Structure, Composition, and Materials Preparation of
Diluted Magnetic Semiconductors W. M. Becket, Band Structure and Optical Properties of Wide-Gap AIll xMnxBIv Alloys at Zero
Magnetic Field S. Oseroff and P H. Keesom, Magnetic Properties: Macroscopic Studies T. Giebultowicz and T. M. Holden, Neutron Scattering Studies of the Magnetic Structure and
Dynamics of Diluted Magnetic Semiconductors J. Kossut, Band Structure and Quantum Transport Phenomena in Narrow-Gap Diluted Magnetic
Semiconductors C. Riquaux, Magnetooptical Properties of Large-Gap Diluted Magnetic Semiconductors J. A. Gaj, Magnetooptical Properties of Large-Gap Diluted Magnetic Semiconductors J. Mycielski, Shallow Acceptors in Diluted Magnetic Semiconductors: Splitting, Boil-off, Giant
Negative Magnetoresistance A. K. Ramadas and R. Rodriquez, Raman Scattering in Diluted Magnetic Semiconductors P A. Wolff, Theory of Bound Magnetic Polarons in Semimagnetic Semiconductors
I I I - V Compound Semiconductors and Semiconductor Properties of Superionic Materials
Volume 26
Z. Yuanxi, III-V Compounds H. V. Winston, A. T. Hunter, H. Kimura, and R. E. Lee, InAs-Alloyed GaAs Substrates for Direct
Implantation P K. Bhattacharya and S. Dhar, Deep Levels in III-V Compound Semiconductors Grown by
MBE Y. Ya. Gurevich and A. K. Ivanoo-Shits, Semiconductor Properties of Supersonic Materials
Volume 27
High Conducting Quasi-One-Dimensional Organic Crystals
E. M. Conwell, Introduction to Highly Conducting Quasi-One-Dimensional Organic Crystals L A. Howard, A Reference Guide to the Conducting Quasi-One-Dimensional Organic Molecular
Crystals s P Pouquet, Structural Instabilities E. M. Conwell, Transport Properties C. S. Jacobsen, Optical Properties J. C. Scott, Magnetic Properties L. Zuppiroli, Irradiation Effects: Perfect Crystals and Real Crystals
Volume 28
M e a s u r e m e n t of High-Speed Signals in Solid State Devices
J. Frey and D. loannou, Materials and Devices for High-Speed and Optoelectronic Applications H. Schumacher and E. Strid, Electronic Wafer Probing Techniques D. H. Auston, Picosecond Photoconductivity: High-Speed Measurements of Devices and
Materials d. A. Valdmanis, Electro-Optic Measurement Techniques for Picosecond Materials, Devices and
Integrated Circuits
296
CONTENTS OF VOLUMES IN THIS SERIES
J. M. WiesenJeld and R. K. ,lain, Direct Optical Probing of Integrated Circuits and High-Speed
Devices G. Plows, Electron-Beam Probing A. M. Weiner and R. B. Marcus, Photoemissive Probing
Very H i g h Speed Integrated Circuits: Gallium Arsenide L S I
Volume 29
M. Kuzuhara and T. Nazaki, Active Layer Formation by Ion Implantation H. Hasimoto, Focused Ion Beam Implantation Technology T. Nozaki and A. Higashisaka, Device Fabrication Process Technology M. Ino and T. Takada, GaAs LSI Circuit Design M. Hirayama, M. Ohmori, and K. Yamasaki, GaAs LSI Fabrication and Performance
Volume 30
Very H i g h Speed Integrated Circuits: Heterostructure
H. Watanabe, T. Mizutani, and A. Usui, Fundamentals of Epitaxial Growth and Atomic Layer
Epitaxy S. Hiyamizu, Characteristics of Two-Dimensional Electron Gas in III-V Compound Heterostruc-
tures Grown by MBE T. T. T. H.
Nakanisi, Metalorganic Vapor Phase Epitaxy for High-Quality Active Layers Nimura, High Electron Mobility Transistor and LSI Applications Sugeta and T. Ishibashi, Hetero-Bipolar Transistor and LSI Application Matsuedo, T. Tanaka, and M. Nakamura, Optoelectronic Integrated Circuits
Volume 31
I n d i u m Phosphide: Crystal Growth and Characterization
J. P. Farges, Growth of Discoloration-Free lnP M. J. M c C o l l u m and G. E. Stillman, High Purity InP Grown by Hydride Vapor Phase Epitaxy I. lnada and T. Fukuda, Direct Synthesis and Growth of Indium Phosphide by the Liquid
Phosphorous Encapsulated Czochralski Method O. Oda, K. Katagiri, K. Shinohara, S. Katsura, Y. Takahashi, K. Kainosho, K. Kohiro, and R. Hirano, InP Crystal Growth, Substrate Preparation and Evaluation K. Tada, M. Tatsumi, M. Morioka, T. Araki, and T. Kawase, InP Substrates: Production and
Quality Control M. Razeghi, LP-MOCVD Growth, Characterization, and Application of InP Material T. A. Kennedy and P. J. Lin-Chung, Stoichiometric Defects in InP
Volume 32
Strained-Layer Superlattices: Physics
T. P. Pearsall, Strained-Layer Superlattices F. H. Pollack, Effects of Homogeneous Strain on the Electronic and Vibrational Levels in
Semiconductors J. Y. Marzin, J. M. Gerdrd, P. Voisin, and J. A. Brum, Optical Studies of Strained III-V
Heterolayers R. People and S. A. Jackson, Structurally Induced States from Strain and Confinement M. Jaros, Microscopic Phenomena in Ordered Superlattices
CONTENTS OF VOLUMES IN THIS SERIES Volume 33
297
S t r a i n e d - L a y e r Superlattices: Material Science and Technology
R. Hull and J. C. Bean, Principles and Concepts of Strained-Layer Epitaxy W. J. ShaJf P. J. Tasker, M. C. Foisy, and L. E Eastman, Device Applications of Strained-Layer Epitaxy S. T. Pieraux, B. L. Doyle, and J. Y. Tsao, Structure and Characterization of Strained-Layer Superlattices E. Kasper and E Schaffer, Group IV Compounds D. L. Martin, Molecular Beam Epitaxy of IV-VI Compounds Heterojunction R. L. Gunshor, L. A. Kolodziejski, A. V. Nurmikko, and N. Otsuka, Molecular Beam Epitaxy of II-VI Semiconductor Microstructures
Volume 34
H y d r o g e n in Semiconductors
J. L Pankove and N. M. Johnson, Introduction to Hydrogen in Semiconductors C. H. Seager, Hydrogenation Methods J. I. Pankove, Hydrogenation of Defects in Crystalline Silicon J. W. Corbett, P. Dedk, U. V. Desnica, and S. J. Pearton, Hydrogen Passivation of Damage Centers in Semiconductors S. J. Pearton, Neutralization of Deep Levels in Silicon J. I. Pankove, Neutralization of Shallow Acceptors in Silicon N. M. Johnson, Neutralization of Donor Dopants and Formation of Hydrogen-Induced Defects in n-Type Silicon M. Stauola and S. J. Pearton, Vibrational Spectroscopy of Hydrogen-Related Defects in Silicon A. D. Marwick, Hydrogen in Semiconductors: Ion Beam Techniques C. Herring and N. M. Johnson, Hydrogen Migration and Solubility in Silicon E. E. Haller, Hydrogen-Related Phenomena in Crystalline Germanium J. Kakalios, Hydrogen Diffusion in Arnorphous Silicon J. Chevalier, B. Clerjaud, and B. Pajot, Neutralization of Defects and Dopants in III-V Semiconductors G. G. DeLeo and W. B. Fowler, Computational Studies of Hydrogen-Containing Complexes in Semiconductors R. E Kiefl and T. L. Estle, Muonium in Semiconductors C. G. Van de Walle, Theory of Isolated Interstitial Hydrogen and Muonium in Crystalline Semiconductors
Volume 35 M. H. G. M. W.
Nanostructured Systems
Reed, Introduction van Houten, C. W. J. Beenakker, and B. J. Wees, Quantum Point Contacts Timp, When Does a Wire Become an Electron Waveguide? B6ttiker, The Quantum Hall Effects in Open Conductors Hansen, J. P. Kotthaus, and U. Merkt, Electrons in Laterally Periodic Nanostructures
Volume 36
T h e Spectroscopy of Semiconductors
D. Heiman, Spectroscopy of Semiconductors at Low Temperatures and High Magnetic Fields A. V. Nurmikko, Transient Spectroscopy by Ultrashort Laser Pulse Techniques
298
CONTENTS OF VOLUMES IN THIS SERIES
A. K. Ramdas and S. Rodriguez, Piezospectroscopy of Semiconductors O. J.. Glembocki and B. V. Shanabrook, Photoreflectance Spectroscopy of Microstructures D. G. Seiler, C. L. Littler, and M. H. Wiler, One- and Two-Photon Magneto-Optical Spectroscopy of InSb and Hgl-xCdxTe
Volume 37
The Mechanical Properties of Semiconductors
,4.-B. Chen, ,4. Sher, and W. T. Yost, Elastic Constants and Related Properties of Semiconductor Compounds and Their Alloys D. R. Clarke, Fracture of Silicon and Other Semiconductors H. Siethoff, The Plasticity of Elemental and Compound Semiconductors S. Guruswamy, K. T. Faber, and J. P Hirth, Mechanical Behavior of Compound Semiconductors S. Mahajan, Deformation Behavior of Compound Semiconductors J. P Hirth, Injection of Dislocations into Strained Multilayer Structures D. Kendall, C. B. Fleddermann, and K. J. Malloy, Critical Technologies for the Micromatching of Silicon L Matsuba and K. Mokuya, Processing and Semiconductor Thermoelastic Behavior
Volume 38
Imperfections in III/V Materials
U. Scherz and M. Scheffler, Density-Functional Theory of sp-Bonded Defects in III/V Semiconductors M. Kaminska and E. R. Weber, El2 Defect in GaAs D. C. Look, Defects Relevant for Compensation in Semi-Insulating GaAs R. C. Newman, Local Vibrational Mode Spectroscopy of Defects in III/V Compounds `4. M. Hennel, Transition Metals in III/V Compounds K. J. Malloy and K. Khachaturyan, DX and Related Defects in Semiconductors V. Swaminathan and A. S. Jordan, Dislocations in III/V Compounds K. W. Nauka, Deep Level Defects in the Epitaxial III/V Materials
Volume 39
Minority Carriers in III-V Semiconductors: Physics and Applications
N. K. Dutta, Radiative Transition in GaAs and Other III-V Compounds R. K. ,4hrenkiel, Minority-Carrier Lifetime in III-V Semiconductors T. Furuta, High Field Minority Electron Transport in p-GaAs M. S. Lundstrom, Minority-Carrier Transport in III-V Semiconductors R. ,4. Abram, Effects of Heavy Doping and High Excitation on the Band Structure of GaAs D. Yeuick and W. Bardyszewski, An Introduction to Non-Equilibrium Many-Body Analyses of Optical Processes in III-V Semiconductors
Volume 40
Epitaxial Microstructures
E. F. Schubert, Delta-Doping of Semiconductors: Electronic, Optical and Structural Properties of Materials and Devices ,4. Gossard, M. Sundaram, and P Hopkins, Wide Graded Potential Wells P Petroff, Direct Growth of Nanometer-Size Quantum Wire Superlattices
CONTENTS OF VOLUMES IN THIS SERIES
299
E. Kapon, Lateral Patterning of Quantum Well Heterostructures by Growth of Nonplanar Substrates H. Temkin, D. Gershoni, and M. Panish, Optical Properties of Ga~ _xlnxAs/InP Quantum Wells
Volume 41
High Speed Heterostructure Devices
E Capasso, F. Beltram, S. Sen, ,4. Pahlevi, and ,4. Y. Cho, Quantum Electron Devices: Physics and Applications P. Solomon, D. J. Frank, S. L. Wright and E Canora, GaAs-Gate Semiconductor-InsulatorSemiconductor FET M. H. Hashemi and U. K. Mishra, Unipolar InP-Based Transistors R. Kiehl, Complementary Heterostructure FET Integrated Circuits T. Ishibashi, GaAs-Based and InP-Based Heterostructure Bipolar-Transistors H. C. Liu and T. C. L. G. Sollner, High-Frequency-Tunneling Devices H. Ohnishi, T. More, M. Takatsu, K. lmamura, and N. Yokoyama, Resonant-Tunneling Hot-Electron Transistors and Circuits
Volume 42
O x y g e n in Silicon
F. Shimura, Introduction to Oxygen in Silicon W. Lin, The Incorporation of Oxygen into Silicon Crystals T. s Schaffner and D. K. Schroder, Characterization Techniques for Oxygen in Silicon W M. Bullis, Oxygen Concentration Measurement S. M. Hu, Intrinsic Point Defects in Silicon B. Pajot, Some Atomic Configuration of Oxygen J. Michel and L. C. Kimerling, Electrical Properties of Oxygen in Silicon R. C. Newman and R. Jones, Diffusion of Oxygen in Silicon T. Y Tan and W. J. Taylor, Mechanisms of Oxygen Precipitation: Some Quantitative Aspects M. Schrems, Simulation of Oxygen Precipitation K. Simino and L Yonenaga, Oxygen Effect on Mechanical Properties W. Bergholz, Grown-in and Process-Induced Effects F. Shimura, Intrinsic/Internal Gettering H. Tsuya, Oxygen Effect on Electronic Device Performance
Volume 43
Semiconductors for Room Temperature Nuclear Detector Applications
R. B. James and T. E. Schlesinger, Introduction and Overview L. S. Darken and C. E. Cox, High-Purity Germanium Detectors A. Burger, D. Nason, L. Van den Berg, and M. Schieber, Growth of Mercuric Iodide X. J. Bao, T. E. Schlesinger, and R. B. James, Electrical Properties of Mercuric Iodide X. s Bao, R. B. James, and T. E. Schlesinger, Optical Properties of Red Mercuric Iodide M. Hage-Ali and P Siffert, Growth Methods of CdTe Nuclear Detector Materials M. Hage-Ali and P Siffert, Characterization of CdTe Nuclear Detector Materials M.Hage-Ali and P Siffert, CdTe Nuclear Detectors and Applications R. B. James, T. E. Schlesinger, J. Lund, and M. Schieber, Cdl _xZnxTe Spectrometers for Gamma and X-ray Applications D. S. McGregor, s E. Kammeraad, Gallium Arsenide Radiation Detectors and Spectrometers J. C. Lund, F. Olschner, and A. Burger, Lead Iodide
300
CONTENTS OF VOLUMES IN THIS SERIES
M. R. Squillante and K. S. Shah, Other Materials: Status and Prospects V. M. Gerrish, Characterization and Quantification of Detector Performance J. S. h4,anczyk and B. E. Patt, Electronics for X-ray and Gamma Ray Spectrometers M. Schiebet; R. B. James and T. E. Schlesinger, Summary and Remaining Issues for Room Temperature Radiation Spectrometers
Volume 44
I I - I V Blue/Green Light Emitters: Device Physics and Epitaxial Growth
J. Han and R. L. Gunshor, MBE Growth and Electrical Properties of Wide Bandgap ZnSe-based II-VI Semiconductors S. Fujita and S. Fujita, Growth and Characterization of ZnSe-based II-VI Semiconductors by MOVPE E. Ho and L. A. Kolodziejski, Gaseous Source UHV Epitaxy Technologies for Wide Bandgap II-VI Semiconductors C. G. Van de Walle, Doping of Wide-Band-Gap II-VI Compounds - Theory R. Cingolani, Optical Properties of Excitons in ZnSe-Based Quantum Well Heterostructures A. lshibashi and A. V. Nurmikko, II-VI Diode Lasers: A Current View of Device Performance and Issues S. Guha and J. Petruzello, Defects and Degradation in Wide-Gap II-VI-based Structure and Light Emitting Devices
Volume 45
Effect of Disorder and Defects in Ion-Implanted Semiconductors: Electrical and Physiochemical Characterization
H. Ryssel, Ion Implantation into Semiconductors: Historical Perspectives You-Nian Wang and Teng-Cai Ma, Electronic Stopping Power for Energetic Ions in Solids S. T. Nakagawa, Solid Effect on the Electronic Stopping of Crystalline Target and Application
to Range Estimation G. Millet, S. Kalbitzer, and G. N. Greaves, Ion Beams in Arnorphous Semiconductor Research J. Boussev-Said, Sheet and Spreading Resistance Analysis of Ion Implanted and Annealed Sere iconductors M. L. Polignano and G. Queirolo, Studies of the Stripping Hall Effect in Ion-Implanted Silicon J. Stoemenos, Transmission Electron Microscopy Analyses R. Nipoti and M. Servidori, Rutherford Backscattering Studies of Ion Implanted Semiconductors P. Zaumseil, X-ray Diffraction Techniques
Volume 46
Effect of Disorder and Defects in Ion-Implanted Semiconductors: Optical and Photothermai Characterization
M. Fried, T. Lohnel, and J. Gyulai, Ellipsometric Analysis A. Seas and C. Christo/ides, Transmission and Reflection Spectroscopy on Ion hnplanted
Semiconductors A. Othonos and C. Christofides, Photoluminescence and Raman Scattering of Ion Implanted Semiconductors. Influence of Annealing C. Christqfides, Photomodulated Thermoreflectance Investigation of Implanted Wafers. Annealing Kinetics of Defects U. Zammit, Photothermal Deflection Spectroscopy Characterization of Ion-Implanted and Annealed Silicon Films
CONTENTS OF VOLUMES IN THIS SERIES
301
A. Mandelis, A. Budiman, and M. Vargas, Photothermal Deep-Level Transient Spectroscopy of
Impurities and Defects in Semiconductors R. Kalish and S. Charbonneau, Ion Implantation into Quantum-Well Structures A. M. Myasnikou and N. N. Gerasimenko, Ion Implantation and Thermal Annealing of III-V
Compound Semiconducting Systems: Some Problems of III-V Narrow Gap Semiconductors
Volume 47
U n c o o l e d I n f r a r e d Imaging Arrays and Systems
R. G. Buser and M. P Tompsett, Historical Overview P. W. Kruse, Principles of Uncooled Infrared Focal Plane Arrays R. A. Wood, Monolithic Silicon Microbolometer Arrays C. M. Hanson, Hybrid Pyroelectric-Ferroelectric Bolometer Arrays D. L. Polla and J. R. Choi, Monolithic Pyroelectric Boiometer Arrays N. Teranishi, Thermoelectric Uncooled Infrared Focal Plane Arrays M. F. Tompsett, Pyroelectric Vidicon T. W. Kenny, Tunneling Infrared Sensors J. R. Vig, R. L. Filler, and Y. Kim, Application of Quartz Microresonators to Uncooled Infrared
Imaging Arrays P. W. Kruse, Application of Uncooled Monolithic Thermoelectric Linear Arrays to Imaging
R adiom eters
Volume 48
High Brightness L i g h t E m i t t i n g Diodes
in High-Brightness Light-Emitting Diodes Issues in High-Brightness Light-Emitting Diodes Emitting Diodes Peanasky, and C. P. Kuo, OMVPE Growth of AIGaInP for High Efficiency Visible Light-Emitting Diodes E A. Kish and R. M. Fletcher, AIGalnP Light-Emitting Diodes M. W. Hodapp, Applications for High Brightness Light-Emitting Diodes I. Akasaki and H. Amano, Organometailic Vapor Epitaxy of GaN for High Brightness Blue Light Emitting Diodes S. Nakamura, Group III-V Nitride Based Ultraviolet-Blue-Green-Yellow Light-Emitting Diodes and Laser Diodes G. B. M.G. F. M. C. H.
Stringfellow, Materials Issues CraJbrd, Overview of Device Steranka, A1GaAs Red Light Chen, S. A. Stockman, M. J.
Volume 49
Light Emission in Silicon: from Physics to Devices
D. J. Lockwood, Light Emission in Silicon G. Abstreiter, Band Gaps and Light Emission in Si/SiGe Atomic Layer Structures T. G. Brown and D. G. Hall, Radiative Isoelectronic hnpurities in Silicon and Silicon-Germanium
Alloys and Superlattices J. Michel, L. V. C. Assali, M. T. Morse, and L. C. Kimerling, Erbium in Silicon Y Kanemitsu, Silicon and Germanium Nanoparticles P M. Fauchet, Porous Silicon: Photoluminescence and Electroluminescent Devices C. Delerue, G. Allan, and M. Lannoo, Theory of Radiative and Nonradiative Processes in Silicon
Nanocrystallites L. Brus, Silicon Polymers and Nanocrystals
302
CONTENTS OF VOLUMES IN THIS SERIES Volume 50
Gallium Nitride (GaN)
J. L Pankove and T. D. Moustakas, Introduction S. P DenBaars and S. Keller, Metalorganic Chemical Vapor Deposition (MOCVD) of Group III Nitrides W. A. Bryden and T. J. Kistenmacher, Growth of Group III-A Nitrides by Reactive Sputtering N. Newman, Thermochemistry of III-N Semiconductors S. J. Pearton and R. J. Shul, Etching of III Nitrides S. M. Bedair, Indium-based Nitride Compounds A. Trampert, O. Brandt, and K. H. Ploog, Crystal Structure of Group III Nitrides H. Morko9, E Hamdani, and A. Salvador, Electronic and Optical Properties of III-V Nitride based Quantum Wells and Superlattices K. Doverspike and J. L Pankove, Doping in the III-Nitrides T. Suski and P Perlin, High Pressure Studies of Defects and Impurities in Gallium Nitride B. Monemar, Optical Properties of GaN W. R. L. Lambrecht, Band Structure of the Group III Nitrides N. E. Christensen and P Perlin, Phonons and Phase Transitions in GaN S. Nakamura, Applications of LEDs and LDs L Akasaki and H. Amano, Lasers J. A. Cooper, Jr., Nonvolatile Random Access Memories in Wide Bandgap Semiconductors
Volume 51A
Identification of Defects in S e m i c o n d u c t o r s
G. D. Watkins, EPR and ENDOR Studies of Defects in Semiconductors J.-M. Spaeth, Magneto-Optical and Electrical Detection of Paramagnetic Resonance in
Semiconductors T. A. Kennedy and E. R. Glaser, Magnetic Resonance of Epitaxial Layers Detected by Photolum inescence K. H. Chow, B. Hitti, and R. E Kiefl, ~tSR on Muonium in Semiconductors and Its Relation to Hydrogen K. Saarinen, P Hautojiirvi, and C. Corbel, Positron Annihilation Spectroscopy of Defects in Semiconductors R. Jones and P. R. Briddon, The Ab lnitio Cluster Method and the Dynamics of Defects in Semiconductors
Volume 51B
Identification Defects in S e m i c o n d u c t o r s
G. Davies, Optical Measurements of Point Defects P M. Mooney, Defect Identification Using Capacitance Spectroscopy M. Stavola, Vibrational Spectroscopy of Light Element Impurities in Semiconductors P Schwander, W. D. Rau, C. Kisielowski, M. Gribelyuk, and A. Ourmazd, Defect Processes in Semiconductors Studied at the Atomic Level by Transmission Electron Microscopy N. D. Jager and E. R. Weber, Scanning Tunneling Microscopy of Defects in Semiconductors
Volume 52
SiC Materials and Devices
K. Jiirrendahl and R. E Davis, Materials Properties and Characterization of SiC V. A. Dmitiriev and M. G. Spencer, SiC Fabrication Technology: Growth and Doping
CONTENTS OF VOLUMES IN THIS SERIES
303
V. Saxena and A. J. Steckl, Building Blocks for SiC Devices: Ohmic Contacts, Schottky Contacts, and p-n Junctions M. S. Shur, SiC Transistors C. D. Brandt, R. C. Clarke, R. R. Siergiej, J. B. Casady, ,4. liE. Morse, S. Sriram, and `4. K. Agarwal, SiC for Applications in High-Power Electronics R. J. Trew, SiC Microwave Devices J. Edmond, H. Kong, G. Negley, M. Leonard, K. Doverspike, W. Weeks, ,4. Suvorov, D. Waltz, and C. Carter, Jr., SiC-Based UV Photodiodes and Light-Emitting Diodes H. Morko9, Beyond Silicon Carbide! III-V Nitride-Based Heterostructures and Devices
C u m u l a t i v e Subjects and Author Index Including Tables of Contents for V o l u m e s 1 - 5 0
Volume 53
Volume 54
H i g h P r e s s u r e in Semiconductor Physics I
W. Paul, High Pressure in Semiconductor Physics: A Historical Overview N. E. Christensen, Electronic Structure Calculations for Semiconductors Under Pressure R. J. Neimes and M. I. McMahon, Structural Transitions in the Group IV, III-V and II-VI Semiconductors Under Pressure A. R. Goni and K. Syassen, Optical Properties of Semiconductors Under Pressure P. Trautman, M. Baj, and J. M. Baranowski, Hydrostatic Pressure and Uniaxial Stress in Investigations of the EL2 Defect in GaAs M. Li and P. Y. Yu, High-Pressure Study of DX Centers Using Capacitance Techniques T. Suski, Spatial Correlations of Impurity Charges in Doped Semiconductors N. Kuroda, Pressure Effects on the Electronic Properties of Diluted Magnetic Semiconductors
Volume 55
H i g h P r e s s u r e in Semiconductor Physics II
D. K. Maude and J. C. Portal, Parallel Transport in Low-Dimensional Semiconductor Structures P. C. Klipstein, Tunneling Under Pressure: High-Pressure Studies of Vertical Transport in Semiconductor Heterostructures E. Anastassakis and M. Cardona, Phonons, Strains, and Pressure in Semiconductors F. H. Pollak, Effects of External Uniaxial Stress on the Optical Properties of Semiconductors and Sem iconductor M icrostructures A. R. Adams, M. Silver, and J. ,411am, Semiconductor Optoelectronic Devices S. Porowski and L Grzegory, The Application of High Nitrogen Pressure in the Physics and Technology of III-N Compounds M. Yousuf Diamond Anvil Cells in High Pressure Studies of Semiconductors
Volume 56
Germanium
Silicon: Physics and Materials
s C. Bean, Growth Techniques and Procedures D. E. Savage, E Liu, V. Zielasek, and M. G. Lagally, Fundamental Crystal Growth Mechanisms R. Hull, Misfit Strain Accommodation in SiGe Heterostructures M. J. Shaw and M. Jaros, Fundamental Physics of Strained Layer GeSi: Quo Vadis? F. Cerdeira, Optical Properties
304
CONTENTS OF VOLUMES IN THIS SERIES
S. A. Ringel and P N. Grillot, Electronic Properties and Deep Levels in Germanium-Silicon J. C. Campbell, Optoelectronics in Silicon and Germanium Silicon K. Eberl, K. Brunner, and O. G. Schmidt, Sil_yCy and Sil __x_yGezCy Alloy Layers
Volume 57
G a l l i u m Nitride (GaN) II
R. J. Molnar, Hydride Vapor Phase Epitaxial Growth of III-V Nitrides T. D. Moustakas, Growth of III-V Nitrides by Molecular Beam Epitaxy Z. Liliental-Weber, Defects in Bulk GaN and Homoepitaxial Layers C. G. Van de Walle and N. M. Johnson, Hydrogen in III-V Nitrides W. Gdtz and N. M. Johnson, Characterization of Dopants and Deep Level Defects in Gallium Nitride B. Gil, Stress Effects on Optical Properties C. Kisielowski, Strain in GaN Thin Films and Heterostructures J. A. Miragliotta and D. K. Wickenden, Nonlinear Optical Properties of Gallium Nitride B. K. Meyer, Magnetic Resonance Investigations on Group III-Nitrides M. S. Shur and M. As(f Khan, GaN and AIGaN Ultraviolet Detectors C. H. Qiu, J. I. Pankove and C. Rossington, II-V Nitride-Based X-ray Detectors
Volume 58
Nonlinear Optics in Semiconductors I
Kost, Resonant Optical Nonlinearities in Semiconductors Garmire, Optical Nonlinearities in Semiconductors Enhanced by Carrier Transport S. Chemla, Ultrafast Transient Nonlinear Optical Processes in Semiconductors Sheik-Bahae and E. W. Van Stryland, Optical Nonlinearities in the Transparency Region of Bulk Semiconductors J. E. Millerd, M. Ziari, and A. Partooi, Photorefractivity in Semiconductors A. E. D. M.
Volume 59
Nonlinear Optics in Semiconductors II
J. B. Khurgin, Second Order Nonlinearities and Optical Rectification K. L. Hall, E. R. Thoen, and E. P. lppen, Nonlinearities in Active Media E. Hanamura, Optical Responses of Quantum Wires/Dots and Microcavities U. Keller, Semiconductor Nonlinearities for Solid-State Laser Modelocking and Q-Switching A. Miller, Transient Grating Studies of Carrier Diffusion and Mobility in Semiconductors
Volume 60
Self-Assembled InGaAs/GaAs Quantum Dots
Mitsuru Sugawara, Theoretical Bases of the Optical Properties of Semiconductor Quantum Nano-Structures Yoshiaki Nakata, Yoshihiro Sugiyama, and Mitsuru Sugawara, Molecular Beam Epitaxial Growth of Self-Assembled InAs/GaAs Quantum Dots Kohki Mukai, Mitsuru Sugawara, Mitsuru Egawa, and Nobuyuki Ohtsuka, Metalorganic Vapor Phase Epitaxial Growth of Self-Assembled InGaAs/GaAs Quantum Dots Emitting at 1.3 ~tm Kohki Mukai and Mitsuru Sugawara, Optical Characterization of Quantum Dots Kohki Mukai and Mitsuru Sugawara, The Photon Bottleneck Effect in Quantum Dots
CONTENTS OF VOLUMES IN THIS SERIES
305
Hajime Shoji, Self-Assembled Quantum Dot Lasers Hiroshi lshikawa, Applications of Quantum Dot to Optical Devices Mitsuru Sugawara, Kohki Mukai, Hiroshi Ishikawa, Koji Otsubo, and Yoshiaki Nakata, The
Latest News
Volume 61
Hydrogen in Semiconductors II
Norbert H. Nickel, Introduction to Hydrogen in Semiconductors II Noble M. Johnson and Chris G. Van de Walle, Isolated Monatomic Hydrogen in Silicon Yurij E Gorelkinskii, Electron Paramagnetic Resonance Studies of Hydrogen and HydrogenRelated Defects in Crystalline Silicon Norbert H. Nickel, Hydrogen in Polycrystalline Silicon Wol[hard Be.ver, Hydrogen Phenomena in Hydrogenated Amorphous Silicon Chris G. Van de Walle, Hydrogen Interactions with Polycrystalline and Amorphous Silicon -
Theory Karen M. McManus Rutledge, Hydrogen in Polycrystalline CVD Diamond Roger L. Lichti, Dynamics of Muonium Diffusion, Site Changes and Charge-State Transitions Matthew D. McChtskev and Eugene E. Hailer, Hydrogen in III-V and II-VI Semiconductors S. J. Pearton and J. W. Lee, The Properties of Hydrogen in GaN and Related Alloys Jo'rg Neugebatter and Chris G. Van de Walle, Theory of Hydrogen in GaN
Volume 62
Intersubband Transitions in Quantum Wells: Physics and Device Applications I
Man[red Hehn, The Basic Physics of lntersubband Transitions Jerome Faist, Carlo Sirtori, Federico Capasso, Loren N. Pfeiffer, Ken W. West, Deborah L. Sivco, and Alfred Y Cho, Quantum Interference Effects in Intersubband Transitions H. C. Liu, Quantum Well Infrared Photodetector Physics and Novel Devices S. D. Gunapala and S. V. Bandara, Quantum Well Infrared Photodetector (QWIP) Focal Plane
Arrays
Volume 63
Chemical Mechanical Polishing in Si Processing
Frank B. KauJman, Introduction Thomas Bibbv and Karey Holland, Equipment John P.. Bare, Facilitization Duane S. Boning and Okttmtt Ottma, Modeling and Simulation Shin Hwa Li, Bruce Tredinnick, and Mel Hoffman, Consumables I: Slurry Lee M. Cook, CMP Consumables II: Pad Francois Tardi[: Post-CMP Clean Shin Hwa Li, Tara Chhatpal, and Frederic Robert, CMP Metrology Shin Hwa Li, Visttn Bttcha, and Kyle Wooldridge, Applications and CMP-Related Process
Problems
Volume 64
Electroluminescence I
M. G. Cnt.[brd, S. A. Stockman, M. J. Peansk); and E A. Kish, Visible Light-Emitting Diodes
306
CONTENTS OF VOLUMES IN THIS SERIES
H. Chui, N. E Gardner, P. N. Grillot, J. W. Huang, M. R. Krames, and S. A. Maranowski, High-Efficiency AIGalnP Light-Emitting Diodes R. S. Kern, W. G6tz, C. H. Chen, H. Liu, R. M. Fletcher, and C. P. Kuo, High-Brightness Nitride-Based Visible-Light-Emitting Diodes Yoshiharu Sato, Organic LED System Considerations V. Bulovik, P. E. Burrows, and S. R. Forrest, Molecular Organic Light-Emitting Devices
Volume 65
Electroluminescence II
V. Bulovik and S. R. Forrest, Polymeric and Molecular Organic Light Emitting Devices: A Comparison Regina Mueller-Mach and Gerd O. Mueller, Thin Film Eiectroluminescence Markku Leskela, Wei-Min Li, and Mikko Ritala, Materials in Thin Film Electroluminescent Devices Kristiaan Neyts, Microcavities for Electroluminescent Devices
Volume 66
lntersubband Transitions in Quantum Wells: Physics and Device Applications II
Jerome Faist, Federico Capasso, Carlo Sirtori, Deborah L. Sivco, and Alfred Y Cho, Quantum Cascade Lasers Federico Capasso, Carlo Sirtori, D. L. Sivco, and A. Y Cho, Nonlinear Optics in CoupledQuantum-Well Quasi-Molecules Karl Unterrainer, Photon-Assisted Tunneling in Semiconductor Quantum Structures P Haring Bolivar, T. Dekorsy, and H. Kurz, Optically Excited Bloch Oscillations - Fundamentals and Application Perspectives
Volume 67
U l t r a f a s t P h y s i c a l P r o c e s s e s in Semiconductors
Alfred Leitenstor[er and Alfred Laubereau, Ultrafast Electron-Phonon Interactions in Semiconductors: Quantum Kinetic Memory Effects Christoph Lienau and Thomas Elsaesser, Spatially and Temporally Resolved Near-Field Scanning Optical Microscopy Studies of Semiconductor Quantum Wires K. T. Tsen, Ultrafast Dynamics in Wide Bandgap Wurtzite GaN ,I. Patti Callan, Albert M.-T. Kim, Christopher A. D. Roeser, and Eriz Mazur, Ultrafast Dynamics and Phase Changes in Highly Excited GaAs Hartmut Haug, Quantum Kinetics for Femtosecond Spectroscopy in Semiconductors T. Meier and S. W. Koch, Coulomb Correlation Signatures in the Excitonic Optical Nonlinearities of Semiconductors Roland E. Allen, Traian Dumitricd, and Ben Torralva, Electronic and Structural Response of Materials to Fast, Intense Laser Pulses E. Gornik and R. Kersting, Coherent THz Emission in Semiconductors
Volume 68
Isotope Effects in Solid State Physics
Vladimir G. Plekhanov, Elastic Properties; Thermal Properties; Vibrational Properties; Raman Spectra of Isotopically Mixed Crystals; Excitons in LiH Crystals; Exciton-Phonon
CONTENTS OF VOLUMES IN THIS SERIES
307
Interaction; Isotopic Effect in the Emission Spectrum of Polaritons; Isotopic Disordering of Crystal Lattices; Future Developments and Applications; Conclusions
Volume 69
R e c e n t Trends in T h e r m o e l e c t r i c Materials Research I
H. Julian Goldsmid, Introduction Terry M. Tritt and Valerie M. Browning, Overview of Measurement and Characterization Techniques for Thermoelectric Materials Mercouri G. Kanatzidis, The Role of Solid-State Chemistry in the Discovery of New Thermoelectric Materials B. Lenoir, H. Scherrer, and T. Caillat, An Overview of Recent Developments for BiSb Alloys Citrad Uher, Skutterudities: Prospective Novel Thermoelectrics George S. Nolas, Glen A. Slack, and Sandra B. Schujman, Semiconductor Clathrates: A Phonon Glass Electron Crystal Material with Potential for Thermoelectric Applications
Volume 70
R e c e n t Trends in T h e r m o e l e c t r i c Materials Research II
Brian C. Sales, David G. Mandrus, and Bryan C. Chakoumakos, Use of Atomic Displacement Parameters in Thermoelectric Materials Research S. Joseph Poon, Electronic and Thermoelectric Properties of Half-Heusler Alloys Terry M. Tritt, A. L. Pope, and J. W. Kolis, Overview of the Thermoelectric Properties of Quasicrystalline Materials and Their Potential for Thermoelectric Applications Alexander C. Ehrlich and Stuart A. Wolf Military Applications of Enhanced Thermoelectrics David J. Singh, Theoretical and Computational Approaches for Identifying and Optimizing Novel Thermoelectric Materials Terry M. Tritt and R. T. Littleton, IV, Thermoelectric Properties of the Transition Metal Pentatellurides: Potential Low-Temperature Thermoelectric Materials Franz Freibert, Timothy W. Darling, Albert Miglori, and Stuart A. Trugman, Thermomagnetic Effects and Measurements M. Bartkowiak and G. D. Mahan, Heat and Electricity Transport Through Interfaces
Volume 71
R e c e n t Trends in T h e r m o e l e c t r i c Materials Research III
M. S. Dresselhaus, Y-M. Lin, T. Koga, S. B. Cronin, O. Rabin, M. R. Black, and G. Dresselhaus, Quantum Wells and Quantum Wires for Potential Thermoelectric Applications D. A. Broido and T. L. Reinecke, Thermoelectric Transport in Quantum Well and Quantum Wire Superlattices G. D. Mahan, Thermionic Refrigeration Rama Venkatasubramanian, Phonon Blocking Electron Transmitting Superlattice Structures as Advanced Thin Film Thermoelectric Materials G. Chen, Phonon Transport in Low-Dimensional Structures
Volume 72
Silicon E p i t a x y
S. Acerboni, ST Microelectronics, CFM-AGI Department, Agrate Brianza, Italy V.-M. Airaksinen, Okmetic Oyj R&D Department, Vantaa, Finland G. Beretta, ST Microelectronics, DSG Epitaxy Catania Department, Catania, Italy
308
CONTENTS OF VOLUMES IN THIS SERlES
C. Cavallotti, Dipartimento di Chimica Fisica Applicata, Politecnico di Milano, Milano, Italy D. Crippa, MEMC Electronic Materials, Epitaxial and CVD Department, Operations Technology
Division, Novara, Italy D. Dutartre, ST Microelectronics, Central R&D, Crolles, France Srikanth Kommu, MEMC Electronic Materials inc., EPI Technology Group, St. Peters, Missouri M. Masi, Dipartimento di Chimica Fisica Applicata, Po|itecnico di Milano, Milano, Italy D. ,I. Me),el; ASM Epitaxy, Phoenix, Arizona J. Murota, Research Institute of Electrical Communication, Laboratory for Electronic Intelligent
Systems, Tohoku University, Sendai, Japan E Pozzetti, LPE Epitaxial Technologies, Bollate, Italy A. M. Rinaldi, MEMC Electronic Materials, Epitaxial and CVD Department, Operations
Technology Division, Novara, Italy Y. Shiraki, Research Center for Advanced Science and Technology (RCAST), University of
Tokyo, Tokyo, Japan
Volume 73
Processing and Properties of Compound Semiconductors
S. J. Pearton, Introduction Eric Donkor, Gallium Arsenide Heterostructures A n n a m r a j u Kasi Viswanath, Growth and Optical Properties of GaN D. Y. C. Lie and K. L. Wang, SiGe/Si Processing S. Kim and M. Razeghi, Advances in Quantum Dot Structures Walter P. Gomes, Wet Etching of III-V Semiconductors
This Page Intentionally Left Blank
ISBN 0-12-752183-6
9001
9
8