Mineo Hiramatsu
l
Masaru Hori
Carbon Nanowalls Synthesis and Emerging Applications
SpringerWienNewYork
Prof. Mineo Hiramatsu Department of Electrical and Electronic Engineering Meijo University Tempaku, Nagoya, 468-8502 Nagoya, Japan
[email protected]
Prof. Masaru Hori Department of Electrical Engineering and Computer Science Nagoya University Chikusa, Nagoya, 464-8603 Nagoya, Japan
[email protected]
This work is subject to copyright. All rights are reserved, whether the whole or part of the material is concerned, specifically those of translation, reprinting, re-use of illustrations, broadcasting, reproduction by photocopying machines or similar means, and storage in data banks. Product Liability: The publisher can give no guarantee for all the information contained in this book. The use of registered names, trademarks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. # 2010 Springer-Verlag/Wien Printed in Germany SpringerWienNewYork is a part of Springer Science+Business Media springer.at Cover: WMXDesign GmbH, Heidelberg, Germany Typesetting: SPi, Chennai, India Printed on acid-free and chlorine-free bleached paper SPIN: 12530366 With 147 (partly coloured) Figures Library of Congress Control Number: 2010930978 ISBN 978-3-211-99717-8 e-ISBN 978-3-211-99718-5 DOI 10.1007/978-3-211-99718-5 SpringerWienNewYork
Preface
Carbon nanowalls, nanosheets, and nanoflakes are essentially planar layered graphenes with open boundaries. This self-contained book covers all the important areas of research on carbon nanowalls and related sheet nanostructures, including synthesis techniques for carbon nanowalls, their characterization, growth mechanism and various expected applications using carbon nanowalls. Carbon nanowalls can be described as graphite sheet nanostructures with edges that are composed of stacks of planar graphene sheets standing almost vertically on the substrate. The sheets form a self-supported network of wall structures with thicknesses ranging from a few nanometers to a few tens of nanometers, and with a high aspect ratio. The synthesis methods for carbon nanowalls are similar to those used for diamond films and carbon nanotubes. To date, carbon nanowalls and related materials have been grown using various chemical vapor deposition methods. The large surface area and sharp edges of carbon nanowalls may prove useful for a number of different applications such as electrochemical devices, field electron emitters, gas storage materials, and catalyst support. Furthermore, since carbon nanowalls essentially consist of graphene sheets, they are expected to demonstrate high mobility and considerable sustainable current density. Therefore, carbon nanowalls will be used in the field of nanoscale electronic devices. Research on how to exploit the potential of graphene and to develop next-generation electronic devices using carbon nanowalls has just begun. This is the first book on carbon nanowalls. It will be of interest to materials scientists, chemists and engineers working on carbon nanowalls and other carbon nanostructures from both the industry and academia. Nagoya, Japan
Mineo Hiramatsu Masaru Hori
v
Contents
1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.1 Discovery of Two-Dimensional Carbon Nanostructures . . . . . . . . . . . . . . 1.2 Brief Description of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3 Research on Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1 1 2 3 5
2
Preparation Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.1 Microwave Plasma Enhanced Chemical Vapor Deposition . . . . . . . . . 2.2 Inductively Coupled Plasma Enhanced Chemical Vapor Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3 Capacitively Coupled Plasma Enhanced Chemical Vapor Deposition with Radical Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3.1 RF Plasma-Enhanced CVD with H Radical Injection . . . . . . . . 2.3.2 VHF Plasma-Enhanced CVD with H Radical Injection . . . . . . 2.4 Electron-Beam-Excited Plasma Enhanced Chemical Vapor Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.5 Hot Filament Chemical Vapor Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6 Atmospheric Pressure Plasma . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.7 Sputtering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
9 10
3
Physics of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1 Characterization of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1.1 SEM and TEM Observation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1.2 Raman Spectra of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . 3.1.3 Grazing Incidence In-Plane X-ray Diffraction . . . . . . . . . . . . . . . . 3.2 Electrical Properties of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2.1 Field Emission Properties of Carbon Nanowalls . . . . . . . . . . . . . .
13 16 17 19 20 23 25 26 28 31 31 31 34 37 39 39
vii
viii
Contents
3.2.2 Electrical Conduction of Carbon Nanowalls . . . . . . . . . . . . . . . . . . 40 3.2.3 Electrode for Electrochemistry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 4
5
Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.1 Concept of Radical-Controlled Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2 RF Plasma-Enhanced CVD with H Radical Injection . . . . . . . . . . . . . . . 4.2.1 Experimental Setup for RF Plasma-Enhanced CVD with H Radical Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2.2 Measurement of Radical Densities in the Capacitively Coupled Plasma Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2.3 Effect of Carbon Source Gases and H Radicals on Carbon Nanowall Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2.4 Fabrication of Straight and Aligned Carbon Nanowalls with Regular Spacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.3 VHF Plasma-Enhanced CVD with H Radical Injection . . . . . . . . . . . . . 4.3.1 Experimental Setup of VHF Plasma-Enhanced CVD with H Radical Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.3.2 Chamber Cleaning for Carbon Nanowall Growth with High Reproducibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.3.3 Electrical Conduction Control of Carbon Nanowalls . . . . . . . . . 4.3.4 Fabrication of Monolithic Self-Sustaining Graphene Sheets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Growth Mechanism of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.1 Measurement of Radical Densities in the Plasma Used for the Fabrication of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.1.1 Radicals in Microwave Plasma-Enhanced CVD with CH4/H2 Mixture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.1.2 Radicals in Fluorocarbon Plasma with H Radical Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.1.3 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.2 Steady-State Growth of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . 5.2.1 RF Plasma-Enhanced CVD with H Radical Injection Employing C2F6/H2 System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.2.2 Inductively Coupled Plasma Enhanced CVD Employing CH4/Ar System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.2.3 Electron-Beam-Excited Plasma Enhanced CVD Employing CH4/H2 System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.2.4 VHF Plasma-Enhanced CVD with H Radical Injection Employing C2F6/H2 System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.2.5 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
49 49 51 51 52 53 58 60 60 61 68 73 79 81 81 82 85 89 91 91 92 93 95 96
Contents
ix
5.3 Nucleation of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 5.3.1 Investigation of Nucleation Stage of Carbon Nanowall Growth Employing C2F6/H2 . . . . . . . . . . . . . . . . . . . . . . . . 97 5.3.2 Comparison of Carbon Nanowall Growth Employing C2F6/H2 with and Without O2 Gas Addition . . . . . . . . . . . . . . . . . 101 5.3.3 Nucleation Model of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . 105 5.4 Nucleation Mechanism of Carbon Nanowall Growth Under Ion Irradiation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 5.4.1 Carbon Nanowall Formation Using Multi-Beam CVD Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 5.4.2 Effect of Ions on the Growth of Carbon Nanowalls . . . . . . . . . 110 5.5 Area-Selective Growth of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . 112 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114 6
Field Emission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.1 Field Emission Properties of As-Grown Carbon Nanowalls . . . . . . . 6.2 Surface Treatment for Improvement of Field Emission Properties 6.2.1 Surface Coating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.2.2 Metal/Carbon Nanowall Composites . . . . . . . . . . . . . . . . . . . . . . . . . 6.2.3 N2 Plasma Treatment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
117 117 122 123 123 125 127
7
Using Carbon Nanowalls as Templates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.1 Fabrication of Nanostructured Materials Using Carbon Nanowalls as Templates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.1.1 Decoration of Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.1.2 Fabrication of Nanostructured Materials on Carbon Nanowall Templates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.2 Synthesis of Pt Nanoparticles on Carbon Nanowall Surface Using Supercritical Fluid Chemical Deposition . . . . . . . . . . . . . . . . . . . . 7.2.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.2.2 Synthesis of Pt Nanoparticles by Plating . . . . . . . . . . . . . . . . . . . . . 7.2.3 Synthesis of Pt Nanoparticles by Sputtering . . . . . . . . . . . . . . . . . 7.2.4 Supercritical Fluids . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.2.5 Experimental Procedure of Metal-Organic Chemical Fluid Deposition Using Supercritical Carbon Dioxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.2.6 Characterization of Platinum Nanoparticles Formed by Metal-Organic Chemical Fluid Deposition Using Supercritical Carbon Dioxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.2.7 Mechanism of Platinum Nanoparticle Formation by Metal-Organic Chemical Fluid Deposition Using Supercritical Carbon Dioxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
131 131 131 132 138 138 139 140 141
143
144
148
x
Contents
7.3 Pattern Transfer from Carbon Nanowall into SiO2 Film . . . . . . . . . . . 151 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 8
Future Perspective for Emerging Applications Using Carbon Nanowalls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
Chapter 1
Introduction
1.1
Discovery of Two-Dimensional Carbon Nanostructures
Graphite-related materials have long been a subject of interest. Since the report of carbon nanotubes by Iijima [1], the fabrication of carbon nanostructures has been studied intensively. One-dimensional carbon nanostructures, such as carbon nanotubes and carbon nanofibers, have attracted interest for applications such as electrochemical devices, electron field emitters, hydrogen storage materials, and scanning probe microscopy owing to their particular physical, chemical, and mechanical characteristics [2–5]. Except nanodiamond, most of carbon nanostructures are self-organized graphite nanostructures composed of graphene, a flat monolayer of carbon atoms tightly packed into a two-dimensional honeycomb lattice. Graphite nanostructures may be classified by their growth dimension, as shown in Fig. 1.1. An ideal graphene sheet will spread flat, and this can be classified as two-dimensional carbon. Fullerene is classified as zero-dimensional carbon nanostructure. Carbon nanotube is composed of rolled graphene and is grown in one direction, so this is classified as onedimensional carbon nanostructure. On the other hand, two-dimensional carbon nanostructures have also been grown. At times, layered graphenes form free-standing two-dimensional carbon nanostructures with edges, which are called as carbon nanowalls, nanosheets, or nanoflakes. The first report on the fabrication of two-dimensional carbon nanostructures dates back more than 10 years. Ando et al. [6] found petal-like “carbon roses” during the fabrication of carbon nanotubes in 1997. In 2002, Wu et al. [7] reported the fabrication of two-dimensional carbon nanostructures, “carbon nanowalls”, standing vertically on catalyzed substrates. Both cases were found incidentally, during the fabrication of carbon nanotubes. To date, carbon nanowalls and related materials have been grown using various chemical vapor deposition (CVD) methods.
M. Hiramatsu and M. Hori, Carbon Nanowalls, DOI 10.1007/978-3-211-99718-5_1, # Springer-Verlag/Wien 2010
1
2
1 Introduction
Fig. 1.1 Classification of carbon nanostructures by their growth dimensions
2-dimensional
Graphene sheet
1.2
nanowall
0-dimensional
1-dimensional
fullerene
nanotube
Brief Description of Carbon Nanowalls
Carbon nanowalls can be described as graphite sheet nanostructures with edges that are composed of stacks of planar graphene sheets standing almost vertically on the substrate. The sheets form a self-supported network of wall structures with thicknesses in the range from a few nanometers to a few tens of nanometers, and with a high aspect ratio (Fig. 1.2). The large surface area and sharp edges of carbon nanowalls may prove useful for a number of different applications. Recently, attention has been focused on isolated graphene itself. Novoselov et al. [8] have reported that two-dimensional monocrystalline graphitic films with a thickness of a few atoms exhibit a strong ambipolar electric field effect such that electrons and holes exist in concentrations of up to 1013 cm 2 and with roomtemperature mobility of 10,000–15,000 cm2/Vs. Furthermore, two-dimensional graphite is expected to possess semiconductor characteristics when the graphite size becomes small [9, 10]. Since carbon nanowalls essentially consist of graphene sheets, they are expected to have high mobility for carriers and large sustainable current densities. Therefore, carbon nanowalls are considered to be one of the most promising carbon materials used for nanoscale electronic devices. Figure 1.3a–f show scanning electron microscopy (SEM) images of carbon nanowalls with different morphologies. The morphology and structure of carbon nanowall film depend on the source gases, pressure, process temperature, as well as the type of plasma used for the growth of carbon nanowalls. Isolated nanosheets, vertically standing nanowalls with a maze-like structure, highly branched type, and a kind of porous film have been fabricated. To find new graphene applications,
1.3 Research on Carbon Nanowalls
3
Fig. 1.2 Schematic illustration of carbon nanowalls
a
b
200 nm
c
500 nm
isolated nanosheet
maze-like wall
rose
e
d
f
1 µm wavy
1 µm
5 µm highly branched
1 µm dense (porous film)
Fig. 1.3 Examples of carbon nanowalls with different morphologies
isolated and aligned structure may be desirable. For the electron emitters, thin edges and moderate spacing are required. In the case of the application for membrane filter, honeycomb structure with controlled spacing is required. On the other hand, less aligned, dense carbon nanowall film with large surface area can be used for gas storage application.
1.3
Research on Carbon Nanowalls
In recent years, several approaches have been made in order to explore the fabrication technique of carbon nanowalls including the elucidation of growth mechanism in gas phase as well as at the nucleation. Synthesis methods for carbon nanowalls are similar
4
1 Introduction
to those used for diamond films and carbon nanotubes. In general, a mixture of hydrocarbon and hydrogen or argon gases, typically CH4 and H2, is used as a source gas for the synthesis of carbon nanowalls. High-density plasmas such as microwave plasma and inductively coupled plasma are suitable for decomposing H2 molecules efficiently because a large amount of H atoms is required for the growth of carbon nanowalls, which is similar to that for diamond growth. To date, carbon nanowalls and related materials have been grown using various CVD methods such as microwave plasma [7, 11], radio frequency (RF) inductively coupled plasma [12], RF capacitively coupled plasma assisted by H radical injection [13, 14], helicon-wave plasma [15], electron beam excited plasma [16], hot-filament CVD [17], and even by sputtering of a graphite target [18], as listed in Table 1.1. Pressures are ranging from a few mTorr to atmospheric pressure. Metal catalysts such as Fe and Co are required for the growth of carbon nanotubes, whereas carbon nanowalls do not require such catalysts. Consequently, carbon nanowalls have been fabricated on several substrates, including Si, SiO2, Al2O3, Ni, and stainless steel, at substrate temperatures of 500–700 C without the use of catalysts [19]. A large volume of research has also been devoted to characterize carbon nanowalls. Carbon nanowalls have been characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), Raman spectroscopy, X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), secondary ion mass spectrometry (SIMS), and spectroscopic ellipsometry. Considering the applications of carbon nanowalls, the growth control of carbon nanowalls including spacing, thickness, morphology, crystallinity, and electrical properties is important. A variety of possible applications of carbon nanowalls are exciting interest. Figure 1.4 shows a schematic of potential applications using carbon nanowalls and related nanostructures. Carbon nanowalls have a high density of atomic scale graphitic edges that are potential sites for electron field emission, which might lead to the application in flat panel displays and light sources. So far, a number of publications have reported the field emission properties from carbon nanowalls and related Table 1.1 Formation methods of carbon nanowall and related nanostructures Formation method Source gas Pressure Plasma-enhanced CVD 133 Pa Microwave plasma CH4 + H2 1,330 Pa C2H2 + NH3 12 Pa RF ICP CH4 + H2 1.3–8 Pa CH4 + Ar 13.3 Pa RF CCP with H injection C2F6 + H2 13–160 Pa VHF CCP with H injection C2F6 + H2 1.3–4 Pa Electron beam excited plasma CH4 + H2 0.1–10 Pa Helicon-wave plasma CH4 1.3–2.8 Pa DC plasma CH4 + H2 + Ar 14.5 Pa Sputtering (glassy carbon target) CH4 + Ar 300 Pa Hot filament CVD CH4 + H2 3 kPa CH4 + He ICP inductively coupled plasma; CCP capacitively coupled plasma; RF radio VHF very high frequency; DC direct current; CVD chemical vapor deposition
Reference [7] [11] [12] [20] [13] [21] [16] [15] [22] [18] [17] [23] frequency;
References
5
Catalyst support Electrochemistry
Template for growing other types of materials
Field electron emitters
Electronic devices
Nanoimprint Heat sink Hydrophobic coating Filter
Electric double layer capacitor
Fig. 1.4 A schematic of potential applications using carbon nanowalls and related nanostructures
structures [11, 12, 14, 24–36]. Vertically standing carbon nanowalls with a high surface-to-volume ratio would serve as an ideal catalyst support material for fuel cells and gas storage materials. Several nanoparticles such as Ni, CoNiFe, and Pt were deposited on the surface of carbon nanowalls [23, 36, 37]. Carbon nanowalls were also used as templates for synthesizing mesoporous materials with high surface areas including Au, Cu, Zn, Se, ZnO, TiO2, SiOx, SiNx, and AlOx [26, 37–39]. Recently, electrochemical and charge/discharge properties of carbon nanowalls were studied for the application as electrodes of batteries and electrochemical sensors [23, 40, 41]. Furthermore, since carbon nanowalls essentially consist of graphene sheets, they are expected to have high mobility and large sustainable current density. Therefore, carbon nanowalls are considered for use in nanoscale electronic devices. Very recently, electrical conduction properties of carbon nanowalls as a bulk film have been reported [42–44]. Research to exploit the potential of graphene and to develop next-generation electronic devices using carbon nanowalls has just begun.
References 1. Iijima S (1991) Helical microtubules of graphitic carbon. Nature (London) 354: 56–58 2. Rinzler AG, Hafner JH, Nikolaev P, Lou L, Kim SG, Tomanek D, Nordlander P, Cobert DT, Smalley RE (1995) Unraveling nanotubes: field emission from an atomic wire. Science 269: 1550–1553
6
1 Introduction
3. Dillon AC, Jones KM, Bekkedahl TA, Kiang CH, Bethune DS, Heben MJ (1997) Storage of hydrogen in single-walled carbon nanotubes. Nature (London) 386: 377–379 4. Che G, Lakshmi BB, Fisher ER, Martin CR (1998) Carbon nanotubule membranes for electrochemical energy storage and production. Nature (London) 393: 346–349 5. de Heer WA, Chatelain A, Ugarte D (1995) A carbon nanotube field-emission electron source. Science 270: 1179–1180 6. Ando Y, Zhao X, Ohkohchi M (1997) Production of petal-like graphite sheets by hydrogen arc discharge. Carbon 35: 153–158 7. Wu YH, Qiao PW, Chong TC, Shen ZX (2002) Carbon nanowalls grown by microwave plasma enhanced chemical vapor deposition. Adv Mater 14: 64–67 8. Novoselov KS, Geim AK, Morozov SV, Jiang D, Zhang Y, Dubonos SV, Grigorieva IV, Firsov AA (2004) Electric field effect in atomically thin carbon films. Science 306: 666–669 9. Nakada K, Fujita M, Dresselhaus D, Dresselhaus MS (1996) Edge state in graphene ribbons: Nanometer size effect and edge shape dependence. Phys Rev B 54: 17954–17962 10. Fang T, Konar A, Xing H, Jena D (2007) Carrier statistics and quantum capacitance of graphene sheets and ribbons. Appl Phys Lett 91: 092109-1–092109-3 11. Chuang ATH, Robertson J, Boskovic BO, Koziol KKK (2007) Three-dimensional carbon nanowall structures. Appl Phys Lett 90: 123107-1–123107-3 12. Wang JJ, Zhu MY, Outlaw RA, Zhao X, Manos DM, Holloway BC, Mammana VP (2004) Free-standing subnanometer graphite sheets. Appl Phys Lett 85: 1265–1267 13. Hiramatsu M, Shiji K, Amano H, Hori M (2004) Fabrication of vertically aligned carbon nanowalls using capacitively coupled plasma-enhanced chemical vapor deposition assisted by hydrogen radical injection. Appl Phys Lett 84: 4708–4710 14. Hiramatsu M, Hori M (2006) Fabrication of carbon nanowalls using novel plasma processing. Jpn J Appl Phys 45: 5522–5527 15. Sato G, Morio T, Kato T, Hatakeyama R (2006) Fast growth of carbon nanowalls from pure methane using helicon plasma-enhanced chemical vapor deposition. Jpn J Appl Phys 45: 5210–5212 16. Mori T, Hiramatsu M, Yamakawa K, Takeda T, Hori M (2008) Fabrication of carbon nanowalls using electron beam excited plasma-enhanced chemical vapor deposition. Diam Relat Mater 17: 1513–1517 17. Shimabukuro S, Hatakeyama Y, Takeuchi M, Itoh T, Nonomura S (2008) Preparation of carbon nanowall by hot-wire chemical vapor deposition and effects of substrate heating temperature and filament temperature. Jpn J Appl Phys 47: 8635–8640 18. Zhang H, Yoshimura I, Kusano E, Kogure T, Kimbara A (2004) Formation of carbon nanoflakes by RF magnetron sputtering method. Shinku (J Vac Soc Jpn) 47: 82–86 (in Japanese) 19. Shiji K, Hiramatsu M, Enomoto A, Nakamura M, Amano H, Hori M (2005) Vertical growth of carbon nanowalls using rf plasma-enhanced chemical vapor deposition. Diam Relat Mater 14: 831–834 20. Hishikawa T, Hiramatsu M, Hori M (2007) Growth of carbon nanowalls using inductively coupled plasma-enhanced chemical vapor deposition. In: Proc. 29th International Symposium on Dry Process. pp 253–254 21. Kondo S, Hori M, Yamakawa K, Den S, Kano H, Hiramatsu M (2008) Highly reliable growth process of carbon nanowalls using radical injection plasma-enhanced chemical vapor deposition. J Vac Sci Technol B 26: 1294–1300 22. Kurita S, Yoshimura A, Kawamoto H, Uchida T, Kojima K, Tachibana M, Molina-Morales P, Nakai H (2005) Raman spectra of carbon nanowalls grown by plasma-enhanced chemical vapor deposition. J Appl Phys 97:104320-1–104320-5 23. Giorgi L, Dikonimos Makris Th, Giorgi R, Lisi N, Salernitano E (2007) Electrochemical properties of carbon nanowalls synthesized by HF-CVD. Sens Actuators B 126: 144–152 24. Shang NG, Au FCK, Meng XM, Lee CS, Bello I, Lee ST (2002) Uniform carbon nanoflake films and their field emissions. Chem Phys Lett 358: 187–191
References
7
25. Obraztsov AN, Zakhidov Al A, Volkov AP, Lyashenko DA (2003) Non-classical electron field emission from carbon materials. Diam Relat Mater 12: 446–449 26. Wu YH, Yang BJ, Zong BY, Sun H, Shen ZX, Feng YP (2004) Carbon nanowalls and related materials. J Mater Chem 14: 469–477 27. Wang JJ, Zhu MY, Zhao X, Outlaw RA, Manos DM, Holloway BC, Park CH, Anderson T, Mammana VP (2004) Synthesis and field-emission testing of carbon nanoflake edge emitters. J Vac Sci Technol B 22: 1269–1272 28. Srivastava SK, Shukla AK, Vankar VD, Kumar V (2005) Growth, structure and field emission characteristics of petal like carbon nano-structured thin films. Thin Solid Films 492: 124–130 29. Wang JY, Ito T (2005) High-current-density electron emissions from nano-carbon films fabricated by high-power microwave-plasma chemical vapour deposition. Diam Relat Mater 14: 1469–1473 30. Wang JY, Teraji T, Ito T (2005) Fabrication of wrinkled carbon nano-films with excellent field emission characteristics. Diam Relat Mater 14: 2074–2077 31. Wang S, Wang JJ, Miraldo P, Zhu MY, Outlaw R, Hou K, Zhao X, Holloway BC, Manos D, Tyler T, Shenderova O, Ray M, Dalton J, McGuire G (2006) High field emission reproducibility and stability of carbon nanosheets and nanosheet-based backgated triode emission devices. Appl Phys Lett 89: 183103-1–183103-3 32. Itoh T, Shimabukuro S, Kawamura S, Nonomura S (2006) Preparation and electron field emission of carbon nanowall by Cat-CVD. Thin Solid Films 501: 314–317 33. Koeck FAM, Obraztsov AN, Nemanich RJ (2006) Electron emission microscopy of nanocrystal graphitic films as high current density electron sources. Diam Relat Mater 15: 875–879 34. Hou K, Outlaw RA, Wang S, Zhu MY, Quinlan RA, Manos DM, Kordesch ME, Arp U, Holloway BC (2008) Uniform and enhanced field emission from chromium oxide coated carbon nanosheets. Appl Phys Lett 92: 133112-1–133112-3 35. Malesevic A, Kemps R, Vanhulsel A, Chowdhury MP, Volodin A, Haesendonck CV (2008) Field emission from vertically aligned few-layer graphene. J Appl Phys 104: 0843011–084301-5 36. Machino T, Takeuchi W, Kano H, Hiramatsu M, Hori M (2009) Synthesis of platinum nanoparticles on two-dimensional carbon nanostructures with an ultrahigh aspect ratio employing supercritical fluid chemical vapor deposition process. Appl Phys Exp 2: 025001-1–025001-3 37. Yang BJ, Wu YH, Zong BY, Shen ZX (2002) Electrochemical synthesis and characterization of magnetic nanoparticles on carbon nanowall templates. Nano Lett 2: 751–754 38. Wu YH, Yang BJ, Han GC, Zong BY, Ni HQ, Luo P, Chong TC, Low TS, Shen ZX (2002) Fabrication of a class of nanostructured materials using carbon nanowalls as the templates. Adv Funct Mater 12: 489–494 39. Wang H, Quan X, Yu H, Chen S (2008) Fabrication of a TiO2/carbon nanowall heterojunction and its photocatalytic ability. Carbon 46: 1126–1132 40. Luais E, Boujtita M, Gohier A, Tailleur A, Casimirius S, Djouadi MA, Granier A, Tessier PY (2009) Carbon nanowalls as material for electrochemical transducers. Appl Phys Lett 95: 014104-1–014104-3 41. Tanaike O, Kitada N, Yoshimura H, Hatori H, Kojima K, Tachibana M (2009) Lithium insertion behavior of carbon nanowalls by dc plasma and its heat-treatment effect. Solid State Ionics 180: 381–385 42. Takeuchi W, Ura M, Hiramatsu M, Tokuda Y, Kano H, Hori M (2008) Electrical conduction control of carbon nanowalls. Appl Phys Lett 92: 213103-1–213103-3 43. Takeuchi W, Takeda K, Hiramatsu M, Tokuda Y, Kano H, Kimura S, Sakata O, Tajiri H, Hori M (2010) Monolithic self-sustaining nanographene sheet grown using plasma-enhanced chemical vapor deposition. Phys Status Solidi 207: 139–143 44. Teii K, Shimada S, Nakashima M, Chuang ATH (2009) Synthesis and electrical characterization of n-type carbon nanowalls. J Appl Phys 106: 084303-1–084303-6
Chapter 2
Preparation Methods
The first report on the fabrication of two-dimensional carbon nanostructures dates back more than 10 years. Ando et al. [1] found petal-like “carbon roses” during the fabrication of carbon nanotubes in 1997. In 2002, Wu et al. [2] reported the fabrication of two-dimensional carbon nanostructures, “carbon nanowalls,” standing vertically on catalyzed substrates. Both cases were found incidentally, during the fabrication of carbon nanotubes. Shang et al. [3] prepared carbon nanoflake films using hot filament chemical vapor deposition (CVD). To date, carbon nanowalls and similar structures have been grown using various CVD methods such as microwave plasma [2, 4, 5], radio frequency (RF) inductively coupled plasma [6], RF capacitively coupled plasma assisted by H radical injection [7, 8], DC plasma [9], helicon-wave plasma [10], electron beam excited plasma [11], hot-filament CVD [3, 12], and even by sputtering of a glassy carbon target [13, 14]. This chapter is devoted to introduce experimental methods for synthesizing carbon nanowalls. Synthesis techniques for carbon nanowalls are similar to those used for diamond films and carbon nanotubes. In general, a mixture of hydrocarbon and hydrogen or argon gases, typically CH4 and H2, is used as source gases for the synthesis of carbon nanowalls. High-density plasmas such as microwave plasma and inductively coupled plasma are suitable for decomposing H2 molecules efficiently because it is considered that a large amount of H atoms is required for the growth of carbon nanowalls, which is similar to the case for diamond growth. Metal catalysts such as Fe and Co are required for the growth of carbon nanotubes, whereas the situation for the growth of carbon nanowalls is not so critical and carbon nanowalls do not require for their nucleation such catalysts in many cases. Consequently, carbon nanowalls have been fabricated on several substrates, including Si, SiO2, Al2O3, Ni, and stainless steel, at substrate temperatures of 500–700 C without the use of catalysts [15].
M. Hiramatsu and M. Hori, Carbon Nanowalls, DOI 10.1007/978-3-211-99718-5_2, # Springer-Verlag/Wien 2010
9
10
2.1
2 Preparation Methods
Microwave Plasma Enhanced Chemical Vapor Deposition
Microwave plasma is one of high-density plasmas and is suitable for decomposing H2 molecules to generate H atoms effectively. Figure 2.1a, b show two types of microwave plasma-enhanced chemical vapor deposition (MWPCVD), which have been called NIRIM (the National Institute of Research of Inorganic Materials, Japan) type and ASTeX (Applied Science and Technology, Inc.) type, respectively. These systems have been extensively used for the growth of diamond films. In the case of NIRIM-type MWPCVD system, the CH4/H2 plasma is generated in a cylindrical quartz tube, which intersects a rectangular waveguide, as shown in Fig. 2.1a. The dimensions of the waveguide are chosen to drive the TE10 mode of a 2.45 GHz microwave provided by a power generator. A short circuit stub can be adjusted to obtain a stationary wave with the maximum in electric field located in the center part of the tube. Three tuning stubs allow the minimization of the reflected power. The plasma provides the substrate heating. The major advantages of NIRIM-type reactors are (1) simple reactor design with relatively low set-up costs and (2) flexible variation of substrate position relative to the plasma. On the other hand, the size of substrate is limited to approximately a few cm2 by the inner diameter of the cylindrical quartz tube. The ASTex-type reactor consists of a cylindrical stainless steel chamber. The microwave (2.45 GHz) is coupled from the rectangular waveguide into the cavity via an axial antenna. A discharge called a “plasma ball” is generated above the substrate. The plasma ball provides the substrate heating. In this system, the CVD process would be operated at pressures of a few tens of Torr (103 Pa), and the reactor pressure and microwave power cannot be varied completely independently. At too high pressure or low microwave power, plasma cannot be sustained. On the other hand, if the microwave power is too high for a given pressure, the plasma becomes unstable and tends to jump to the quartz (fused silica) window, occasionally resulting in the destruction of the window by the heat.
a
b Gas (CH4, H2) Quartz tube
Microwave generator substrate
Quartz window Gas (CH4, H2)
Microwave generator
substrate
Plasma ball Substrate holder To pump
Substrate holder
To pump
Fig. 2.1 Schematic of microwave plasma-enhanced CVD systems. (a) NIRIM (National Institute of Research of Inorganic Materials) type, (b) ASTeX (Applied Science and Technology, Inc.) type
2.1 Microwave Plasma Enhanced Chemical Vapor Deposition
11
In the case of diamond growth, deposition is carried out in a mixture of CH4 and H2 at substrate temperatures of 700–800 C. Typical H2/CH4 flow rate ratio is about 100. The high H2/CH4 flow rate ratio for diamond growth is essential to remove unwanted non-diamond phase. In the case of the synthesis of carbon nanotubes or carbon nanowalls, on the other hand, deposition process is carried out at a low H2/CH4 flow rate ratio of 1–4. Wu et al. reported the synthesis of carbon nanowalls on the catalyzed substrate using the NIRIM-type MWPCVD reactor [2, 16–18]. Although the growth of carbon nanowalls was found during the growth of carbon nanotubes at first, they successfully synthesized carbon nanowalls on various substrates using MWPCVD, and systematically investigated the effects of the gas flow rate ratio and electrical field on the formation of carbon nanowalls. They also reported the field emission and electron transport properties of carbon nanowalls. Typical carbon nanowalls were grown employing a mixture of CH4 and H2 at the H2/CH4 flow rate ratio of 4, total pressure of 1 Torr (133 Pa), and substrate temperatures of 650–700 C. Figure 2.2a–f show SEM images of carbon nanostructures grown at different
Fig. 2.2 SEM images of carbon grown at different H2/CH4 flow rate ratios: (a) 30, (b) 15, (c) 10, (d) 6, (e) 4, (f) 1. Scale bars: (a), (b), (d), and (f) 1 mm; (c) and (e) 100 nm. By courtesy of Prof. Wu [18] – reprinted with permission from The Royal Society of Chemistry
12
2 Preparation Methods
H2/CH4 flow rate ratios [18]. The H2/CH4 flow rate ratio was found to cause drastic changes to the morphology of the nanocarbon films. Typical carbon nanowall film was grown at the H2/CH4 flow rate ratio of 4, as shown in Fig. 2.2e. Wu et al. have also demonstrated the use of carbon nanowalls as templates for the formation of other types of nano materials, which will be described in Chap. 7. Chuang et al. reported the growth of carbon nanowalls in freestanding, threedimensional aggregates by MWPCVD using ASTex-type reactor, and investigated their field emission property [4, 19]. Three-dimensional macroscopic carbon nanowall aggregates extruded from plasma sites on the copper growth stage. Ammonia and acetylene were used as a source mixture. The ammonia to acetylene gas flow rate ratio NH3/C2H2 had a strong influence on the microstructures of carbon nanowalls, and the growth of carbon nanowall aggregates was observed for a NH3/C2H2 gas flow rate ratio below 1. In their method, growth of carbon nanowalls was catalyst-free and unconstrained by substrate surfaces. Deposition was extremely efficient and produced centimeters-long superstructures in only 10 min. They also carried out Brunauer-Emmett-Teller (BET) measurements [20] in liquid nitrogen and reported that the BET surface area for nitrogen adsorption at 77 K is 37.3 m2/g and the average pore width is 12.3 nm, for carbon nanowalls grown with a NH3/ C2H2 ratio of 0.4 [19]. Hiramatsu et al. have grown a variety of carbon structures by MWPCVD using the ASTex-type reactor shown in Fig. 2.1b. Diamond films, carbon nanotubes, and carbon nanoflakes can be fabricated by changing the synthesis condition including gas flow rate ratio of hydrogen to hydrocarbon, process temperature, and substrate pre-treatment. Figure 2.3a–d show SEM images of carbon structures grown on Si
a
b
15 µm
c
20 µm
d
1 µm
1 µm
Fig. 2.3 SEM images of carbon-related materials fabricated by microwave plasma-enhanced CVD, (a) diamond film, (b) aligned carbon nanotubes, (c) carbon nanoflakes (top view), and (d) carbon nanoflakes (cross-sectional view)
2.2 Inductively Coupled Plasma Enhanced Chemical Vapor Deposition
13
substrate by MWPCVD using the same ASTex-type reactor shown in Fig. 2.1b. As described before, diamond films were grown at the H2/CH4 flow rate ratio of 50–100, total pressures of 45–55 Torr (6–7 102 Pa), and substrate temperature of approximately 700 C on a scratched Si substrate (Fig. 2.3a), and nanodiamoand films were grown at the H2/CH4 flow rate ratio of 10–30 [21, 22]. As shown in Fig. 2.3b, aligned single-walled and double-walled carbon nanotube films were grown at the H2/CH4 flow rate ratio of 1.4 on a Co-catalyzed Si substrate [23, 24]. On the other hand, carbon nanoflakes were fabricated at higher temperatures and higher pressures of source gases, as compared with the case of carbon nanotube growth. Figure 2.3c, d show SEM images of carbon nanoflakes grown for 5 min at the H2/C2H2 flow rate ratio of 1, total pressures of 80 Torr (104 Pa), and substrate temperature of about 850 C on the Si substrate without catalyst.
2.2
Inductively Coupled Plasma Enhanced Chemical Vapor Deposition
Radio frequency (RF: 13.56 MHz) inductively coupled plasma (ICP) is one of highdensity plasmas, and has been used to etch several materials including Si, SiOx, SiNx, and metal films in the LSI (large-scale integration) fabrication process. The ICP is operated at relatively low pressures below 100 mTorr (13.3 Pa). The plasma is contained inside a chamber, which is surrounded by an inductive coil antenna. There are two types of ICP geometries: planar and cylindrical. In the planar geometry, the electrode is a coil antenna of flat metal wound like a spiral, as shown in Fig. 2.4a. In cylindrical geometry, it is like a helical spring, as shown in Fig. 2.4b. The plasma density of ICP is approximately ten times higher than that in a capacitive mode at the same RF power input [25]. In the case of planar geometry, RF power is inductively coupled into the process chamber with a planar-coil antenna through a quartz (fused silica) window. When a time-varying electric current is passed through the RF coil antenna located on the quartz window, it creates a time varying magnetic field around it, which in turn induces azimuthal electric current in the gas inside the chamber, leading to break down and production of high-density plasma. Plasma density of ICP discharge is on the order of 1012 cm3. antenna
quartz window
quartz tube antenna
RF source plasma
RF source plasma
Fig. 2.4 Schematic of inductively coupled plasmas with (a) planar spiral antenna and (b) helical antenna
14
2 Preparation Methods
Holloway’s group reported the synthesis of carbon nanosheets using RF-ICP [6, 26, 27]. They used CH4 as a carbon source with a concentration of 40% in a H2 atmosphere. Free-standing carbon nanosheets with thickness less than 1 nm, consisting of one to three graphene layers, were synthesized at total pressure of 90 mTorr (12 Pa), RF power of 900 W, and substrate temperature of 680 C on a variety of substrates including Si, W, Mo, Zr, Ti, Hf, Nb, Ta, Cr, SUS304 stainless steel, SiO2, and Al2O3 without any catalyst or special substrate treatment. They also reported a series of researches on the field electron emission from carbon nanosheets [6, 28, 29]. Owing to the high enhancement factor from the atomically sharp edges, carbon nanosheets can be an ideal emitter material. Hishikawa et al. reported the growth of carbon nanowalls using RF-ICP employing a mixture of CH4 and Ar [30]. Figure 2.5 shows a schematic of ICP reactor used for the growth of carbon nanowalls. The ICP chamber was 16 cm in diameter and 30 cm in height. A one-turn coil antenna with a diameter of 10 cm was set on a quartz window at the top of the chamber. RF (13.56 MHz) power was applied to the coil antenna and plasma was generated in the chamber. Si substrate was set on the middle of the substrate holder at 10 cm below the quartz window. Growth experiments were carried out at substrate temperatures of 700–850 C, RF power of 500 W, total gas pressure of 10–60 mTorr (1.3–8 Pa), and flow rates of CH4 and Ar of 7 and 1.4 sccm (sccm denotes cubic centimeter per minute at STP), respectively. Figure 2.6a, b show typical SEM images of carbon nanowalls grown by RF-ICP employing a mixture of CH4 and Ar for 30 min [30]. Carbon nanowalls with relatively smooth surface were fabricated at a growth rate of approximately 50 nm/min. In the case of growth for more than 1 h, however, the morphology of carbon nanowalls changed to a highly branched surface. As shown in Fig. 2.6c,
RF Power (13.56 MHz) Matching box Quartz
Antenna
CH4 To pump Ar
Fig. 2.5 Schematic of inductively coupled plasma used for the growth of carbon nanowalls
Heater
Substrate
2.2 Inductively Coupled Plasma Enhanced Chemical Vapor Deposition
a
15
b
1 µm
1 µm
d
c
15 µm
6 µm
e
f
1 µm
1 µm
Fig. 2.6 SEM images of carbon nanowalls grown by RF-ICP employing CH4/Ar mixture, (a) cross-sectional view and (b) top view of carbon nanowalls grown for 30 min, (c) highly branched carbon nanowall film with high surface to volume ratio, and (d) carbon nanowalls detached from Si substrate. Carbon nanowalls grown employing (e) CH4/H2 and (f) CH4/N2 mixtures
a highly branched carbon nanowall film with high surface to volume ratio was fabricated in 4 h. These carbon nanowalls sometimes can be easily detached at the base, resulting in the formation of free standing carbon nanowall membrane, as shown in Fig. 2.6d. In the case of plasma-enhanced CVD using RF-ICP, carbon nanowalls can be also synthesized employing CH4 without dilution, CH4/H2, and CH4/N2 mixtures. As shown in Fig. 2.6e, the average spacing between walls for the carbon nanowall film grown with CH4/H2 mixture was slightly wide, compared with that grown with CH4/Ar mixture shown in Fig. 2.6b. On the other hand, carbon nanowall film grown with CH4/N2 mixture seemed wavy, as shown in Fig. 2.6f. RF-ICP CVD system has advantages of simple design and scalability to large area growth. In addition, the growth rate of carbon nanowalls using RF-ICP CVD with CH4/Ar mixture was rather high compared with other methods.
16
2.3
2 Preparation Methods
Capacitively Coupled Plasma Enhanced Chemical Vapor Deposition with Radical Injection
In the case of formation of carbon nanowalls using plasma-enhanced CVD with hydrocarbon/hydrogen system, it is thought that the combination of CH3 radicals and H radicals is important. High-density plasmas such as microwave plasma and ICP are suitable for dissociating H2 molecules to produce H atoms, as described before. In contrast, CH3 radical density is higher in parallel-plate capacitively coupled plasma (CCP) employing CH4 gas [31]. Figure 2.7 compares the features of CCP with ICP. The CCP might be useful to produce plenty of hydrocarbon radicals such as CH3 radicals effectively, and also useful for large area deposition of the film. However, because of the shortage of H atoms [32], the CCP by itself is not suitable for the growth of carbon nanowalls. In some cases, it is difficult to produce various kinds of species with different roles selectively and effectively at the same time using single plasma, although we have managed to control radical densities in the plasma by changing the mixing ratio of source gases and introducing pulse-time modulation of RF or microwave input power. To solve this situation, hydrocarbon or fluorocarbon gases are excited by a parallel-plate CCP, while the H atom density around the growing surface is augmented by the injection from high-density H2 plasma as a remote H radical source. This is the idea of radical injection technique. Previously, Hiramatsu et al. have demonstrated the formation of diamond crystals using a unique plasma-enhanced CVD system that consists of a parallelplate radio frequency (RF) CCP assisted by H radical injection [33, 34]. Radical injection technique enables us to control multiple radicals individually. The successful formation of vertically aligned carbon nanowalls was demonstrated using fluorocarbon (typically, C2F6) plasma-enhanced CVD with H radical injection [7, 8]. Plasma generation methods Inductively coupled plasma (ICP)
Capacitively coupled plasma (CCP)
RF plasma RF Plasma density: ~1010 cm−3 • Large area deposition • Effective to generate CH3 radicals from methane plasma (CF3 from fluorocarbon plasma)
coil antenna RF Plasma density: ~1012 cm−3 • High density plasma • Effective to generate H atoms efficiently
Fig. 2.7 Comparison of capacitively coupled plasma and inductively coupled plasma
2.3 Capacitively Coupled Plasma Enhanced Chemical Vapor Deposition
2.3.1
17
RF Plasma-Enhanced CVD with H Radical Injection
Figure 2.8 shows a schematic of the RF capacitively coupled plasma (CCP)enhanced CVD apparatus assisted by a remote radical source, which consists of a parallel-plate CCP region and a remote radical source that uses an inductively coupled H2 plasma (H2 ICP). The main reaction chamber was equipped with circular parallel-plate electrodes separated by 5 cm. RF (13.56 MHz) voltage was applied to the upper electrode and the lower electrode was grounded. Carbon source gas (e.g., C2F6, CH4, CF4, CHF3, and C4F8) was introduced into the RF-CCP region. H2 was fed through a quartz tube of 26 mm inner diameter and 20 cm length. A five-turn RF coil was mounted on the quartz tube. The RF coil was connected to the RF power generator operating at 13.56 MHz. The flow rates of carbon source gas and H2 were kept at 15 and 30 sccm, respectively, and the total gas pressure was 100 mTorr (13.3 Pa). The typical RF powers of CCP and ICP were 100 and 400 W, respectively, and the substrate temperature was 500 C, which was the maximum temperature in the present system. The substrates used in this work include Si, SiO2, Al2O3, Ni, and stainless steel without any catalyst. Typical SEM images of the carbon nanowalls grown using a C2F6/H2 system on Si (100) substrate for 3 h are shown in Fig. 2.9a, b. These pictures show that twodimensional carbon sheets were grown vertically on the substrate. The thickness of carbon nanowalls was 10–30 nm, and their height was about 600 nm. In the case of the deposition without H2 ICP, on the other hand, carbon nanowalls were not fabricated. Figure 2.9c shows an SEM image of deposits formed using C2F6/H2 system for 3 h without ICP. Fluorocarbon polymer with a cauliflower shape was deposited. Figure 2.9d–f show SEM images of carbon nanowalls grown for 15 min, 30 min, and 1 h, respectively. As shown in Fig. 2.9d, at the nucleation stage of growth, nano-islands with sizes of 3–10 nm were formed on the substrate, followed by the formation of disordered carbon nanoflakes of smaller sizes (Fig. 2.9e) and subsequent growth of isolated nanowalls with a semicircular shape standing vertically on the substrate in the next stage of growth as shown in Fig. 2.9f. RF power (13.56 MHz) RF power (13.56 MHz)
Fig. 2.8 Schematic of the RF capacitively coupled plasmaenhanced CVD apparatus, assisted by a remote H radical source used for the growth of carbon nanowalls [7] – reprinted with permission from American Institute of Physics
H2
C2F6 ICP CCP Substrate To pump
18
2 Preparation Methods
Fig. 2.9 SEM images of the carbon nanowalls grown on Si substrate using C2F6 RF-CCP assisted by H radical injection for 3 h; (a) top view and (b) closeup view. Inset in (a) is a cross-sectional view. (c) SEM image of deposits formed using the C2F6/H2 system without ICP for 3 h. SEM images of carbon nanowalls grown for (d) 15 min, (e) 30 min, and (f) 1 h [7] - reprinted with permission from American Institute of Physics
Growth rate curves for the nanowalls fabricated using C2F6/H2 system were obtained by measuring the height and thickness of the nanowalls for differing amounts of growth time (0–8 h). The height of nanowalls increased linearly with the increase of growth time. On the other hand, the thickness of nanowalls increased gradually at first up to 3 h and thereafter became constant at about 50 nm. The spacing between nanowalls increased at first, and then became almost constant at about 200 nm. Gas phase and surface reactions of fluorocarbon radicals such as CF2 in the fluorocarbon–hydrogen plasmas have been studied extensively by Fisher’s group [35, 36]. It was reported that with C2F6/H2 and CF4/H2 plasmas, the film structure would vary from fluorocarbon polymer, through amorphous hydrogenated fluorinated carbon to diamond like carbon as the H2 flow rate ratio increased. In the case of our deposition without H2 ICP, a fluorocarbon polymer with a cauliflower shape was deposited as shown in Fig. 2.9c. Injected H radicals would react more effectively with fluorocarbon radicals in the gas phase as well as at the surface by F abstraction. H radicals would play an important role for producing sp2-bonded carbons at the surface to form continuous sp2 network, resulting in the formation of carbon nanowalls. The ratio of CFx (x ¼ 1–3) radicals to H radicals would be an important factor to form carbon nanowalls. Measurement of H atom density in the plasma is described in Chaps. 4 and 5.
2.3 Capacitively Coupled Plasma Enhanced Chemical Vapor Deposition
2.3.2
19
VHF Plasma-Enhanced CVD with H Radical Injection
Figure 2.10 shows a schematic of the very high frequency (VHF) plasma-enhanced CVD system with H radical injection, which was developed with the aim of achieving large-area growth of carbon nanowalls with a reasonable growth rate [37]. This system consists of a parallel-plate VHF (100 MHz) capacitively coupled plasma (CCP) region and a surface wave microwave (2.45 GHz) excited H2 plasma (H2 SWP) as a radical source. A carbon source gas (C2F6) was introduced into the VHF CCP region. During the carbon nanowall growth, the Si substrate was heated using a carbon heater. The surface temperature of the growth substrate was 600 C, which was measured using an optical pyrometer. Using this system, the heated substrate was showered with fluorocarbon radicals as well as H atoms, in a controlled manner. The flow rates of C2F6 and H2 were maintained at 50 and 100 sccm, respectively, and the total pressure was changed in the range from 0.1 to 1.2 Torr (13–160 Pa). Typical microwave and VHF powers were 250 and 300 W, respectively.
Microwave
H2
Quartz window
S f Surface wave plasma l
100 MHz MH (VHF)
C2F6
Fig. 2.10 Schematic illustration of the VHF plasma-enhanced CVD system with H radical injection [37] – reprinted with permission from American Vacuum Society
a
0.1 Torr
H C2F6 Capacitively coupled plasma
substrate
To pump
b
0.4 Torr
c
0.6 Torr
Fig. 2.11 SEM images of carbon nanowalls grown at pressures of (a) 13.3, (b) 53.3, and (c) 80 Pa [38] – reprinted with permission from American Institute of Physics
20
2 Preparation Methods
a
b
300 nm
300 nm
Fig. 2.12 Cross-sectional SEM images of (a) carbon nanowall film grown on a Si substrate using C2F6/H2 and (b) carbon nanowall film grown using C2F6/H2 with additional O2 gas
Figure 2.11a–c show SEM top view images of carbon nanowalls grown using VHF plasma-enhanced CVD system with H radical injection on Si substrates at total pressures of 0.1, 0.4, and 0.6 Torr (13.3, 53.3, and 80 Pa), respectively [38]. At a low total pressure of 0.1 Torr, a carbon nanowall film with narrow interspaces was obtained (Fig. 2.11a). On the other hand, the carbon nanowall film grown at a total pressure of 0.6 Torr had wide interspaces of 30–40 nm (Fig. 2.11c). Here, the interspace is defined as the average distance between adjacent carbon nanowalls derived from SEM observation, by measuring diameters of more than 50 hollows selected randomly in the SEM top view image of carbon nanowall film. From the measurement of H and C radical densities in the CCP region, which is described in Chap. 5, the H/C radical density ratio was found to increase with the increase of total pressure [38]. As the H/C radical density ratio in the plasma increased, the growth rate of the carbon nanowalls decreased and the average interspaces between the walls became wider. Figure 2.12a shows an SEM image of a typical carbon nanowall film grown on a Si substrate using a C2F6/H2 mixture at a total pressure of 1.2 Torr (160 Pa). This image shows slightly branching two-dimensional carbon sheets standing almost vertically on the substrate. The growth rate of this carbon nanowall film was approximately 30 nm/min. Crystallinity of carbon nanowall is improved by adding a small amount of oxygen to the source mixture. Figure 2.12b shows an SEM image of carbon nanowalls grown with the addition of O2 at a flow rate of 5 sccm. Branching can be suppressed and straight and large-size monolithic carbon nanosheet can be obtained by the addition of oxygen; however, the growth rate was reduced by approximately 33%. Carbon nanowall films grown with O2 had larger plane sheets with wider interspaces than those grown without O2.
2.4
Electron-Beam-Excited Plasma Enhanced Chemical Vapor Deposition
An electron beam excited plasma (EBEP) is a high-density plasma directly introduced by a high-current and low-energy electron beam [39, 40]. The electron-beam energy and the electron current can be controlled independently by changing the
2.4 Electron-Beam-Excited Plasma Enhanced Chemical Vapor Deposition
DC discharge
21
Electron extraction and acceleration
LaB6 EBEP Ar Gas heater substrate
pump CH4/H2 Vf
VD
VA
insulator
Fig. 2.13 Schematic of carbon nanowall fabrication system using electron beam excited plasma [11] – reprinted with permission from Elsevier
accelerating voltage and discharge current, respectively. By setting the electronbeam energy close to the energy corresponding to the maximum electron impact ionization cross-section of source gases, highly ionized and dissociated plasmas can be produced even at low pressures. Carbon nanowalls were fabricated using an EBEP-CVD system shown in Fig. 2.13. The EBEP-CVD system consists of three parts: (a) a DC discharge plasma region, (b) an electron acceleration region, where extracted electrons are accelerated into the EBEP region, and (c) an EBEP region, which is high-density and low-temperature plasma at low pressures. The DC discharge plasma is sustained by electrons emitted from a cathode made of a LaB6 disk. The electron beam is extracted from the DC discharge plasma and is accelerated by a pair of multi-hole grids into the EBEP region. Since the electron beam provides sufficient energy for the ionization and dissociation of the gas molecules, the plasma can be reliably produced even under low-pressure conditions. The mixtures of process gases (CH4/ H2) were introduced into the deposition chamber. Growth experiments were carried out on an electrically floating substrate for 10–90 min at an electron-beam current of 2 A and an electron-acceleration voltage of 60–100 V, a total pressure of 10–30 mTorr (1.3–4 Pa), and the heater temperature of 570 C. Substrates used in this study were mirror-polished Si (100) without any catalyst. Figure 2.14a shows the SEM image of carbon nanowall film grown for 10 min at an electron-acceleration voltage of 100 V, a total pressure of 20 mTorr (2.6 Pa), and a substrate temperature of 570 C. Figure 2.14b shows a magnified SEM image of carbon nanowalls. Carbon nanowalls grown here were very thin, and their thickness was approximately 3 nm. Figure 2.14c, d show the typical SEM images of carbon nanowall film grown for 90 min. In this case, the electron-acceleration voltage was set at 60 V to prevent the increase of substrate temperature during the growth
22
2 Preparation Methods
b
a
3 nm
50 nm
300 nm
c
d
1 µm
1 µm
Fig. 2.14 (a) SEM top view image and (b) magnified SEM image of carbon nanowall film fabricated by EBEP-CVD employing CH4/H2 mixture for 10 min. (c) SEM side view image and (d) top view image of carbon nanowall film fabricated for 90 min [11] – reprinted with permission from Elsevier
experiment. These images show that two-dimensional carbon sheets were grown vertically on the substrate. The growth rate of carbon nanowall film using EBEP with CH4/H2 mixture was approximately 22 nm/min. Vertically standing aligned carbon nanowalls were considerably definite, forming a unique nanostructure similar to a maze with high aspect ratio. Growth rate curves for the nanowalls fabricated using EBEP CVD with CH4/H2 system were obtained by measuring the height and thickness of the nanowalls for differing amounts of growth time (0–90 min). The height of carbon nanowalls linearly increased with the increase of growth time, while the thickness of carbon nanowalls was almost constant at a few nm. The average spacing between adjacent nanowalls increased at first, and then became almost constant at approximately 200 nm. Morphology and growth rate of carbon nanowall film depended on the pressure during the fabrication process using the EBEP-CVD system. With the increase of the total gas pressure, the density of carbon nanowalls decreased. The average interspaces were controllable in the range of 50–200 nm by changing the total gas pressure. Moreover, the growth rate of carbon nanowall film increased with the increase of total gas pressure. At a total pressure of 30 mTorr (4 Pa), carbon nanowall growth rate as high as approximately 32 nm/min was obtained. In addition, it was found that wall spacing and density depended on the substrate temperature as well, while the thickness of carbon nanowall was almost constant. As the substrate temperature increased, the growth rate of carbon nanowall film increased up to 35 nm/min. In the case of fabricating carbon nanowalls at high temperature of 650 C, the density of carbon nanowalls was high and vertical
2.5 Hot Filament Chemical Vapor Deposition
a
23
b
300 nm
500 nm
c
d
2.0 µm
500 nm
Fig. 2.15 SEM images of carbon nanowalls with unique structure: (a) carbon nanowall ribbons, (b, c) free-standing carbon nanowall mat [11] – reprinted with permission from Elsevier
alignment of carbon nanowalls was poor. On the other hand, definite and vertically aligned carbon nanowalls were successfully fabricated at relatively low temperature of 550 C. Carbon nanowalls fabricated by the EBEP-CVD employing CH4/H2 can be easily detached from the substrate. Figure 2.15a shows an SEM image of carbon nanowall ribbons detached from the substrate. Isolated free-standing carbon nanowalls would be applied to the novel electronic devices. Figure 2.15b–d show SEM images of carbon nanowall mat scratched out from substrate, which implies that free-standing aligned carbon nanowall mat or filter will be fabricated using the present CVD system.
2.5
Hot Filament Chemical Vapor Deposition
The hot filament chemical vapor deposition (HFCVD) technique is an old but widely studied method to grow diamond films. The HFCVD method can be applied to synthesize carbon nanotubes and carbon nanowalls. A simplified schematic of HFCVD system is shown in Fig. 2.16. Single or multiple tungsten (W) filaments, which are heated to 2,000–2,200 C, are used to decompose a mixture of hydrocarbon and hydrogen gases. The deposition is carried out at pressures of 1–100 Torr and substrate temperature of 600–800 C, and a substrate is placed within a small distance (typically 5 mm) from a filament (Fig. 2.16). Shang et al. [3] have reported the synthesis of carbon nanoflakes using HFCVD technique employing a mixture of C2H2 and H2 gases without using catalysts or surface pretreatment. Two parallel W filaments were heated to 2,100 C. The carbon
24 Fig. 2.16 Schematic of carbon nanowall fabrication system using hot filament CVD
2 Preparation Methods
Tungsten filament
substrate
nanoflakes deposited at 400–600 C had a thickness of less than about 10–20 nm. As the temperature increased in this temperature range, the size of nanoflakes decreased, while the density increased. In addition to the substrate temperature, the acetylene concentration also had a significant effect on the deposited films. As acetylene concentration increased, the nanoflake films became more disordered. They also measured the field emission property of carbon nanoflake film, showing a turn-on field of about 17 V/mm. Itoh et al. [41] have prepared carbon nanowall films employing only CH4 gas at relatively low substrate temperature of 500 C on the Ni-catalyzed quartz substrate, and measured the field emission property of carbon nanowall film, showing a turnon field of about 2.6 V/mm. However, later this group have prepared carbon nanowalls employing a mixture of CH4 and H2 gases, and investigated the hydrogen dilution effect on the preparation of carbon nanowalls [42]. From their results, it is understood that the role of hydrogen radical is important in the growth of carbon nanowalls and hydrogen dilution is available for controlling the structure of carbon nanowalls with suitable hydrogen dilution ratio, while too large amount of hydrogen radicals would etch away not only disordered components but also ordered ones such as sp2 networks. Giorgi et al. [43] have synthesized carbon nanowalls using bias-assisted HFCVD method employing a mixture of He and CH4 gases (typically He:CH4 ¼ 100:2) at the substrate temperature of 800 C on the Si substrate. Platinum particles were prepared on the carbon nanowalls by galvanostatic electrodeposition to investigate their electrocatalytic performance for methanol oxidation, and comparison between carbon powder and carbon nanowalls was carried out. The carbon nanowalls fabricated by HFCVD method seem less aligned compared with those fabricated by plasma-enhanced CVD method using microwave plasma and inductively coupled plasma, which may suggest that the electrical field and/or ionic species contribute to the growth of carbon nanowalls. The HFCVD method is relatively cheap in construction and easy to operate. However, the filament is sensitive to oxidizing gases, resulting in the limitation for
2.6 Atmospheric Pressure Plasma
25
using a variety of gas mixtures. Furthermore, it is also difficult to avoid contamination of synthesized carbon structures with filament materials.
2.6
Atmospheric Pressure Plasma
Previously, a microwave-excited non-epuilibrium atmospheric pressure plasma source with high electron density of 1015 cm3 and low electron temperature of 1 eV was applied to the synthesis of carbon nanotubes [44]. This type of plasma has a great potential for realizing the new material processing because the larger amount of radicals is estimated by a few orders of magnitude compared with the conventional low-pressure and high-density plasmas and the complicated vacuum equipments are not required. Recently, this microwave-excited non-equilibrium atmospheric pressure plasma-enhanced CVD was applied to the synthesis of carbon nanowalls [45]. A schematic of non-equilibrium atmospheric pressure plasma-enhanced CVD system is shown in Fig. 2.17. The microwave (2.45 GHz) propagates from the top of the deposition chamber to the micro-slit electrode. The micro-slit electrode width is 0.2 mm and the distance between substrate and the micro-slit is 5 mm. A source gas mixture (He/H2/CH4) is introduced from the upper part of the micro-gap and pumped out from the lower part of the deposition chamber, such that the chamber pressure is kept at atmospheric pressure. Growth experiments were carried out for 30 min at a microwave power of 350 W, a total pressure of 1 atm and a heater temperature of 680 C. The flow rates of He, CH4, and H2 were 1,000, 25, and 25 sccm, respectively. Prior to the carbon nanowall growth, Ti nanoparticles were formed on the Si substrate using pulsed arc plasma deposition.
waveguide
Microwave generator
quartz
H2
He
CH4 microwave 5mm
To pump
heater substrate 0.2mm Micro slit
Fig. 2.17 Schematic of non-equilibrium atmospheric pressure plasma-enhanced CVD system
26
2 Preparation Methods
a
b
500 nm
10 µm
Fig. 2.18 (a) SEM image of carbon nanowall film fabricated by non-equilibrium atmospheric pressure plasma-enhanced CVD system employing CH4/H2/He mixture for 30 min on the Ticoated Si substrate. (b) SEM image of aligned carbon nanotube film fabricated by the same system for 5 min on the Co-catalyzed Si substrate
Figure 2.18a shows an SEM image of carbon nanowall film grown on the Ti-coated Si substrate by non-equilibrium atmospheric pressure plasma-enhanced CVD system employing CH4/H2/He mixture for 30 min. Height of the carbon nanowall film grown for 30 min was about 70 nm. On the other hand, aligned carbon nanotubes can be also grown on the Co-catalyzed Si substrate under identical plasma conditions. Figure 2.18b shows an SEM image of aligned carbon nanotube film grown for 5 min for comparison. In the case of carbon nanowall fabrication using atmospheric pressure plasma-enhanced CVD, the growth rate should be substantially improved for the practical application of carbon nanowalls.
2.7
Sputtering
Kusano’s group has reported the synthesis of vertically standing carbon nanoflakes by RF sputtering of glassy carbon target with the addition of CH4 [14]. Figure 2.19 shows a schematic of a load lock-type sputtering machine. A glassy carbon disk was used as a sputtering target. The RF (13.56 MHz) power of 100 W was applied to the target. The mixture of Ar and CH4 was used as a discharge gas, and their flow rates were 14 and 28 sccm, respectively. The total pressure was approximately 14.5 Pa. The Si substrate was heated to 670 C. Figure 2.20 shows an SEM top view image of vertically standing carbon nanoflakes grown by sputtering for 3 h. A typical size of the nanoflakes grown for 3 h is a length of 1 mm, a width of 600 nm, and a thickness of 30 nm. Figure 2.21a–d show SEM images of carbon nanoflakes grown on Si substrates at 670 C for various discharge durations. As shown in Fig. 2.21a, at the early stage of growth, randomly oriented flakes of small sizes were formed densely, followed by the formation of
2.7 Sputtering
27
Fig. 2.19 Schematic of the sputtering apparatus used for the growth of vertically standing carbon nanoflakes. By courtesy of Prof. Kusano [14] – reprinted with permission from Elsevier
Fig. 2.20 SEM image of nanoflakes grown by the sputtering for 3 h. By courtesy of Prof. Kusano [14] – reprinted with permission from Elsevier
isolated nanoflakes with a semicircular shape (Fig. 2.21b) and subsequent growth of vertically well-aligned nanoflakes as shown in Fig. 2.21c, d. It was reported that carbon nanoflakes were not grown in pure Ar atmosphere by sputtering using a glassy carbon target, and a high CH4 partial pressure of more than 44.3 Pa was necessary to obtain carbon nanoflakes by sputtering with a glassy carbon target [14]. In this point, the present process might be regarded as sputtering-CVD combined growth. Therefore, carbon-containing radicals such as CH3
28
2 Preparation Methods
a
b
c
d
Fig. 2.21 SEM images of carbon nanoflakes grown on silicon substrates at 670 C for various growth durations of (a) 15 min, (b) 30 min, (c) 2 h and (d) 3 h. By courtesy of Prof. Kusano [14] – reprinted with permission from Elsevier
generated from CH4 molecules would be precursors for the growth of carbon nanoflakes. However, from the fact that there has been no report on the successful growth of carbon nanowalls by commonly used RF capacitively coupled plasma (without H radical injection) so far, it appears certain that sputtered C atoms would play important role in the synthesis of carbon nanoflakes, e.g., formation of the nucleation sites of nanoflakes at the very early stage of growth.
References 1. Ando Y, Zhao X, Ohkohchi M (1997) Production of petal-like graphite sheets by hydrogen arc discharge. Carbon 35: 153–158 2. Wu YH, Qiao PW, Chong TC, Shen ZX (2002) Carbon nanowalls grown by microwave plasma enhanced chemical vapor deposition. Adv Mater 14: 64–67 3. Shang NG, Au FCK, Meng XM, Lee CS, Bello I, Lee ST (2002) Uniform carbon nanoflake films and their field emissions. Chem Phys Lett 358: 187–191 4. Chuang ATH, Boskovic BO, Robertson J (2006) Freestanding carbon nanowalls by microwave plasma-enhanced chemical vapour deposition. Diam Relat Mater 15: 1103–1106
References
29
5. Srivastava SK, Shukla AK, Vankar VD, Kumar V (2005) Growth, structure and field emission characteristics of petal like carbon nano-structured thin films. Thin Solid Films 492: 124–130 6. Wang JJ, Zhu MY, Outlaw RA, Zhao X, Manos DM, Holloway BC, Mammana VP (2004) Free-standing subnanometer graphite sheets. Appl Phys Lett 85: 1265–1267 7. Hiramatsu M, Shiji K, Amano H, Hori M (2004) Fabrication of vertically aligned carbon nanowalls using capacitively coupled plasma-enhanced chemical vapor deposition assisted by hydrogen radical injection. Appl Phys Lett 84: 4708–4710 8. Hiramatsu M, Hori M (2006) Fabrication of carbon nanowalls using novel plasma processing. Jpn J Appl Phys 45: 5522–5527 9. Kurita S, Yoshimura A, Kawamoto H, Uchida T, Kojima K, Tachibana M, Molina-Morales P, Nakai H (2005) Raman spectra of carbon nanowalls grown by plasma-enhanced chemical vapor deposition. J Appl Phys 97: 104320-1–104320-5 10. Sato G, Morio T, Kato T, Hatakeyama R (2006) Fast growth of carbon nanowalls from pure methane using helicon plasma-enhanced chemical vapor deposition. Jpn J Appl Phys 45: 5210–5212 11. Mori T, Hiramatsu M, Yamakawa K, Takeda K, Hori M (2008) Fabrication of carbon nanowalls using electron beam excited plasma-enhanced chemical vapor deposition. Diam Relat Mater 17: 1513–1517 12. Shimabukuro S, Hatakeyama Y, Takeuchi M, Itoh T, Nonomura S (2008) Preparation of carbon nanowall by hot-wire chemical vapor deposition and effects of substrate heating temperature and filament temperature. Jpn J Appl Phys 47: 8635–8640 13. Zhang H, Yoshimura I, Kusano E, Kogure T, Kimbara A (2004) Formation of carbon nanoflakes by RF magnetron sputtering method. Shinku (J Vac Soc Jpn) 47: 82–86 (in Japanese) 14. Zhang H, Kikuchi N, Kogure T, Kusano E (2008) Growth of carbon with vertically aligned nanoscale flake structure in capacitively coupled rf glow discharge. Vacuum 82: 754–759 15. Shiji K, Hiramatsu M, Enomoto A, Nakamura M, Amano H, Hori M (2005) Vertical growth of carbon nanowalls using rf plasma-enhanced chemical vapor deposition. Diam Relat Mater 14: 831–834 16. Wu YH, Yang BJ (2002) Effects of localized electric field on the growth of carbon nanowalls. Nano Lett 2: 355–359 17. Wu YH, Yang BJ, Han GC, Zong BY, Ni HQ, Luo P, Chong TC, Low TS, Shen ZX (2002) Fabrication of a class of nanostructured materials using carbon nanowalls as the templates. Adv Funct Mater 12: 489–494 18. Wu YH, Yang BJ, Zong BY, Sun H, Shen ZX, Feng YP (2004) Carbon nanowalls and related materials. J Mater Chem 14: 469–477 19. Chuang ATH, Robertson J, Boskovic BO, Koziol KKK (2007) Three-dimensional carbon nanowall structures. Appl Phys Lett 90: 123107-1–123107-3 20. Brunauer S, Emmett PH, Teller E (1938) Adsorption of gases in multimolecular layers. J Am Chem Soc 60: 309–319 21. Hiramatsu M, Lau CH, Bennett A, Foord JS (2002) Formation of diamond and nanocrystalline diamond films by microwave plasma CVD. Thin Solid Films 407: 18–25 22. Hiramatsu M, Kato K, Lau CH, Foord JS, Hori M (2003) Measurement of C2 radical density in microwave methane/hydrogen plasma used for nanocrystalline diamond film formation. Diam Relat Mater 12: 365–368 23. Hiramatsu M, Nagao H, Taniguchi M, Amano H, Ando Y, Hori M (2005) High-rate growth of films of dense, aligned double-walled carbon nanotubes using microwave plasma-enhanced chemical vapor deposition. Jpn J Appl Phys Exp Lett 44: L693–L695 24. Hiramatsu M, Deguchi T, Nagao H, Hori M (2007) Area-selective growth of aligned singlewalled carbon nanotube films using microwave plasma-enhanced CVD. Diam Relat Mater 16: 1126–1130 25. Lieberman MA, Lichtenberg AJ (1994) Principles of plasma discharges and materials processing. Wiley, New York
30
2 Preparation Methods
26. Zhu MY, Wang JJ, Outlaw RA, Hou K, Manos DM, Holloway BC (2007) Synthesis of carbon nanosheets and carbon nanotubes by radio frequency plasma enhanced chemical vapor deposition. Diam Relat Mater 16: 196–201 27. Zhu MY, Wang JJ, Holloway BC, Outlaw RA, Zhao X, Hou K, Shutthanandan V, Manos DM (2007) A mechanism for carbon nanosheet formation. Carbon 45: 2229–2234 28. Wang S, Wang JJ, Miraldo P, Zhu MY, Outlaw R, Hou K, Zhao X, Holloway BC, Manos D, Tyler T, Shenderova O, Ray M, Dalton J, McGuire G (2006) High field emission reproducibility and stability of carbon nanosheets and nanosheet-based backgated triode emission devices. Appl Phys Lett 89: 183103-1–183103-3 29. Hou K, Outlaw RA, Wang S, Zhu MY, Quinlan RA, Manos DM, Kordesch ME, Arp U, Holloway BC (2008) Uniform and enhanced field emission from chromium oxide coated carbon nanosheets. Appl Phys Lett 92: 133112-1–113112-3 30. Hishikawa T, Hiramatsu M, Hori M (2007) Growth of carbon nanowalls using inductively coupled plasma-enhanced chemical vapor deposition. In: Proc. 29th International symposium on Dry Process 253–254 31. Naito S, Ikeda M, Ito N, Hattori S, Goto T (1993) Effect of rare gas dilution on CH3 radical density in RF-discharge CH4 plasma. Jpn J Appl Phys 32: 5721–5725 32. Tachibana K (1994) Detection of H atoms in RF-discharge SiH4, CH4 and H2 plasmas by twophoton absorption laser-induced fluorescence spectroscopy. Jpn J Appl Phys 33: 4329–4334 33. Ikeda M, Hori M, Goto T, Inayoshi M, Yamada K, Hiramatsu M, Nawata M (1995) Synthesis of diamond using RF magnetron methanol plasma chemical vapor deposition assisted by hydrogen radical injection. Jpn J Appl Phys Part 1 34: 2484–2488 34. Hiramatsu M, Inayoshi M, Yamada K, Mizuno E, Nawata M, Ikeda M, Hori M, Goto T (1996) Hydrogen-radical-assisted radio-frequency plasma-enhanced chemical vapor deposition system for diamond formation. Rev Sci Instrum 67: 2360–2365 35. Mackie NM, Dalleska NF, Castner DG, Fisher ER (1997) Comparison of pulsed and continuous wave deposition of thin films from saturated fluorocarbon/H2 inductively coupled rf plasmas. Chem Mater 9: 349–362 36. Butoi CI, Mackie NM, Williams KL, Capps NE, Fisher ER (2000) Ion and substrate effects on surface reactions of CF2 using C2F6, C2F6/H2, and hexafluoropropylene oxide plasmas. J Vac Sci Technol A 18: 2685–2698 37. Kondo S, Hori M, Yamakawa K, Den S, Kano H, Hiramatsu M (2008) Highly reliable growth process of carbon nanowalls using radical injection plasma-enhanced chemical vapor deposition. J Vac Sci Technol B 26: 1294–1300 38. Takeuchi W, Sasaki H, Kato S, Takashima S, Hiramatsu M, Hori M (2009) Development of measurement technique for carbon atoms employing vacuum ultraviolet absorption spectroscopy with a microdischarge hollow-cathode lamp and its application to diagnostics of nanographene sheet material formation plasmas. J Appl Phys 105: 113305-1–113305-6 39. Hara T, Hamagaki M, Sanda A, Aoyagi Y, Namba S (1987) New high current low energy ion source. J Vac Sci Technol B 5: 366–368 40. Tada S, Ito M, Hamagaki M, Hori M, Goto T (2002) Deposition of diamond-like carbon using compact electron-beam-excited plasma source. Jpn J Appl Phys 41: 5408–5414 41. Itoh T, Shimabukuro S, Kawamura S, Nonomura S (2006) Preparation and electron field emission of carbon nanowall by Cat-CVD. Thin Solid Films 501: 314–317 42. Shimabukuro S, Hatakeyama Y, Takeuchi M, Itoh T, Nonomura S (2007) Effect of hydrogen dilution in preparation of carbon nanowall by hot-wire CVD. Thin Solid Films 516: 710–713 43. Giorgi L, Dikonimos Makris Th, Giorgi R, Lisi N, Salernitano E (2007) Electrochemical properties of carbon nanowalls synthesized by HF-CVD. Sens Actuators B 126: 144–152 44. Matsushita A, Nagai M, Yamakawa K, Hiramatsu M, Sakai A, Hori M, Goto T, Zaima S (2004) Growth of carbon nanotubes by microwave-excited non-equilibrium atmosphericpressure plasma. Jpn J Appl Phys 43: 424–425 45. Masuda T, Kimura M, Hiramatsu M, Hori M (2006) Carbon nanotube and nanowall formations employing non-equilibrium atmospheric pressure plasma CVD. In: Proc. 28th International Symposium on Dry Process. 147–148
Chapter 3
Physics of Carbon Nanowalls
3.1
Characterization of Carbon Nanowalls
Carbon nanowalls are graphite nanostructures with edges comprised of stacked planar graphene sheets standing vertically on a substrate. The sheets form a wall structure with thicknesses in the range of a few nanometers to a few tens of nanometers, and with a high aspect ratio. Carbon nanowalls are characterized commonly by scanning electron microscopy (SEM), transmission electron microscopy (TEM), and Raman spectroscopy. These techniques have been used to characterize carbon nanotubes and diamond films. In this chapter, characterization of carbon nanowalls by SEM, TEM, Raman spectroscopy, and X-ray diffraction is described.
3.1.1
SEM and TEM Observation
SEM images of typical carbon nanowall films are shown in Fig. 3.1a, b. Carbon nanowall film shown in Fig. 3.1a was grown using RF capacitively coupled plasmaenhanced chemical vapor deposition (CVD) with H radical injection employing a mixture of C2F6 and H2 [1]. Carbon nanowall film with relatively wider spacings shown in Fig. 3.1b was synthesized using electron beam excited plasma-enhanced CVD employing a mixture of CH4 and H2 [2]. These images indicate the vertical growth of the two-dimensional carbon sheets on the substrate. Actually, the morphology and structure of carbon nanowall film depend on the source gases, pressure, process temperature, as well as the type of plasma used for the growth of carbon nanowalls. Figure 3.2a–d show SEM images of carbon nanowalls with different morphologies. In addition to the vertically standing maze-like structure, isolated very thin nanosheets, less aligned petal-like structure, highly branched type, and a kind of porous film have been fabricated. The low-magnification TEM image of Fig. 3.3a shows a typical carbon nanowall with a micrometer-high planar nanosheet structure with a relatively smooth surface M. Hiramatsu and M. Hori, Carbon Nanowalls, DOI 10.1007/978-3-211-99718-5_3, # Springer-Verlag/Wien 2010
31
32
3 Physics of Carbon Nanowalls
a
b
500 nm
500 nm
Fig. 3.1 Typical SEM images of carbon nanowall films grown using (a) RF capacitively coupled plasma-enhanced CVD with H radical injection employing a mixture of C2F6 and H2 [1] – reprinted with permission from Elsevier, and (b) electron beam excited plasma-enhanced CVD employing a mixture of CH4 and H2
200 nm
2 µm
6 µm
500 nm
Fig. 3.2 SEM images of carbon nanowalls with different morphologies
that was synthesized using electron beam excited plasma-enhanced CVD employing a mixture of CH4 and H2 [2]. The carbon nanowall is composed of nanodomains of a few tens of nanometers in size, as can be seen from the magnified image of Fig. 3.3b. These nano-domains were also observed by Kobayashi et al. [3]. The high-resolution TEM image of the carbon nanowall shown in Fig. 3.4 reveals the graphene layers, which indicates the graphitized structure of the carbon nanowalls. The spacing between neighboring graphene layers was measured as approximately 0.34 nm. Figure 3.5a, b show cross-sectional SEM images of the carbon nanowall films, and the insets show top-view SEM images of the same carbon nanowall films [4]. Figure 3.5a shows SEM images of a typical carbon nanowall film grown using very
3.1 Characterization of Carbon Nanowalls Fig. 3.3 (a) Lowmagnification TEM image of typical carbon nanowall using electron beam excited plasma-enhanced CVD employing a mixture of CH4 and H2. (b) Magnified TEM image of carbon nanowall exhibiting the existence of nano-domains of a few tens of nanometers in size [2] – reprinted with permission from Elsevier
a
100 nm
33
b
50 nm
Fig. 3.4 A high-resolution TEM image of the carbon nanowall, showing graphene layers [2] – reprinted with permission from Elsevier
5 nm
high frequency (VHF) plasma-enhanced CVD with H radical injection for 30 min on a Si substrate using a C2F6/H2 mixture. These images show slightly branching 2-dimensional carbon sheets standing almost vertically on the substrate. The carbon nanowall height, which is equivalent to the carbon nanowall film thickness, was approximately 1 mm. Figure 3.5b shows SEM images of carbon nanowalls grown for 40 min with the addition of O2 at a flow rate of 5 sccm. These carbon nanowalls exhibit less branching than those produced without O2 so that monolithic graphene sheets were obtained; however, the growth rate was reduced by approximately 33%. Carbon nanowall films grown with O2 had larger plane sheets with wider interspaces than those grown without O2. Cross-sectional TEM images of carbon nanowall films grown without and with O2 are shown in Fig. 3.5c, d, respectively [4]. Small overlapping multi-layered graphene domains with random orientations were observed for carbon nanowalls grown without O2 (Fig. 3.5c). By contrast, monolithic self-sustaining graphene sheets larger than 200 nm in size were clearly observed in the carbon nanowalls grown with O2 (Fig. 3.5d). The insets show magnified images of the areas enclosed by squares in Fig. 3.5c, d; these images allow the fine structure of the carbon nanowalls to be assessed. The inset
34
3 Physics of Carbon Nanowalls
a
c
b
d
Fig. 3.5 Cross-sectional SEM images of (a) carbon nanowall film grown on a Si substrate using C2F6/H2 and (b) carbon nanowall film grown using C2F6/H2 with additional O2 gas, together with SEM top-view images of identical carbon nanowall films as insets. TEM images of (c) carbon nanowalls grown without any additional gas and (d) carbon nanowalls grown with additional O2, together with magnified images of square areas as insets, showing layered graphene structures of carbon nanowalls [4] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
of Fig. 3.5c clearly shows a bent multi-layered graphene structure with a thickness of approximately 9 nm in the case of carbon nanowall grown without O2. On the other hand, a highly orientated, smooth multi-layered graphene structure was clearly obtained in the carbon nanowall grown with O2 (inset of Fig. 3.5d).
3.1.2
Raman Spectra of Carbon Nanowalls
Raman spectroscopy is a vibrational spectroscopic technique and extensively used for characterizing carbon-related materials including diamond, diamond-like carbon, carbon nanotube, and carbon nanowall. The main features in the Raman spectra of carbons are the so-called G band and D band peaks, which lie at around 1,580–1,590 cm1 and 1,350–1,360 cm1, respectively, for visible excitation. Except for UV excitation, the Raman spectra of carbon films are dominated by the sp2 sites, because visible excitation always resonates with the p states. Thus, even for highly sp3 amorphous carbon samples, the visible Raman spectra are due to sp2 vibrations [5]. Only for diamond or samples containing a significant fraction of diamond phase, the diamond sp3 peak at 1,332 cm1 is seen. The cross-section for
3.1 Characterization of Carbon Nanowalls
35
the amorphous sp3 C–C vibrations is negligible for visible excitation; thus, its Raman signature (e.g., nanocrystal diamond) can only be seen for UV excitation. The cross-section for graphite at 514.5 nm is approximately 55 times higher than that of diamond [6]. The G band at 1,580–1,590 cm1 is a C–C stretching mode, while D band at 1,580–1,590 cm1 is the disorder-induced phonon mode, resulting from the relaxation in the momentum selection rules of the Raman scattering process due to the small domain size in graphite. D band has nothing to do with “diamond” line. Typical Raman spectrum of carbon nanowall film is shown in Fig. 3.6, together with that of carbon nanotubes grown by plasma-enhanced CVD for comparison. Raman spectra for the carbon nanowall samples were measured at room temperature with a triple monochromator (Jobin Yvon, Ramanor T64000) using a 514.5 nm line of an argon laser. Typical Raman spectra for carbon nanowalls were found to have a strong peak at 1,590 cm1 (G band) indicating the formation of graphitized structure, and another peak at 1,350 cm1 (D band) corresponding to the disorderinduced phonon mode. In the case of carbon nanotubes grown by plasma-enhanced CVD, on the other hand, the G band is a dominant peak in the typical Raman spectra. It is noted that the G band peak is accompanied by a shoulder peak at 1,620 cm1. This shoulder peak is often designated as D’ band and associated with finite-size graphite crystals and graphene edges [7–9]. The strong D band peak and D’ band peak suggest a more nanocrystalline structure and presence of graphene edges and defects such as distortion, vacancies, and straining to graphitic lattices, which are prevalent features of carbon nanowalls. Compared with the carbon nanotubes, in the case of carbon nanowalls, the Raman spectrum has strong and sharp D-band peak and shoulder peak, which are due to the small graphite domains and existence of edges of graphene sheets.
Intensity (arb. units)
D band Small graphite domains (10-100 nm)
Carbon nanowall
G band
Carbon nanotube
D’band Edge of graphene sheet
1200
1300
1400
1500
1600
1700
Raman shit (cm–1) Fig. 3.6 Typical Raman spectra of carbon nanowall film and carbon nanotubes measured using the 514.5 nm line of an Ar laser
36
3 Physics of Carbon Nanowalls
Micro-Raman spectra were measured for a carbon nanowall film using the 514.5 nm line of an Ar laser. The size of the laser spot was approximately 1 mm in diameter with a 100 objective lens. Raman spectra of carbon nanowall film recorded using different configurations of laser direction and carbon nanowall orientation are shown in Fig. 3.7. In spectrum (i), the laser was normal to the substrate surface, and irradiated the top of the aligned carbon nanowalls. On the other hand, in spectrum (ii), the laser was parallel to the substrate surface and irradiated the crosssection of the carbon nanowall film. Spectrum (i), which is a typical Raman spectrum of carbon nanowalls, is found to have a G band peak at 1,590 cm1, which indicates the formation of a graphitized structure, and a D band peak at 1,350 cm1, which corresponds to the disorder-induced phonon mode. The peak intensity of the D band is twice as high as that of the G band. The G band peak is accompanied by a shoulder peak at 1,620 cm1 (D’ band). This shoulder peak is associated with finite-size graphite crystals and graphene edges. The strong and sharp D band peak and D’ band peak suggest a more nanocrystalline structure and the presence of graphene edges and defects, which are prevalent features of carbon nanowalls. On the other hand, spectrum (ii) reveals G and D peaks with comparable intensities. Spectrum (i) provides information on the top of carbon nanowalls, including many edges, while spectrum (ii) represents the properties of a carbon nanowall surface with less edges. Therefore, the strong D band peak of spectrum (i) is mainly attributed to edges with defects on the top of aligned carbon nanowalls. For spectrum (ii), a D band peak that is comparable to the G band indicates carbon nanowalls composed of nanographite domains, as shown in the TEM image of Fig. 3.3b. Kurita et al. [9] analyzed the Raman spectra of carbon nanowalls of different sizes grown using DC plasma-enhanced CVD and found that the relative peak intensity ratio ID/IG of the D band and G band increases linearly with the decreasing
b
D-band
(i)
G-band
Intensity (arb. units)
a
D’-band
Ar laser
(i) (ii) (ii) 1200
1400
1600
1800
Raman shift (cm–1)
Fig. 3.7 (a) Raman spectra of carbon nanowalls recorded at different configurations (see b). Carbon nanowalls were grown using electron beam excited plasma-enhanced CVD employing a mixture of CH4 and H2 (b) Schematic showing the configuration between the carbon nanowall film and the laser incidence: (i) laser irradiated top of aligned carbon nanowalls, (ii) laser irradiated carbon nanowall surface (cross section of carbon nanowall film) [2] – reproduced with permission from Elsevier
3.1 Characterization of Carbon Nanowalls
37
size of carbon nanowalls. The width of G band is relatively narrow, even when the ID/IG ratio is significantly high. This spectral feature of carbon nanowalls is distinguished from those of typical graphite like carbons reported so far. From the comparison of these spectral features, they conclude that carbon nanowalls are composed of small crystallites with a high degree of graphitization. Ni et al. [10] have reported the detailed Raman spectroscopic investigation of carbon nanowalls. In the region of the higher-order Raman spectrum, three distinct peaks are attributed to combinations of the Raman fundamentals, 2,693 (2D), 2,937 (D+G), and 3,222 cm1 (2D’). From the Raman spectra of carbon nanowalls with different configurations between the laser polarization and the orientations of carbon nanowalls, it was suggested that graphene planes in the carbon nanowalls are parallel to the surface of individual carbon nanowalls and well crystallized. The micro-Raman scattering experiments were also carried out with different excitation laser lines (excitation energies) (325.0 nm (3.8 eV), 488.0 nm (2.5 eV), 514.5 nm (2.41 eV), 532 nm (2.33 eV), and 632.8 nm (1.96 eV)). The frequencies of the D, 2D, and D+G bands shift with the laser excitation energy at a rate of 46.19, 107.5, and 48.98 cm1/eV, respectively, while the G band is almost independent of excitation laser energy. Besides, the relative peak intensity ratio ID/IG of the D band and G band decreases as the excitation energy increases. The resonance enhancement for the D mode favors longer wavelength, while the G band favors shorter wavelength, resulting in the changes of ID/IG. Similarly, the relative intensity ratio ID0 /IG of D0 band and G band also decreases as the excitation energy increases. Carbon nanowalls grown without and with O2 gas addition were characterized by Raman spectroscopy to investigate the influence of O2 gas addition on the structural property of carbon nanowalls grown using VHF plasma-enhanced CVD with H radical injection [4]. Figure 3.8a shows the Raman spectra of the carbon nanowall films grown with different O2 flow rates. The Raman spectra of all the carbon nanowall films have a G band peak at 1,584 cm1 and a D band peak at around 1,350 cm1. The G band peak is accompanied by a shoulder peak at 1,620 cm1 (D’ band). The strong D-band and D’ band peaks suggest a more nanocrystalline structure and the presence of graphene edges and defects, which are features of carbon nanowalls, as mentioned above. Figure 3.8b shows the ratio of the peak intensity of the D band to that of the G band (ID/IG). The ID/IG decreased with an increase in the O2 flow rate, indicating that the O2 addition improved the crystallinity of carbon nanowalls by suppressing the second nucleation, resulting in the improvement of the crystallinity of carbon nanowall films, as shown in the SEM and TEM images of Fig. 3.5.
3.1.3
Grazing Incidence In-Plane X-ray Diffraction
X-ray diffraction is a non-destructive analytical technique used to characterize crystalline structure, crystalline size, and preferred orientation in polycrystalline
38
3 Physics of Carbon Nanowalls
a
b 3.0 G band
Intensity (arb. units)
D band
2.5 ID /IG
O2:10 sccm
D' band
O2:5 sccm
1.5
O2:2 sccm non-addition
1300
2.0
1.0
1400 1500 1600 Raman shit (cm–1)
1700
0
5 O2 flow rate (sccm)
10
Fig. 3.8 (a) Raman spectra of carbon nanowall film without and with O2 addition. (b) The peak intensity ratio of D band to G band as a function of O2 gas addition [4] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
Diffracting planes q
Total reflection
2q
X ray beam X-ray incident at grazing angle
In-plane diffraction
Fig. 3.9 Schematic of grazing incidence in-plane X-ray diffraction geometry showing Bragg reflection from the planes perpendicular to the sample surface
samples. With standard diffraction geometries, such as off-plane Bragg-Brentano geometry, lattice planes parallel to the sample surface are measured. X-rays penetrate to a certain depth into the sample, where they are diffracted. However, when the sample layer is too thin, the X-rays are completely transmitted by the sample and no diffraction signal can be measured. In the case of samples with lattice planes perpendicular to the substrate such as carbon nanowall films, where stacked graphene layers are standing almost vertically on the substrate, the standard off-plane X-ray diffraction cannot be applied to measure these lattice planes. In these circumstances, in-plane X-ray diffraction is used. Grazing incidence in-plane X-ray diffraction [11] is an X-ray scattering technique where both the incident and diffracted beams are nearly parallel to the sample surface. With the incident beam close to or below the critical angle for total reflection, a Bragg reflection is excited from planes perpendicular to the surface, as shown in Fig. 3.9. Because the beam is incident at a grazing angle, the penetration depth of the beam is limited to within about 100 nm of the surface. The in-plane
3.2 Electrical Properties of Carbon Nanowalls
002 Intensity (arb. unit)
Fig. 3.10 SR X-ray Diffraction patterns of carbon nanowall film measured at beam line BL13XU of SPring-8 [4] – reproduced with permission from WileyVCH Verlag GmbH & Co. KGaA
39
101/100 004 10
20
30 2q (degree)
110 40
50
diffraction technique measures diffracted beams, which are scattered nearly parallel to the sample surface and hence measures lattice planes that are perpendicular to the sample surface. The crystallinity of carbon nanowalls was analyzed using synchrotron X-ray surface diffraction at grazing incidence and exit at the beamline BL13XU of SPring-8 [12]. The X-ray beam was incident on the carbon nanowall film samples at grazing angle of 0.3˚ relative to the substrate surface. Figure 3.10 shows the grazing incidence in-plane X-ray diffraction pattern of carbon nanowall film sample grown using VHF plasma-enhanced CVD with H radical injection. An intense 002 Bragg peak, the plane of which is normal to the substrate, is at 2y ¼ 16.9 and there are also weak 100/101, 004, and 110 Bragg peaks. The interlayer spacing d002 was determined from the 002 peak by applying Bragg’s law with a wavelength of l ¼ 0.1003 nm. It was found to be 0.342 nm for all samples, which is slightly larger than that of bulk graphite (0.335 nm). The average thickness of the carbon nanowalls was determined from the mean crystallite size (Lc), which is calculated from the 002 peak width using the Scherrer equation [13]. The value of Lc for carbon nanowalls was estimated to be 9.4; this value is almost the same as the wall thicknesses evaluated by TEM.
3.2 3.2.1
Electrical Properties of Carbon Nanowalls Field Emission Properties of Carbon Nanowalls
Carbon nanowalls have a high density of atomic scale graphitic edges that are potential sites for electron field emission, which might lead to their application in flat panel displays and light sources. So far, a number of publications have reported the field emission properties from carbon nanowalls and related structures [14–29]. The characteristic curve of the electron emission current as a function of the applied field strength is shown in Fig. 3.11 for a typical carbon nanowall film
40
3 Physics of Carbon Nanowalls 100 Emission current (µA)
Fig. 3.11 Field emission characteristic of vertically aligned carbon nanowall film fabricated from C2F6/H2 system
80 60 40 20 0 0
2
4
6
8
10
Applied field strength (V/µm)
fabricated using the C2F6 RF plasma-enhanced CVD with H radical injection. The measurement system used was a diode structure that is comprised of a spherical stainless steel anode (radius: 3 mm) at a distance of 500 mm from the carbon nanowall film sample. The sample was biased with a negative voltage and the maximum applied voltage was 10 kV. The electric field is expressed as the applied voltage divided by the anode to sample distance. The threshold electric field, which is defined as the field when the emission current attains a value of 0.1 mA, was 4.5 V/mm, which is similar to the values reported for carbon nanowall and nanosheet samples [15, 16, 21, 23]. The threshold electric field of carbon nanowalls is dependent on the morphology such as the thickness of each carbon nanowall and interspace between the adjacent walls. Furthermore, field emission property of carbon nanowalls is improved by surface modification or coating of the carbon nanowalls. Field emission properties from carbon nanowalls and their improvements will be described in detail in Chap. 6.
3.2.2
Electrical Conduction of Carbon Nanowalls
The ideal graphite is a semimetal with zero bandgap. Nanometer-sized graphene sheets have been expected to possess unique transport properties due to the edge and surface effect. In 2004, Novoselov et al. [30] found a way to extract graphene sheet from graphite. Graphene sheets of thicknesses down to a few atomic layers including single-layer graphene were prepared by mechanical exfoliation of highly oriented pyrolytic graphite. Graphene attracted the interest of many more scientists instantly, so that many of the predicted electronic properties were verified in experiments. Geim and Novoselov [31] reported that 2-dimensional monocrystalline graphitic films with a thickness of a few atoms exhibit a strong ambipolar electric field effect such that electrons and holes exist in concentrations of up to 1013 cm2. Furthermore, 2-dimensional graphite was expected to possess semiconductor characteristics when the graphite size becomes small [32]. Few-layer graphene
3.2 Electrical Properties of Carbon Nanowalls
41
Fig. 3.12 Variation in resistivity of carbon nanowall film synthesized by VHF plasma-enhanced CVD with H radical injection using C2F6/H2 system as a function of temperature [4] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
Resistivity (´1 0-3 Ωcm)
sheets have been reported to have high mobilities of 10,000–15,000 cm2/Vs and a band gap of 0.26 eV [30, 31, 33]. Since carbon nanowalls essentially consist of graphene sheets, carbon nanowalls with a few layers are expected to have high carrier mobilities and large sustainable current densities. Wu et al. [19] measured the temperature dependence of the resistance of carbon nanowalls synthesized by microwave plasma-enhanced CVD employing CH4/H2 system. In addition, they measured the temperature dependence of the resistance in the range from 2 to 10 K with and without magnetic field application. The resistance of carbon nanowalls decreased with decreasing temperature, from 300 to about 106 K, and then showed an upturn at lower temperatures. They suggested that the junction resistance dominates the total resistance in the entire temperature range, in particular, at low temperatures, and hopping is thermally activated at high temperature, but at low temperature tunnelling is dominant. Recently, Takeuchi et al. measured the resistivity of carbon nanowall films synthesized by VHF plasma-enhanced CVD with H radical injection using a C2F6/H2 mixture [4]. Figure 3.12 shows the temperature dependence of resistivity of the carbon nanowall film. A quartz substrate was used to evaluate the electrical properties of the carbon nanowall film. After synthesizing the carbon nanowall film on a quartz substrate, four aluminum (Al) contacts were symmetrically positioned on the carbon nanowall film. In this measurement, it was assumed that the carbon nanowall film is a plane membrane from a macroscopic standpoint and that the current flows uniformly on the surface between the Al contacts. The resistivity of carbon nanowall film decreased with an increase in the measured temperature, indicating the semiconductor behavior of the carbon nanowall film. The resistivity of carbon nanowall film was reduced by approximately 30% as a result of O2 addition during the carbon nanowall growth process. Tekeuchi et al. also investigated the electrical conduction behavior of the nitrogen (N)-incorporated carbon nanowall films synthesized by VHF plasmaenhanced CVD with H radical injection using a C2F6/H2 mixture [34]. Figure 3.13 shows the variation in the resistivities of the undoped and nitrogen-doped carbon nanowall films as a function of the N2 flow rate during the growth processes, together with the behavior of the N content in carbon nanowalls obtained by the secondary ion mass spectrometry (SIMS) measurement. The N concentration
20 18 16 14 12 10 0
100 200 Temperature (K)
300
16 14 12
20
10
12
8
8
16
6 4 2
4 0 2 4 6 8 10 12 14 16
0
N content ´10– 4 (arb. unit)
Fig. 3.13 Variation in carrier concentrations of undoped and nitrogen-doped carbon nanowall films as a function of N2 flow rate during the growth processes, together with the behavior of nitrogen content in carbon nanowalls obtained by the SIMS measurement. [34] – reproduced with permission from American Institute of Physics
3 Physics of Carbon Nanowalls
Resistivity (´ 10–3 Ωcm)
42
N2 flow rate (sccm)
increased with an increase in the N2 flow rate, and it was found that the N concentration in the carbon nanowalls could be controlled by changing the flow rate of the additional N2 during the growth process. The resistivity of the undoped carbon nanowall film was approximately 0.011 O cm, which was the largest value among those of the undoped and N-doped carbon nanowall film samples. On the other hand, in the case of the N-doped carbon nanowall films, the resistivity decreased drastically at first. With a further increase in the amount of N2 added to the C2F6/H2 plasma, the resistivity of the carbon nanowall film decreased gradually. Hall measurements were carried out for the carbon nanowall films grown with the addition of N2 at various flow rates. The Hall coefficient of the undoped carbon nanowall film synthesized by VHF plasma-enhanced CVD with H radical injection using a C2F6/H2 mixture was positive, while that of the N-doped carbon nanowall film was negative [34]. The positive and negative values of the Hall coefficient imply p- and n-type conductions, respectively. Seven specimens of the undoped carbon nanowall films, which were grown under an identical condition using the C2F6/H2 plasma without any additional gas, exhibited p-type conduction reproducibly. On the other hand, in the case of the carbon nanowall film grown with the N2 addition, the N-doped carbon nanowall films exhibit n-type conduction, suggesting that nitrogen was included in the carbon nanowalls and acted as a donor. Very recently, Teii et al. investigated the electrical properties of carbon nanowalls synthesized by microwave plasma-enhanced CVD employing Ar/N2/C2H2 and Ar/N2/CH4 systems, and confirmed n-type conduction by Hall- and Seebeck-effect measurements [35]. Since the electrical transport inside the complex network of carbon nanowalls has not been fully understood, the intrinsic property of carbon nanowall (10–30 layers of graphene sheets) cannot be derived from the result of Hall measurement for the carbon nanowall films, while single graphene sheet reportedly possesses semiconductor characteristics. The results in Figs. 3.12 and 3.13 reflect the electrical properties of the bulk carbon nanowall film comprising the web of nanographene sheets with interspaces. These values could be valid for the design and evaluation of electronic devices using bulk carbon nanowall films. On the other
3.2 Electrical Properties of Carbon Nanowalls
43
hand, the carrier concentration and mobility inside the individual carbon nanowall sheets are of great interest for realizing nanographene devices.
3.2.3
Electrode for Electrochemistry
Carbon nanowalls with large surface area will be applied as electrodes of batteries and electrochemical sensors. Studies on the electrochemical properties of carbon nanowalls have begun. It is interesting to investigate the electrochemical properties of carbon nanowalls as electrochemical electrodes. In order to evaluate the potential window of carbon nanowall electrode, electrochemical measurements were carried out with a conventional three-electrode arrangement controlled by a commercial potentiostat, with a carbon nanowall (boron-doped diamond for comparison) working electrode, a platinum coil counter electrode and an Ag/AgCl reference electrode. The carbon nanowall sample used for the electrochemical measurement was synthesized on Si substrate by VHF plasma-enhanced CVD with H radical injection using a C2F6/H2 mixture. The back face of the substrate and the electrical contact were protected from the electrolyte solution by insulating epoxy adhesive, so that only an active carbon nanowall electrode area was exposed to the electrolyte solution. Figure 3.14 shows the cyclic voltammograms for the carbon nanowall and boron-doped diamond electrodes in HNO3 (0.2 mol/l) obtained in the potential range between –5 and 5 V at a scan rate of 100 mV/s. As shown in Figure 3.14, a work potential window nearly 3 V was obtained for the carbon nanowall electrode, which was comparable to that for boron-doped diamond electrode. The oxygen evolution for the carbon nanowall electrodes occurs at about 1 V and the hydrogen evolution at –2 V. Reported potential windows for glassy carbon and highly oriented pyrolytic graphite electrodes are 1.5 and 2.0 V, respectively [36]. It is noted that as-grown carbon nanowalls originally exhibit hydrophobic property, because of the high surface tension of carbon nanowalls due to their high aspect ratio with narrow interspaces. Especially, in the case of carbon nanowalls synthesized
Fig. 3.14 Cyclic voltammograms for the carbon nanowalls and borondoped diamond electrodes in HNO3 (0.2 Mol/l); at 100 mV/s scan rate
Current density (mA/cm2)
200 B-doped diamond Carbon nanowall 100
ΔE~3.0V
0 –100 –200 –6
–4
–2 0 2 4 Potential (E/V) vs Ag/AgCl
6
44
3 Physics of Carbon Nanowalls
employing fluorocarbon gas, carbon nanowalls exhibit occasionally super-hydrophobic property owing to the existence of fluorine atoms on the surface of carbon nanowalls, although the relative atomic composition ratio [F]/[C] on the surface of carbon nanowalls synthesized by VHF plasma-enhanced CVD with H radical injection using a C2F6/H2 mixture was on the order of 104 [4]. Therefore, in the case of electrochemical applications where carbon nanowalls would be immersed in water solutions, carbon nanowall samples should be subjected to suitable surface treatment in order to obtain hydrophilic surface. Plasma surface treatment is one of the effective methods to obtain carbon nanowall film with hydrophilic surface. Figure 3.15a shows a schematic of atmospheric pressure plasma generation system (m-AP Type Nonequilibrium Atmospheric-Pressure Plasma Apparatus: Marubun Corp./NU system Corp.) used for the surface treatment of carbon nanowalls. A photo of plasma head is shown in Fig. 3.15b. Figure 3.16a shows a photo of a drop of water on the as-grown carbon nanowall surface, indicative of hydrophobic surface with a high contact angle. By the surface treatment using Ar atmospheric pressure plasma, hydrophilic surface of carbon nanowalls can be obtained in 10 s. Figure 3.16b–d show photos of a drop of water on the surface of carbon nanowall film treated by atmospheric pressure plasma with various durations. As shown in
a
Carbon nanowalls
Ar
b
Atmospheric pressure plasma
Fig. 3.15 (a) A schematic of atmospheric pressure plasma generation system (m-AP: Marubun Corp./NU Eco Engineering) used for the surface treatment of carbon nanowalls. (b) A photo of plasma head
a
Fig. 3.16 A drop of water on a surface of carbon nanowall films after plasma surface treatment with different time periods: (a) as-grown, (b) 1 s, (c) 5 s, and (d) 10 s
as-grown
b
1 sec
θ = 134˚
θ = 70˚
c
d
5 sec
θ = 22˚
10 sec
θ = 8˚
References
45
Fig. 3.16b–d, water contact angle decreased with the increase of the duration of plasma surface treatment, and a water contact angle of 8 was obtained after the plasma surface treatment for 10 s. Luais et al. [37] investigated the electrochemical reactivity of a carbon nanowall electrode on an amorphous carbon-coated Si substrate synthesized by electron cyclotron resonance plasma-enhanced CVD employing C2H2/NH3 system. They compared the cyclic voltammograms of carbon nanowall and amorphous carbon electrodes in an aqueous solution of 5 mMol [Fe(CN)6]4/3 0.1 Mol KCl and indicated that the presence of carbon nanowalls on the amorphous carbon surface led to a significant increase in both the electronic transfer rate constant and electroactive surface area. Giorgi et al. [38] investigated the electrochemical properties of platinum (Pt)supported carbon nanowalls. Pt nanoparticles were electrodeposited on the carbon nanowalls grown on Si substrate by bias-assisted hot filament CVD. The electrochemical active surface was determined by cyclic voltammetry, and the electrocatalytic activity was tested by measuring the methanol oxidation. They compared the electrochemical properties of Pt nanoparticles on carbon nanowall substrates and carbon powder and indicated that the carbon nanowall structures act as a good substrate for Pt electro-catalyst for methanol oxidation with a remarkable enhancement with respect to the carbon powder. Very recently, Tanaike et al. [39] reported the properties of the carbon nanowalls synthesized by DC plasma-enhanced CVD as a negative electrode material of lithium (Li) ion battery. They investigated the Li insertion behavior of carbon nanowalls by cyclic voltammetry and charge/discharge measurements, and indicated that carbon nanowalls had reversible capacities of more than 200 mAh/g with stable charge/discharge potential.
References 1. Shiji K, Hiramatsu M, Enomoto A, Nakamura M, Amano H, Hori M (2005) Vertical growth of carbon nanowalls using rf plasma-enhanced chemical vapor deposition. Diam Relat Mater 14: 831–834 2. Mori T, Hiramatsu M, Yamakawa K, Takeda K, Hori M (2008) Fabrication of carbon nanowalls using electron beam excited plasma-enhanced chemical vapor deposition. Diam Relat Mater 17: 1513–1517 3. Kobayashi K, Tanimura M, Nakai H, Yoshimura A, Yoshimura H, Kojima K, Tachibana M (2007) Nanographite domains in carbon nanowalls. J Appl Phys 101: 094306-1–094306-4 4. Takeuchi W, Takeda K, Hiramatsu M, Tokuda Y, Kano H, Kimura S, Sakata O, Tajiri H, Hori M (2010) Monolithic self-sustaining nanographene sheet grown using plasma-enhanced chemical vapor deposition. Phys Status Solidi 207: 139–143 5. Ferrari AC (2007) Raman spectroscopy of graphene and graphite: Disorder, electron–phonon coupling, doping and nonadiabatic effects. Solid State Commun 143: 47–57 6. Wada N, Gaczi PJ, Solin SA (1980) “Diamond-like” 3-fold coordinated amorphous carbon. J Non-Crystalline Solids 35–36: 543–548 7. Nemanich RJ, Solin SA (1979) First- and second-order Raman scattering from finite-size crystals of graphite. Phys Rev B 20: 392–401
46
3 Physics of Carbon Nanowalls
8. Yu J, Zhang Q, Ahn J, Yoon SF, Rusli, Li YJ, Gan B, Chew K, Tan KH (2001) Field emission from patterned carbon nanotube emitters produced by microwave plasma chemical vapor deposition. Diam Relat Mater 10: 2157–2160 9. Kurita S, Yoshimura A, Kawamoto H, Uchida T, Kojima K, Tachibana M, Molina-Morales P, Nakai H (2005) Raman spectra of carbon nanowalls grown by plasma-enhanced chemical vapor deposition. J Appl Phys 97: 104320-1–104320-5 10. Ni ZH, Fan HM, Feng YP, Shen ZX, Yang BY, Wu YH (2006) Raman spectroscopic investigation of carbon nanowalls. J Chem Phys 124: 204703-1–204703-5 11. Robinson IK, Tweet DJ (1992) Surface X-ray diffraction. Rep Prog Phys 55: 599–651 12. Goto S, Ikeda N, K Inoue, H Kimura, M Yabashi (2004) SPring-8 Beamline Handbook, Ver. 3 (Japan Synchrotron Radiation Research Institute, Hyogo, Japan, 2004), p 48 13. Sharma A, Kyotani T, Tomita A (2000) Comparison of structural parameters of PF carbon from XRD and HRTEM techniques. Carbon 38: 1977–1984 14. Chuang ATH, Robertson J, Boskovic BO, Koziol KKK (2007) Three-dimensional carbon nanowall structures. Appl Phys Lett 90: 123107-1–123107-3 15. Wang JJ, Zhu MY, Outlaw RA, Zhao X, Manos DM, Holloway BC, Mammana VP (2004) Free-standing subnanometer graphite sheets. Appl Phys Lett 85: 1265–1267 16. Hiramatsu M, Hori M (2006) Fabrication of carbon nanowalls using novel plasma processing. Jpn J Appl Phys 45: 5522–5527 17. Shang NG, Au FCK, Meng XM, Lee CS, Bello I, Lee ST (2002) Uniform carbon nanoflake films and their field emissions. Chem Phys Lett 358: 187–191 18. Obraztsov AN, Zakhidov Al A, Volkov AP, Lyashenko DA (2003) Non-classical electron field emission from carbon materials. Diam Relat Mater 12: 446–449 19. Wu YH, Yang BJ, Zong BY, Sun H, Shen ZX, Feng YP (2004) Carbon nanowalls and related materials. J Mater Chem 14: 469–477 20. Wang JJ, Zhu MY, Zhao X, Outlaw RA, Manos DM, Holloway BC, Park CH, Anderson T, Mammana VP (2004) Synthesis and field-emission testing of carbon nanoflake edge emitters. J Vac Sci Technol B 22: 1269–1272 21. Srivastava SK, Shukla AK, Vankar VD, Kumar V (2005) Growth, structure and field emission characteristics of petal like carbon nano-structured thin films. Thin Solid Films 492: 124–130 22. Wang JY, Ito T (2005) High-current-density electron emissions from nano-carbon films fabricated by high-power microwave-plasma chemical vapour deposition. Diam Relat Mater 14: 1469–1473 23. Wang JY, Teraji T, Ito T (2005) Fabrication of wrinkled carbon nano-films with excellent field emission characteristics. Diam Relat Mater 14: 2074–2077 24. Wang S, Wang JJ, Miraldo P, Zhu MY, Outlaw R, Hou K, Zhao X, Holloway BC, Manos D, Tyler T, Shenderova O, Ray M, Dalton J, McGuire G (2006) High field emission reproducibility and stability of carbon nanosheets and nanosheet-based backgated triode emission devices. Appl Phys Lett 89: 183103-1–183103-3 25. Itoh T, Shimabukuro S, Kawamura S, Nonomura S (2006) Preparation and electron field emission of carbon nanowall by Cat-CVD. Thin Solid Films 501: 314–317 26. Koeck FAM, Obraztsov AN, Nemanich RJ (2006) Electron emission microscopy of nanocrystal graphitic films as high current density electron sources. Diam Relat Mater 15: 875–879 27. Hou K, Outlaw RA, Wang S, Zhu MY, Quinlan RA, Manos DM, Kordesch ME, Arp U, Holloway BC (2008) Uniform and enhanced field emission from chromium oxide coated carbon nanosheets. Appl Phys Lett 92: 133112-1–133112-3 28. Malesevic A, Kemps R, Vanhulsel A, Chowdhury MP, Volodin A, Haesendonck CV (2008) Field emission from vertically aligned few-layer graphene. J Appl Phys 104: 0843011–084301-5 29. Machino T, Takeuchi W, Kano H, Hiramatsu M, Hori M (2009) Synthesis of platinum nanoparticles on two-dimensional carbon nanostructures with an ultrahigh aspect ratio employing supercritical fluid chemical vapor deposition process. Appl Phys Exp 2: 0250011–025001-3
References
47
30. Novoselov KS, Geim AK, Morozov SV, Jiang D, Zhang Y, Dubonos SV, Grigorieva IV, Firsov AA (2004) Electric field effect in atomically thin carbon films. Science 306: 666–669 31. Geim AK, Novoselov KS (2007) The rise of Graphene. Nat Mater 6: 183–191 32. Fang T, Konar A, Xing H, Jena D (2007) Carrier statistics and quantum capacitance of graphene sheets and ribbons. Appl Phys Lett 91: 092109-1–092109-3 33. Zhou SY, Gweon GH, Fedorov AV, First PN, de Heer WA, Lee DH, Guinea F, Castro Neto AH, Lanzara A (2007) Substrate-induced bandgap opening in epitaxial graphene. Nat Mater 6: 770–775 34. Takeuchi W, Ura M, Hiramatsu M, Tokuda Y, Kano H, Hori M (2008) Electrical conduction control of carbon nanowalls. Appl Phys Lett 92: 213103-1–213103-3 35. Teii K, Shimada S, Nakashima M, Chuang ATH (2009) Synthesis and electrical characterization of n-type carbon nanowalls. J Appl Phys 106: 084303-1–084303-6 36. Fujishima A, Einaga Y, Rao TN, Tryn DA (eds) (2004) Diamond electrochemistry. BKC Inc./ Elsevier BV, Tokyo/Amsterdam, p 28 37. Luais E, Boujtita M, Gohier A, Tailleur A, Casimirius S, Djouadi MA, Granier A, Tessier PY (2009) Carbon nanowalls as material for electrochemical transducers. Appl Phys Lett 95: 014104-1–014104-3 38. Giorgi L, Dikonimos Makris Th, Giorgi R, Lisi N, Salernitano E (2007) Electrochemical properties of carbon nanowalls synthesized by HF-CVD. Sens Actuators B 126: 144–152 39. Tanaike O, Kitada N, Yoshimura H, Hatori H, Kojima K, Tachibana M (2009) Lithium insertion behavior of carbon nanowalls by dc plasma CVD and its heat-treatment effect. Solid State Ionics 180: 381–385
Chapter 4
Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
4.1
Concept of Radical-Controlled Processing
Thus far, carbon nanowalls have been grown using various chemical vapor deposition (CVD) methods such as, microwave plasma-enhanced CVD, radio frequency (RF) inductively coupled plasma-enhanced CVD, and hot-filament CVD. Among a variety of fabrication methods for carbon nanostructures, plasma-enhanced CVD (PECVD) is becoming one of the most promising techniques due to its feasibility and potentiality for large-area production with reasonable growth rates at relatively low temperatures. In the case of film formation using the PECVD technique, surface morphology and internal structure can be effectively controlled not only by optimizing the substrate temperature and bias or size of catalysts for carbon nanotube growth, but also by the supply of specific reactive species appropriate to the film growth and nucleation. High performance can be achieved by (1) the selective production of specific reactive species appropriate for film growth and nucleation, (2) the efficient transport of important species onto the growing surface, and (3) the control of surface reaction for both nucleation and growth. For plasma processing from now, it is desirable to supply important species in a controlled manner, in combination with monitoring technique. In the case of diamond film formation using PECVD with hydrocarbon/hydrogen system, for example, it has been thought that the combination of CH3 radicals and H radicals is important. High-density plasmas such as microwave plasma and inductively coupled plasma are suitable for dissociating H2 molecules to produce H atoms. In contrast, CH3 radical density is higher in parallel-plate capacitively coupled CH4 plasma. In some cases, it is difficult to produce various kinds of species with different roles selectively and effectively at the same time using single plasma. The idea of radical-controlled processing is that plasma processing is controlled by internal parameters such as electron density, electron temperature, and the densities of important radicals and ions combined with in-situ monitoring. Radical
M. Hiramatsu and M. Hori, Carbon Nanowalls, DOI 10.1007/978-3-211-99718-5_4, # Springer-Verlag/Wien 2010
49
50
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
Fig. 4.1 An illustration of the idea of radical injection
injection technique enables us to control multiple radicals individually and has great possibilities for fabricating novel nanometer-scaled functional materials. Figure 4.1 shows an illustration of the idea of radical injection. Previously, this idea was realized for the formation of diamond crystals using PECVD. Hydrocarbon or fluorocarbon gases are excited by a parallel plate capacitively coupled plasma (CCP). The CCP might be useful to produce plenty of hydrocarbon radicals such as CH3 radicals effectively, and also useful for large area deposition of the film. However, owing to the shortage of H atoms, the CCP by itself is not suitable for diamond growth. Therefore, the H atom density around the growing surface is augmented by the injection from high-density H2 plasma as a remote H radical source. For plasma processing using reactive gases, the optimum process performance has been developed by trial and error by changing the external parameters of the processing equipment, such as the frequency of the plasma source, the input power, and the operating pressure. In order to fabricate specific nanostructures for future ULSIs (ultra large scale integrations) and to realize novel functional nano-devices, precisely controlled plasma processing should be used for etching and deposition. The advantage of the controlled plasma processing technique is that internal parameters, such as the electron temperature, plasma density, and behaviors of important radicals, can be controlled. In the case of carbon nanostructure fabrication, it is important to first elucidate the specific species that contribute to the growth and then determine the morphology of the nanostructures. Second, on the basis of the knowledge of the species, it is necessary to control the process plasma for obtaining carbon nanostructures with structure and morphology customized for a specific application. Therefore, measurement of the radicals responsible for the formation of the carbon nanostructures is of great interest for practical applications.
4.2 RF Plasma-Enhanced CVD with H Radical Injection
51
In this chapter, radical injection technique was applied to grow carbon nanowalls. Fluorocarbon gas was used as a carbon source. In the case of RF PECVD with H radical injection, H and CFx (x ¼ 1–3) radical densities in the plasma were measured, and the effect of carbon source gases and H radicals on carbon nanowall growth was discussed. Furthermore, very high frequency (VHF, 100 MHz) CCP with radical injection using surface wave microwave excited H2 plasma was developed with the aim of achieving large-area growth of carbon nanowalls with a reasonable growth rate. Monolithic self-sustaining nanographene sheets were fabricated using VHF PECVD with H radical injection, and the electrical conduction behavior of carbon nanowalls was investigated. In addition, the influence of the surface conditions of the chamber wall on carbon nanowall growth was investigated in order to determine the optimum conditions for carbon nanowall growth with high stability and reproducibility.
4.2 4.2.1
RF Plasma-Enhanced CVD with H Radical Injection Experimental Setup for RF Plasma-Enhanced CVD with H Radical Injection
A schematic of the RF PECVD apparatus assisted by a remote radical source is shown in Fig. 4.2. The CVD system consists of a parallel-plate CCP region and a remote radical source that uses an inductively coupled H2 plasma (H2 ICP). The main reaction chamber was equipped with circular parallel-plate electrodes separated by 5 cm. RF (13.56 MHz) voltage was applied to the upper electrode and the
RF power(13.56 MHz) RF power (13.56 MHz)
Matching network
Matching network
Carbon source gas C2F6
H2
Capacitively coupled plasma
Inductively coupled plasma (ICP)
Carbon heater
Substrate
(CCP)
To Pump
Fig. 4.2 Schematic of the RF PECVD apparatus, assisted by a remote radical source used for the growth of carbon nanowalls [1] – reproduced with permission from Elsevier
52
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
lower electrode was grounded. Carbon source gas (e.g., C2F6, CH4, CF4, CHF3, and C4F8) was introduced into the RF CCP region. H2 was fed through a quartz tube of 26 mm inner diameter and 20 cm length. A five-turn RF coil was mounted on the quartz tube. The RF coil was connected to the RF power generator operating at 13.56 MHz. The flow rates of carbon source gas and H2 were kept at 15 and 30 sccm, respectively, and the total gas pressure was 100 mTorr (13.3 Pa). The typical RF powers of CCP and ICP were 100 and 400 W, respectively, and the substrate temperature was kept at approximately 500 C. Substrates used in this study were mirror-polished Si (100) without any catalyst. Since several specific species in the processing plasma contribute directly to surface reactions, it is extremely important to know the behaviors of these species and to control them precisely. In the case of PECVD employing fluorocarbon/ hydrogen system, H and CFx (x ¼ 1–3) radicals are considered to influence the morphology of carbon nanostructures. Figure 4.3 shows the schematic of the experimental setup for measuring absolute H radical density by vacuum ultraviolet absorption spectroscopy (VUVAS) employing a microdischarge hollow-cathode lamp (MHCL) [1, 2]. The VUVAS technique has been described in detail elsewhere [3, 4]. The MHCL was used as a vacuum ultraviolet (VUV) light source for absorption spectroscopy. The absorption path length was restricted to 15 cm using two stainless steel pipes and capillary plates to prevent the saturation of absorption. The VUV light passed once through the chamber at 2 cm above the substrate, and was focused on the slit of a VUV monochromator (Acton Research, ARC VM-520) by MgF2 lens and detected by a photomultiplier tube (PMT). The transition line used for the absorption measurement was Lyman alpha at 121.6 nm for H radical density. The relative densities of CFx (x ¼ 1–3) radicals in the plasma were also measured using appearance mass spectrometry [5].
4.2.2
Measurement of Radical Densities in the Capacitively Coupled Plasma Region
The densities of H and CFx (x ¼ 1–3) radicals in the plasma employing the C2F6/H2 system were measured using VUVAS and appearance mass spectrometry, respectively. The measurements were carried out with varied RF power of the H2 ICP. Figure 4.4 shows H radical density in the CCP region as a function of the RF power of remote H2 ICP, together with the behaviors of the relative densities of CF3, CF2, and CF radicals. The H radical density in the CCP region without H radical injection, where C2F6/H2 mixture was excited by RF-CCP only, was 2.5 1011 cm3. As the RF power of remote H2 ICP increased, the amount of H radicals produced in the ICP increased, resulting in the increase in H radical density in the CCP region. The H radical density was 4.0 1011 cm3 at an ICP power of 400 W. The H radical density in the CCP region increased by approximately two times as a result of the H radical injection under the typical growth condition for the carbon nanowalls. On the
4.2 RF Plasma-Enhanced CVD with H Radical Injection
a
53 Capillary plate
To pump
To pump
VUV monochromator
MHCL
PMT
Digital oscilloscope Computer
b
On-off modulated DC power supply Absorption length MHCL
RF source (13.56 MHz)
VUV Monochromator Capillary plate
PMT
Digital oscilloscope Computer
On-off modulated DC power supply
Fig. 4.3 Schematic diagram of the experimental arrangement for measuring absolute H radical density using the VUVAS technique; (a) side view [2] – reproduced with permission from Institute of Pure and Applied Physics, (b) top view [1] – reproduced with permission from Elsevier
other hand, the CFx radical densities in the CCP region decreased remarkably with the increase in the RF power of remote H2 ICP.
4.2.3
Effect of Carbon Source Gases and H Radicals on Carbon Nanowall Growth
Growth experiments were carried out using C2F6, CH4, CF4, CHF3, or C4F8 with cyclic structure as a carbon source. The flow rates of carbon source gas and H2 were
Fig. 4.4 H radical density in CCP region employing C2F6/ H2 system measured using VUVAS technique as function of RF power of remote H2 ICP, together with behaviors of relative densities of CF3, CF2, and CF radicals measured by appearance mass spectrometry [2] – reproduced with permission from Institute of Pure and Applied Physics
a
5
5
4
4 3
3 CF3 CF
1 0
100
b
200 300 ICP power (W)
1 µm
0 500
300 nm
d
CH4 /H2
400
C2F6 /H2
1 µm
c
1
CF2 0
C2F6 /H2
2
2
CFx radical density (arb. units)
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
H atom density (x1011cm–3)
54
CH4 /H2
300 nm
Fig. 4.5 Typical SEM images of carbon nanowall films. (a) Tilted view and (b) top view of carbon nanowall film grown using C2F6/H2 system for 8 h. (c) Tilted view and (b) top view of carbon nanowall film grown using CH4/H2 system for 8 h [2] – reproduced with permission from Institute of Pure and Applied Physics
kept at 15 and 30 sccm, respectively, and the total gas pressure was 100 mTorr (13.3 Pa). Carbon nanowalls were successfully fabricated using the C2F6/H2, CH4/ H2, CF4/H2, and CHF3/H2 systems. Figure 4.5a, b show typical SEM images of the carbon nanowall films grown on a Si substrate using a C2F6/H2 system for 8 h. These images show that two-dimensional carbon sheets were grown vertically on the substrate, forming a unique nanostructure similar to a maze. The thickness of the carbon nanowalls was approximately 40 nm, and their height was
4.2 RF Plasma-Enhanced CVD with H Radical Injection
a
b
C2F6 /H2
CH4 /H2
500 nm
500 nm
c
d CHF3 / H2
CF4/H2
500 nm
e
55
500 nm
C4F8 /H2
500 nm
Fig. 4.6 SEM top view images of nanowalls grown for 8 h using (a) C2F6/H2 system, (b) CH4/H2 system, (c) CF4/H2 system, (d) CHF3/H2 system. (e) SEM top view images of carbon thin film deposited using C4F8/H2 system for 3 h [1] – reproduced with permission from Elsevier
approximately 1.5 mm. On the other hand, the SEM images of the carbon nanowalls grown using a CH4/H2 system for 8 h are shown in Fig. 4.5c, d. Their uniformity in height distribution was poor compared with that of the carbon nanowalls grown using C2F6. The carbon nanowalls grown using CH4 were rather corrugated and very thin, and their thickness was less than 10 nm. The average spacing between the carbon nanowalls was slightly smaller than that of the carbon nanowalls grown using the C2F6/H2 system. The growth rate of the carbon nanowalls using the CH4/ H2 system was lower by approximately 15 percent than that of the carbon nanowalls using the C2F6/H2 system. Similar carbon nanowalls were grown on Si, SiO2, Al2O3, Ni, and stainless steel without any catalyst. Top view SEM images of the carbon nanowalls grown for 8 h using the C2F6/H2, CH4/H2, CF4/H2, and CHF3/H2 systems are shown in Fig. 4.6a–d, respectively. Carbon film grown using C4F8/H2 system is also shown in Fig. 4.6e. In the case of carbon nanowall growth by RF CCP-enhanced CVD with H radical injection employing a fluorocarbon/hydrogen or hydrocarbon/hydrogen system, the morphology of the carbon nanowalls is dependent on the types of carbon source gases [1, 2]. The morphology of the carbon nanowall film grown using the CF4/H2 system was
56
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
similar to that using the C2F6/H2 system, except that the spacing between the nanowalls was large compared to that in the case of the C2F6/H2 system. The morphology of the carbon nanowall film grown using the CHF3/H2 system was also similar to that using the C2F6/H2, and the spacing between nanowalls was slightly larger than that of the nanowall film grown using the C2F6/H2 system. In contrast, definite carbon nanowalls were not grown using the C4F8 system, as shown in Fig. 4.6e. C2F6 is expected to yield CF3 radicals most effectively. CF3 radicals could also be generated in the CF4 or CHF3 plasma to some extent. However, the density of CF3 radicals in the C4F8 plasma is considered to be low, although large amounts of CF2 radicals are generated in the C4F8 plasma by electron impact dissociation from C4F8 owing to the cyclic structure of the C4F8 molecule. Reactive CF2 radicals are believed to be the direct precursors for the formation of fluorocarbon polymers [6, 7]. From the finding that definite carbon nanowalls were not grown using the C4F8/H2 system, it is suggested that the CF3 radical is one of the important species responsible for the formation of carbon nanowalls from fluorocarbon/ hydrogen systems. On the other hand, injected H radicals would react effectively with fluorocarbon radicals in the gas phase as well as at the surface by the reaction of F abstraction. Therefore, in the case of nanowall growth using a fluorocarbon/ hydrogen system, the density ratio of H to CF3 radicals in the plasma was found to influence the morphology of carbon nanowalls [2]. The effect of H radical injection into the CCP region on the morphology of the deposits was investigated by changing the RF power of the H2 ICP. It was observed that the morphologies were dependent on the RF power of the remote H2 ICP. Figure 4.7a–e show SEM images of the deposits grown using the C2F6/H2 system for 2 h at various RF powers of the H2 ICP. At an ICP power of 200 W, as can be seen from the side view of the SEM image in Fig. 4.7b, definite carbon nanowalls were grown, although the spacing between the carbon nanowalls was small compared with that of the carbon nanowalls grown at 400 W as shown in Fig. 4.7a. As shown in the side view of the SEM image in Fig. 4.7c, carbon nanowalls grown at an ICP power of 100 W were not straight. On the other hand, at a low ICP power of 50 W, the growth of carbon nanowalls was not confirmed, although a fine-textured structure was formed on the surface as shown in Fig. 4.7d. In the case of deposition without the H2 ICP, where a C2F6/H2 mixture was excited only by the RF-CCP, carbon nanowalls were not fabricated, as shown in Fig. 4.7e. The Raman spectrum of the deposits formed without the H2 ICP is shown in Fig. 4.7f, which is similar to that of amorphous carbon. As shown in Fig. 4.4, with the increase in the RF power of remote H2 ICP, H radical density in the CCP region increased slightly, whereas CF3 radical density decreased remarkably. It is possible that the density ratio of H radicals to CFx radicals could be an important factor responsible for the formation of carbon nanowalls from fluorocarbon/hydrogen systems. Figure 4.8 shows the behavior of the normalized radical density ratio of H radical to CF3 radical, which was replotted using the result in Fig. 4.4. The normalized radical density ratio of H radical to CF3 radical increased drastically with the increase in ICP power to more than 300 W. At an ICP power of 400 W, where definite typical carbon nanowalls were fabricated as shown in Fig. 4.7a, the radical density ratio of H to CF3 increased by
4.2 RF Plasma-Enhanced CVD with H Radical Injection
a
57
b
top view
top view
side view
side view
d
c
top view
top view
side view
side view
Intensity (arb. units)
e
top view
f
1200
1400
1600
1800
Raman shift (cm–1)
Fig. 4.8 Behavior of normalized radical density ratio of H radical to CF3 radical [2] – reprinted with permission from Institute of Pure and Applied Physics
Radical density ratio (arb. units)
Fig. 4.7 SEM images of carbon nanowalls grown using C2F6/H2 system at RF power of remote H2 ICP of (a) 400, (b) 200, (c) 100, (d) 50, and (e) 0 W. (f) Raman spectrum for deposits in (e). Scale bar: 1 mm [2] – reprinted with permission from Institute of Pure and Applied Physics 5 4 3
H/CF3
2 1 0
0
100
200 300 ICP power (W)
400
500
58
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
approximately five times compared with that of the case without H radical injection. The roles of H radicals for carbon nanowall formation are thought to be as follows: (1) F abstraction from the CFx radicals migrating on the growing surface or edge of a graphene layer and (2) the removal of undesirable amorphous phases. In the case of the growth under a low value of the radical density ratio of H/CF3, because most H radicals would be consumed by the reaction with CFx radicals, undesirable amorphous phases would not be removed effectively, and as a result, carbon nanowalls were not formed at low ICP powers less than 100 W as shown in Fig. 4.7d, e.
4.2.4
Fabrication of Straight and Aligned Carbon Nanowalls with Regular Spacing
Figure 4.9a shows an SEM image of carbon nanowalls grown using the C2F6/H2 system on the top and side surfaces of a Si substrate. A magnified image of the carbon nanowalls grown on the side surface of the Si substrate is shown in Fig. 4.9b. It is noted that carbon nanowalls were grown on the side surface of the Si substrate set normally on the grounded electrode, and the morphology of the carbon nanowalls on the side surface of the substrate was very different from that of typical carbon nanowalls reported in the past. As mentioned before, the morphologies of carbon nanowalls are dependent on the types of carbon source gases. To find another way to control the surface morphology of the carbon nanowall films, depositions were carried out on the Si substrates inclining out of the horizontal position. Depositions were carried out on the Si substrates inclining out of the horizontal position, as shown in Fig. 4.10. Figure 4.11a–d show SEM images of carbon nanowalls grown on the Si substrates inclining at 0 (horizontal), 30 , 60 , and 90 (perpendicular), respectively. As shown in Fig. 4.11a–d, the surface morphology of the carbon nanowall film changed from a maze-like structure to an aligned structure, with increasing inclination of the substrate. In the case of deposition on the substrate set perpendicular to the electrode, straight and aligned carbon nanowalls with regular spacing were obtained as shown in Fig. 4.12.
a
top of substrate
b
side of substrate
Fig. 4.9 (a) SEM image of carbon nanowalls grown using the C2F6/H2 system on the top and side surfaces of a Si substrate. (b) Magnified image of the carbon nanowalls grown on the side surface of the Si substrate. Scale bar: 1 mm [2] – reprinted with permission from Institute of Pure and Applied Physics
4.2 RF Plasma-Enhanced CVD with H Radical Injection
59
Fig. 4.10 Schematic of the RF PECVD apparatus, showing a procedure for changing substrate inclination
a
b
0°
30°
top
bottom
c
60°
top
bottom
d
90°
top
bottom
Fig. 4.11 SEM images of carbon nanowalls grown on Si substrate set inclining at (a) 0 (horizontal), (b) 30 , (c) 60 , and (d) 90 (perpendicular). Scale bar: 1 mm [2] – reprinted with permission from Institute of Pure and Applied Physics
Fig. 4.12 SEM image of straight and aligned carbon nanowalls with regular spacing
60
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
4.3
VHF Plasma-Enhanced CVD with H Radical Injection
4.3.1
Experimental Setup of VHF Plasma-Enhanced CVD with H Radical Injection
Figure 4.13a shows a schematic of the radical injection plasma-enhanced CVD system using very high frequency (VHF: 100 MHz) plasma, which was developed with the aim of achieving large-area growth of carbon nanowalls with a reasonable growth rate [8]. A photo of radical injection plasma-enhanced CVD system with a road lock chamber (Marubun Corp./NU Eco Engineering) is shown in Fig. 4.13b. This system consists of a parallel plate VHF CCP region and a surface-wave-excited microwave (2.45 GHz) H2 plasma (H2 SWP) as a remote H radical source. C2F6 gas was introduced into the VHF CCP region. H2 was introduced into the microwave SWP region, and H radicals were injected into the VHF CCP region. The VHF power of the CCP and the microwave power of the H2 SWP were 250 and 300 W, respectively. Mirror-polished Si or insulating quartz without any catalyst was the substrate used for the growth experiments. During the carbon nanowall growth, the substrate was heated using a carbon heater. The temperature was measured using a thermocouple attached underneath the substrate holder (heater temperature) at 930 C. On the other hand, the surface temperature of the growth substrate measured using an optical pyrometer was estimated to be 600 C. By using this system, the heated substrate was showered with both fluorocarbon radicals and many H atoms in a controlled manner. The flow rates of C2F6 and H2 were maintained at 50 and 100 sccm, respectively. The total gas pressure ranged from 80–160 Pa. In addition, O2 and N2 gases were introduced into the CCP region. The crystallinity of vertically standing carbon nanowalls is improved by introducing O2 into the plasma used for carbon nanowall growth, while N addition is expected to control the electrical
a H2
Quartz window
Microwave
Surface wave plasma
b
100 MHz (VHF)
C2F6 C2F6
H CCP
substrate
To pump
Fig. 4.13 (a) Schematic of the VHF plasma-enhanced CVD system, assisted by H2 microwave surface wave plasma [8] – reprinted with permission from American Vacuum Society, (b) A photo of VHF plasma-enhanced CVD system with a road lock chamber
4.3 VHF Plasma-Enhanced CVD with H Radical Injection 1015
H attom density (cm–3 )
Fig. 4.14 H atom density in C2F6 VHF PECVD with H radical injection measured by the VUVAS as a function of the total pressure during the formation of carbon nanowalls at a microwave power of 250 W and a VHF power of 270 W [9] – reproduced with permission from American Institute of Physics
61
1014 1013 1012 1011
20
60 40 Pressure (Pa)
80
properties of the carbon nanowalls. It is noted that carbon nanowalls can be synthesized by the same system employing CH4/H2 system. Furthermore, it is possible to grow vertically aligned carbon nanotube films on the catalyzed substrate. H atom density in the VHF CCP region with H radical injection was measured by vacuum ultraviolet absorption spectroscopy (VUVAS) [9]. Figure 4.14 shows the H atom density in the C2F6 VHF PECVD with H radical injection measured by the VUVAS as a function of the total pressure during the formation of carbon nanowalls at a microwave power of 250 W and a VHF power of 270 W. The flow rates of C2F6 and H2 were maintained at 50 and 100 sccm, respectively. As shown in Fig. 4.14, the H atom density increased from 1 1012 to 3 1014 cm3 with an increase in the total pressure in the pressure range from 13.3 to 80 Pa (100–600 mTorr). The H atom density in the VHF plasma with H radical injection at 80 Pa was three orders of magnitude higher than that in the RF plasma with H radical injection shown in Fig. 4.4. With further increase of total pressure, the H atom density in the CCP region still increased. However, due to too high density of H atoms, absorption in the VUVAS became saturated and the density measurement exceeded the detection limits, while the typical total pressure was a little higher (106–160 Pa) than the total pressure region investigated in Fig. 4.14. Typical SEM images of carbon nanowall films synthesized by VHF plasmaenhanced CVD employing C2F6/H2 system are shown in Fig. 4.15a, b. Growth rate of approximately 4 mm/h is attained, although the growth rate and morphology including the interspaces between walls and crystallinity depend on the total gas pressure and small additive gases such as O2 and N2.
4.3.2
Chamber Cleaning for Carbon Nanowall Growth with High Reproducibility
For the practical application of carbon nanowalls, it is important to establish a carbon nanowall fabrication system with high productivity. The density of the
62
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
Fig. 4.15 Typical SEM images of carbon nanowall films synthesized by VHF plasma-enhanced CVD employing C2F6/H2 system
a
500 nm
b
500 nm
radicals in the gas phase depends on the interactions with surfaces, such as the substrate, substrate holder, and chamber wall. In fact, the surface morphology and growth rate of the carbon nanowall film are influenced by the surface conditions of the chamber wall exposed to the plasma under the typical growth conditions, even if the growth parameters are unchanged. Thus, it is of importance to investigate the plasma surface interactions in order to achieve control of major radicals. In the case of constructing a consecutive fabrication system, periodic cleaning of the reactor either physically or chemically is required for commercial use. Manual cleaning accompanied by disassembling and assembling deposition system at no small cost of time and labor is not always enough for obtaining the same and proper condition of chamber wall after each cleaning. On the other hand, O2 plasma cleaning is expected to be effective to remove carbon-related coating on the chamber wall without disassembling and assembling procedure [10], while the surface of the chamber wall cleaned by O2 plasma treatment may influence the morphology and growth rate of carbon nanowalls. Accordingly, the influence of the surface conditions of the chamber wall on the carbon nanowall growth process was investigated in an effort to determine the optimum conditions for carbon nanowall growth with high stability and reproducibility [8]. After the carbon nanowall growth by VHF plasma-enhanced CVD employing C2F6/H2 system shown in Fig. 4.13a, chamber wall cleaning was carried out using O2 VHF-CCP at room temperature in the absence of the substrate. The VHF power, the O2 flow rate, and the pressure for the O2 plasma chamber cleaning were 350 W, 256 sccm, and 60 Pa, respectively. During the carbon nanowall growth and the chamber wall cleaning, optical emission spectroscopy (OES) was used to monitor the change in the surface conditions of the chamber wall. An optical fiber was attached outside the quartz window of the CCP chamber, and the emission spectrum
4.3 VHF Plasma-Enhanced CVD with H Radical Injection Fig. 4.16 (a) Typical SEM image of carbon nanowalls grown for 30 min after O2 plasma chamber cleaning for 40 min prior to the carbon nanowall growth. (b) SEM image of carbon nanowalls deposited for 30 min after a continuous series of carbon nanowall deposition for 10 h without chamber cleaning [8] – reproduced with permission from American Vacuum Society
63
a
b
500 nm
500 nm
ranging from 200 to 1,000 nm was recorded. The time behavior of the staple emission lines [H (656 nm), CO (483 nm), C2 (513 nm), O (777 nm), and F (704 nm)] was measured. A small amount of Ar was added to the CCP region at a rate of 1.5 sccm, and the Ar* emission (750 nm) intensity was also measured using an actinometer to obtain information on the electron density and temperature in the plasma. The OES data of staple lines were expressed as the relative intensities normalized by the Ar* emission intensity. Figure 4.16a shows a typical SEM image of carbon nanowalls grown for 30 min, after the inner surface of the chamber was cleaned prior to the growth experiment. The chamber also lacked a carbon coating, as a result of the O2 plasma chamber cleaning for 40 min prior to the carbon nanowall growth. As shown in Fig. 4.16a, definite carbon nanowalls were standing vertically on the Si substrate. The thickness of the carbon nanowall was approximately 3 nm, and the average distance between adjacent carbon nanowalls was estimated to be approximately 200 nm. After a consecutive carbon nanowall growth, the chamber wall was covered with carbon-related material, which was confirmed by the fact that the reflection coefficient measured on the covered chamber wall was different from that on the uncoated chamber wall. No noticeable change in the morphology of carbon nanowall films was observed within a continuous series of carbon nanowall growth processes for 2 h. With further increase of overall period of operation, however, the morphology of deposits on the substrate changed gradually. Figure 4.16b shows the SEM image of carbon nanowalls deposited for 30 min after a continuous series of carbon nanowall depositions for 10 h, without chamber cleaning. As shown in Fig. 4.16b, the density of carbon nanowalls increased, whereas each carbon nanowall was not definite. The spacing between the carbon nanowalls was small compared with that of the carbon nanowalls shown in Fig. 4.16a. The surface conditions of the chamber wall would have a significant influence on the behavior of important radicals and thus on the growth rate and surface morphology. In the case of the cleaned chamber wall, the surface loss probabilities of CFx radicals are
64
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
Relative intensity ratio
10
1
0.1
0.01
0
400
800 1200 Time (sec)
1600
Fig. 4.17 Time behavior of peak intensities of staple emission lines [H (656.27 nm), CO (483 nm), C2 (513 nm), O (777 nm), and F (704 nm)] during the O2 plasma chamber cleaning. Peak intensities of staple emission lines were normalized by the peak intensity of the Ar emission line (750 nm) in order to compensate for the plasma instability, and the OES data were expressed as the relative intensities to the values measured at the beginning of O2 plasma chamber cleaning [8] – reproduced with permission from American Vacuum Society
dependent on the surface coverage of the CFx and F radicals on the chamber wall [11]. Therefore, the density of the CFx radicals in the gas phase depends on the interactions with the surface of the chamber wall. After a consecutive operation of carbon nanowall growth processes, on the other hand, hydrogenated fluorocarbon film was deposited on the chamber wall, and the CFx radicals would be produced from this film on the chamber wall [12], causing a significant change in the composition of important radicals, e.g., H/CFx ratio in the plasma. The results shown in Fig. 4.16a, b indicate that the morphology of carbon nanowalls was significantly influenced by the surface of the chamber wall. The optical emission spectroscopy (OES) measurement was carried out during the O2 plasma chamber cleaning after carbon nanowall growth. Figure 4.17 shows the time behavior of peak intensities of staple emission lines [H (656.27 nm), CO (483 nm), C2 (513 nm), O (777 nm), and F (704 nm)] during the O2 plasma chamber cleaning. Peak intensities of staple emission lines were normalized by the Ar* emission intensity, and the OES data in Fig. 4.17 were expressed as the relative intensities to the values measured at the beginning of O2 plasma chamber cleaning. As a result of etching the chamber wall by O and O2 radicals, emission of H, C2, and F was observed, which originated from the coating formed during the foregoing carbon nanowall growth employing a mixture of C2F6 and H2. The by-product formed by the reaction between the O radical and the carbon-related coating (CO emission) was also observed. However, as the O2 plasma chamber cleaning process proceeded, the peak intensities of the emissions decreased and almost disappeared after approximately 15 min. On the other hand, the peak intensity of O emission increased initially. At the onset of the O2 plasma cleaning process, some of the O radicals were consumed by the reaction with the carbon-related coating, thus forming CO and CO2. The O consumption decreased with the decrease in carbon coverage on the chamber wall, and the O emission intensity increased until the
4.3 VHF Plasma-Enhanced CVD with H Radical Injection Fig. 4.18 Cross-sectional SEM images of carbon nanowall film grown for 30 min after O2 plasma chamber cleaning for 40 min prior to carbon nanowall growth [8] – reproduced with permission from American Vacuum Society
65
a
500 nm
b
200 nm
coating on the chamber wall was removed. The results shown in Fig. 4.17 indicate that the chamber wall cleaning was completed in 15 min. However, the O2 plasma cleaning was carried out for 40 min in all experiments to ensure the removal of the carbon film. Figure 4.18a shows a cross-sectional SEM image of carbon nanowall film deposited on a Si substrate for 30 min after O2 plasma chamber cleaning for 40 min prior to the carbon nanowall growth. Vertical carbon nanowalls can be clearly observed in the figure. Furthermore, the interface between carbon nanowalls and the Si substrate was damaged, and the roughness of substrate was approximately 50 nm, as shown in Fig. 4.18b. This damage was attributed to oxygen adsorbed to the chamber wall as a result of the O2 plasma chamber cleaning. At the onset of the carbon nanowall growth process, desorbed O radicals would enhance the dissociation of CF3 radicals, which were efficiently generated by the CCP, employing C2F6, resulting in etching of the Si substrate. Figure 4.19 shows the time behavior of the peak intensities of the staple emission lines [H (656 nm), CO (483 nm), C2 (513 nm), CF2 (274 nm), and F (704 nm)] during the carbon nanowall growth process after the O2 plasma chamber cleaning. Peak intensities of staple emission lines were normalized by the peak intensity of Ar emission line, and the OES data in Fig. 4.19 were expressed as the relative intensities to the values measured at the onset of carbon nanowall growth. As shown in Fig. 4.19, emission intensities changed in the first few minutes; the peak intensity of the CF2 emission increased drastically in 1 min. On the other hand, emissions of CO, C2, and F were initially observed, and these intensities decreased dramatically after a few minutes. At the onset of the carbon nanowall growth, some of the fluorocarbon radicals were consumed in order to passivate the surface of the chamber wall. At the same time, O radicals desorbed from the chamber wall would enhance the etching of Si substrate, resulting in damage of the substrate at the
66
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
Relative intensity ratio
10
1
0.1
0
400
800
1200
1600
Time (sec)
Fig. 4.19 Time behavior of peak intensities of staple emission lines [H (656 nm), CO (483 nm), C2 (513 nm), CF2 (274 nm), and F (703 nm)] during the carbon nanowall growth after O2 plasma chamber cleaning. Peak intensities of staple emission lines were normalized by the peak intensity of Ar emission line (750 nm), and the OES data were expressed as the relative intensities to the values measured at the onset of carbon nanowall growth [8] – reproduced with permission from American Vacuum Society
nucleation of carbon nanowalls, as can be seen in the cross-sectional SEM image in Fig. 4.18. From the time behavior of the emissive species shown in Fig. 4.19, it was determined that it takes a few minutes to remove the O radicals from the chamber wall and obtain stable growth conditions for the carbon nanowalls. In order to prevent the Si damage, a pre-deposition procedure after O2 plasma cleaning was employed. This pre-deposition consists of a wall passivation, which employs the mixture of C2F6 and H2 in the absence of a substrate. The CO (483 nm) and CF2 (274 nm) emission peak intensities were used to monitor the change in the surface conditions of the chamber wall. Pre-deposition was performed until the CO peak in OES disappeared and intensity of CF2 peak became constant. After the predeposition, the substrate was introduced into the chamber, and carbon nanowalls were fabricated. By introducing the pre-deposition process, the peak intensities of the staple emission lines were almost constant throughout the carbon nanowall growth process, indicating stable conditions in the plasma during the carbon nanowall growth. Figure 4.20 shows a cross-sectional SEM image of carbon nanowall film deposited on a Si substrate for 30 min after performing pre-deposition for 5 min. The Si substrate was not damaged during the growth process, and the boundary between the Si substrate and the carbon nanowalls was smooth. Moreover, the growth rate of the carbon nanowall film grown after pre-deposition was 1.3 times higher than that of carbon nanowall film grown without pre-deposition. From these findings, we proposed a growth procedure including the cycle of O2 plasma chamber cleaning, pre-deposition, and carbon nanowall growth (Fig. 4.21), for maintaining steady conditions to fabricate carbon nanowalls with high reproducibility. X-ray photoelectron spectroscopy (XPS) measurements were carried out to characterize the surface conditions of the chamber wall after the different stages in one cycle (O2 plasma chamber cleaning, pre-deposition, and carbon nanowall
4.3 VHF Plasma-Enhanced CVD with H Radical Injection
67
Fig. 4.20 Cross-sectional SEM image of carbon nanowall film grown for 30 min with pre-deposition for 5 min after O2 plasma chamber cleaning for 40 min [8] – reproduced with permission from American Vacuum Society 500 nm
O2 plasma cleaning O2
Room temp. Carbon nanowall growth C2F6
heater
Passivation layer
Carbon nanowalls
Pre-deposition C2F6
heater
Fig. 4.21 Schematic of a growth procedure including the cycle of O2 plasma chamber cleaning, pre-deposition, and carbon nanowall growth
growth). Si substrates were attached to the inner chamber wall, and the atomic compositions of the Si surface were measured by XPS. It is noted that each Si substrate was exposed to the atmosphere before the XPS measurement. Therefore, O peak intensity of a few percent for each sample would be due to the exposure to the atmosphere. The composition of C, F, and O on the chamber wall after the carbon nanowall growth was approximately 75, 20, and 5%, respectively, indicating that the chamber wall was covered with a fluorocarbon film during the carbon nanowalls growth. By introducing the O2 plasma chamber cleaning process, the composition of C, F, and O on the chamber wall changed to 17, 2, and 81%, respectively. Most of the fluorocarbon coating was removed, and a number of O radicals and O2 molecules were adsorbed on the chamber wall surface. At this stage, oxygen must be removed from the chamber wall. Otherwise, the remaining oxygen on the wall is expected to damage the Si substrate, as shown in Fig. 4.18. After the pre-deposition, the composition ratio of C, F, and O was approximately 74, 21, and
68
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
5%, respectively. The composition of the coating on the wall after pre-deposition was almost the same as that after the carbon nanowall growth process, indicating that adsorbed oxygen was removed by the pre-deposition, and the chamber conditions appropriate for the carbon nanowall growth were realized. The atomic composition ratio of C, F, and O of the typical carbon nanowalls grown according to the proposed procedure was 98, 0, and 2%, respectively; carbon nanowall is a graphite nanostructure, even if fluorocarbon gas is used as a carbon source gas. The carbon nanowall films grown by the first cycle of the proposed procedure and the 30th cycle exhibited the same morphology. The O2 plasma chamber cleaning followed by pre-deposition was effective for maintaining steady conditions for the process chamber to attain the highly reliable growth process of carbon nanowalls, as in the case of consecutive fabrication system. During the consecutive operation of carbon nanowall fabrication system, OES in the plasma including chamber cleaning and pre-deposition processes is measured continuously in order to monitor the surface conditions of the chamber wall as well as the fluctuation of the plasma condition. Moreover, the XPS measurement of the chamber wall conducted regularly every 100 consecutive cycles proves that the chamber conditions appropriate for the carbon nanowall growth are realized reproducibly.
4.3.3
Electrical Conduction Control of Carbon Nanowalls
As described in Sect. 3.2.2 of Chap. 3, two-dimensional graphite (graphene ribbon) is expected to possess semiconductor characteristics when the graphite size becomes small, and few-layer graphene sheets have been reported to have high mobilities of 10,000–15,000 cm2/Vs and a band gap of 0.26 eV [13–16]. Since carbon nanowalls essentially consist of graphene sheets, carbon nanowalls with a few layers are expected to have high carrier mobilities and large sustainable current densities. However, owing to the difficulty in fabricating isolated single carbon nanowall or nanosheet, detailed measurement of electrical properties for isolated free-standing carbon nanowall or nanosheet has not been reported yet. In this section, the electrical properties of the bulk carbon nanowall film comprising the web of nanographene sheets with interspaces are described. These values could be valid for the design and evaluation of electronic devices using bulk carbon nanowall films, while the carrier concentration and mobility inside the individual carbon nanowall sheets are of great interest for realizing nanographene devices. It has been proposed from theory that undoped tetrahedral amorphous carbon exhibits p-type conduction, and its conduction type can be controlled to n-type by nitrogen (N) inclusion [17]. In addition, a near-edge X-ray absorption fine structure study has shown that there exists a graphite like structure in which some of the C atoms are substituted by N atoms [18]. From the similarity, it is interesting to investigate the effect of N2 gas addition to a process gas mixture on the electrical properties of carbon nanowall films. N addition is expected to control the electrical properties of the carbon nanowalls. The carbon nanowall films were grown by VHF
4.3 VHF Plasma-Enhanced CVD with H Radical Injection
69
plasma-enhanced CVD employing C2F6/H2 system shown in Fig. 4.13a. Additional N2 gas was introduced into the CCP region at flow rates of 0–15 sccm. As a result of the N2 addition to the C2F6/H2 system, slightly branching carbon nanowall film was obtained; however, the height of the carbon nanowalls and their density remained unchanged. After the synthesis of carbon nanowall film on the quartz substrate, aluminum (Al) contacts with a thickness of approximately 150 nm were formed at the corners of the surface of the carbon nanowall film by electron beam evaporation. Four Al contacts were symmetrically located on the carbon nanowall film for the Hall measurement by the van der Pauw method [19]. The Hall coefficients of the carbon nanowall film samples grown with the addition of N2 at different flow rates were measured using a resistivity/Hall measurement system. In this measurement, it was assumed that the carbon nanowall film is a plane membrane from a macroscopic standpoint and that current flows uniformly along the surface between the contacts. Before carrying out the Hall measurement, it was confirmed that Al electrodes behaved as ohmic contacts for the carbon nanowall film. Carbon nanowall films doped with nitrogen were characterized by secondary ion mass spectrometry (SIMS) to investigate the effect of the N2 addition to the C2F6/ H2 plasma on the atomic composition of carbon nanowalls. The depth distributions of the relative atomic composition ratio (N/C) were measured using a 10 keV Cs+ primary beam for sputtering. The relative atomic composition ratio N/C in the nitrogen-incorporated carbon nanowalls was found to be almost constant according to the SIMS depth profile shown in Fig. 4.22, suggesting that N atoms are included uniformly in the carbon nanowalls grown with the additional N2. Figure 4.23 shows the behavior of the N content in carbon nanowalls obtained by the SIMS measurement. The N concentration increased with an increase in the N2 flow rate, and it was found that the N concentration in the N-incorporated carbon nanowalls could be controlled by changing the flow rate of the additional N2 during the growth process. The cross-sectional SEM images of the carbon nanowall films grown for 30 min are shown in Fig. 4.24a–e, together with the SEM top-view images of the
Fig. 4.22 SIMS depth profile of nitrogen-incorporated carbon nanowall film grown by VHF plasma-enhanced CVD employing C2F6/H2 system with the addition of N2 at 1 sccm
Count per second
108 C 106 104 N 102 Si 10
0
200
400 600 Depth (nm)
800
70
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD N content ×10–4 (arb.unit)
Fig. 4.23 Behavior of the N content in carbon nanowalls as a function of N2 flow rate during the growth processes obtained by the SIMS measurement [20] – reproduced with permission from American Institute of Physics
a
b
c
d
20 16 12 8 4 0
0 2 4 6 8 10 12 14 16 N2 flow rate (sccm)
e
Fig. 4.24 Cross-sectional SEM images of carbon nanowall film grown with the addition of N2 at different N2 flow rates: (a) 0, (b) 1, (c) 5, (d) 10, and (e) 15 sccm, together with the SEM top-view images of the identical carbon nanowall films as insets. Scale bars in all figures are 500 nm
identical carbon nanowall films as insets. Figure 4.24a shows the typical SEM images of the carbon nanowall film grown by employing the C2F6/H2 mixture without any additional gas, hereafter referred to as undoped carbon nanowall. The carbon nanowall height, which denotes the thickness of the carbon nanowall film, was approximately 720 nm. Figure 4.24b–e show SEM images of the carbon nanowalls grown by the addition of N2 at flow rates of 1, 5, 10, and 15 sccm, respectively, hereafter referred to as N-doped carbon nanowalls. The morphology of the N-doped carbon nanowall film grown at the additional N2 flow rate of 1 sccm
b
0.2 undoped N-doped
0.1 0
p-type n-type
–0.1 –0.2
0 2 4 6 8 10 12 14 16 N2 flow rate (sccm)
Resistivity (× 10–3 Ωcm)
a
Hall coefficient (cm3/C)
4.3 VHF Plasma-Enhanced CVD with H Radical Injection
16 14 12 10 8 6 4 2
71
undoped N-doped
0 2 4 6 8 10 12 14 16 N2 flow rate (sccm)
Fig. 4.25 Variations in (a) Hall coefficients and (b) resistivity of undoped and N-doped carbon nanowall films as a function of N2 flow rate during the growth processes [20] – reproduced with permission from American Institute of Physics
was similar to that of undpoed carbon nanowall film. However, as a result of the N2 addition at a flow rate 5 sccm to the C2F6/H2 system, slightly branching carbon nanowall film was obtained; however, the height of the carbon nanowalls and their density remained unchanged (Fig. 4.24c). With further increase of the N2 flow rate, branching nanowalls became noticeable and height uniformity deteriorated gradually, as shown in Fig. 4.24d, e. Hall measurements were carried out for the carbon nanowall films grown by the addition of N2 at various flow rates. Figure 4.25a, b show the variations in the Hall coefficients and resistivities of the undoped and N-doped carbon nanowall films, respectively, as a function of the N2 flow rate during the growth processes. The Hall coefficient of the undoped carbon nanowall film was positive, while that of the N-doped carbon nanowall film was negative. The positive and negative values of the Hall coefficient imply p- and n-type conductions, respectively. Seven specimens of the undoped carbon nanowall films, which were grown under an identical condition using the C2F6/H2 plasma without any additional gas, exhibit p-type conduction reproducibly. On the other hand, in the case of the carbon nanowall film grown by the N2 addition, the N-doped carbon nanowall films exhibited n-type conduction, suggesting that nitrogen was included in the carbon nanowalls and acted as a donor. The resistivity of the undoped carbon nanowall film was approximately 0.011 Ocm, which was the largest value among those of the undoped and N-doped carbon nanowall film samples. On the other hand, in the case of the Ndoped carbon nanowall films, the resistivity decreased drastically at first. With a further increase in the amount of N2 added to the C2F6/H2 plasma, the resistivity of the carbon nanowall film decreased gradually. The carrier concentration and Hall mobility of the undoped and N-doped carbon nanowall films were derived from the results of the Hall measurements. Figure 4.26a shows the variation in the estimated carrier concentration of the undoped and N-doped carbon nanowall films as a function of the N2 flow rate during the growth process. For determining the carrier concentration, the scattering factor was assumed to be 1. From the relation between the hole (electron) concentration p (n) and the Hall coefficient RH, p (n) is given by
72
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
b 14 12
undoped N-doped
Mobility (cm2/Vs)
Carrier concentration (1019cm–3)
a
10 8 6 4
0 2 4 6 8 10 12 14 16 N2 flow rate (sccm)
16 undoped N-doped
14 12 10 8 6
0 2 4 6 8 10 12 14 16 N2 flow rate (sccm)
Fig. 4.26 (a) Variation in carrier concentrations of undoped and N-doped carbon nanowall films as a function of N2 flow rate during the growth processes. (b) Variation in Hall mobility of carbon nanowalls as a function of N2 flow rate during the growth processes. [20] – reproduced with permission from American Institute of Physics
p¼
1 eRH
or
n¼
1 ; eRH
(4.1)
where e is the electric charge. A carrier concentration of 9 1019 cm3 was obtained for the p-type undoped carbon nanowall film. As a result of the N2 addition at a flow rate of 1 sccm, the conduction type of the carbon nanowall film changed to n type. In the case of n-type N-doped carbon nanowall films, the carrier concentration increased with an increase in the N2 flow rate. The carrier concentration behavior of the n-type N-doped carbon nanowall films is considerably similar to that of the N content in the carbon nanowalls. Figure 4.26b shows the variation in the Hall mobility of the carbon nanowall films as a function of the N2 flow rate during the growth process. The mobility of the undoped carbon nanowall film was low. As a result of the N2 addition at a flow rate of 1 sccm, the mobility of the N-doped carbon nanowall film increased twice as much as that of the undoped carbon nanowall film. On the contrary, with a further increase in the amount of N2 added to the C2F6/H2 plasma, the mobility of the N-doped carbon nanowall film decreased gradually. Graphite, which is a semimetal, has a small density of charge carriers (1 carrier per 104 C atoms) and equal concentrations of electrons and holes (5 1018 cm3 at 300 K). The carrier transport in graphene occurs in the orbitals perpendicular to the surface. Meanwhile, an individual carbon nanowall is composed of nanodomains that are a few tens of nanometers in size [21]. Moreover, each carbon nanowall has many edges and defects, which was indirectly confirmed by the Raman spectroscopy. In the case of the undoped carbon nanowalls, the major conduction carrier is presumably a positive hole because mobile p electrons would be easily trapped by the defect. On the other hand, as reported by Shimoyama et al. [18], N atoms can substitute C atoms in a graphite structure and function as donors. In this case, undoped carbon nanowall film exhibits p-type conduction, which is characterized by high resistivity and low mobility probably due to the high
4.3 VHF Plasma-Enhanced CVD with H Radical Injection
73
defect concentration. As a result of the N inclusion at a low flow rate of 1 sccm during the growth process, the conduction type of the carbon nanowalls changed to n-type and the carrier concentration decreased; however, the mobility increased. This transition suggests that some N atoms substituted C atoms, and others infilled the defects, resulting in the compensation of holes as well as reduction in defects to improve the crystallinity. With a further increase in the N inclusion, a less aligned and highly branching carbon nanowall film was obtained. Therefore, on the contrary, the Hall mobility decreased due to the slight deterioration in the crystallinity, while the carrier concentration increased. Very recently, Teii et al. investigated the electrical properties of nitrogenincorporated carbon nanowalls synthesized by microwave plasma-enhanced CVD employing Ar/N2/C2H2 and Ar/N2/CH4 systems, and confirmed n-type conduction by Hall- and Seebeck-effect measurements [22]. Since the electrical transport inside the complex network of carbon nanowalls has not been fully understood, the intrinsic property of carbon nanowall (10–30 layers of graphene sheets) cannot be derived from the result of Hall measurement at present, while single graphene sheet reportedly possesses semiconductor characteristics. The carrier concentration and mobility derived from the Hall measurements shown in Fig. 4.26 reflect the electrical properties of the bulk carbon nanowall film comprising the web of nanographene sheets with interspaces.
4.3.4
Fabrication of Monolithic Self-Sustaining Graphene Sheets
Carbon nanowalls consist of nanodomains that are a few tens of nanometers in size and individual carbon nanowalls have many edges and defects as described before. Therefore, in order to fully exploit the potential of graphene and to develop nextgeneration electronic devices, reliable methods are required for fabricating graphene sheets with high crystallinity. In Sect. 4.3.2 of Chap. 4, O2 plasma was used to remove the carbon-related coating on the chamber wall for the fabrication of carbon nanowalls with high reproducibility [8]. In contrast, the addition of small amount of CO2 or H2O to the hydrocarbon plasma is effective to etch disordered carbon species [23, 24]. Carbon–oxygen reactions on various kinds of carbon surfaces have been studied [25]. In some cases, the trigonal bond of carbon at the edges of basal planes of the crystallites and defects within the basal plane act as nucleation sites for undesirable nanostructured carbon resulting in the formation of blanched structure. These nucleation sites can be eliminated by the reaction with atomic oxygen to form volatile by-products such as CO and CO2. Thus, using carbon–oxygen reactions would enable us to synthesize carbon materials that have larger crystallite sizes, higher crystallite alignment, and higher purity. In this section, the effect of O2 gas addition to the process gas mixture of C2F6/H2 on the structure and electrical properties of carbon nanowall films is described.
74
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
a
b
c
d
Fig. 4.27 Cross-sectional SEM images of carbon nanowall film grown with the addition of N2 and O2 at different N2/O2 flow rates: (a) 0/0, (b) 0/5, (c) 5/0, and (d) 5/5 sccm, together with the SEM top-view images of the identical carbon nanowall films as insets. Scale bars in all figures are 500 nm [26] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
The carbon nanowall films were grown by VHF plasma-enhanced CVD employing C2F6/H2 system shown in Fig. 4.13a. The C2F6 and H2 flow rates were maintained at 50 and 100 sccm, respectively. Additional O2 gas was introduced into the capacitive coupled plasma (CCP) region at flow rates of 0–5 sccm, and the total pressure was maintained at 160 Pa. Figure 4.27a, b show cross-sectional SEM images of the carbon nanowalls grown using a C2F6/H2 mixture without and with O2 addition at a flow rate of 5 sccm, respectively. The carbon nanowalls grown with O2 addition exhibit less branching than those produced without O2. It was found that monolithic graphene sheets were obtained by introducing small amount of O2 into the process mixture, while the growth rate was reduced by approximately 33%. The carbon nanowall film grown with O2 had larger plane sheets with wider interspaces than that grown without O2. The O2 addition is effective in improving the crystallinity of N-doped carbon nanowalls. Figure 4.27c, d show cross-sectional SEM images of the N-doped carbon nanowalls grown without and with O2 addition, respectively. As described in the last section, as a result of the N2 addition to the C2F6/H2 system, branching carbon nanowall film was obtained and height uniformity deteriorated. However, by introducing small amount of O2, the crystallinity of N-doped carbon nanowalls was also improved and monolithic sheet structure could be obtained as shown in Fig. 4.27d. Low-magnification cross-sectional TEM images of carbon nanowall films grown without and with O2 are shown in Fig. 4.28a, b, respectively. Small overlapping multi-layered graphene domains with random orientations were observed for carbon nanowalls grown without O2 (Fig. 4.28a). In contrast, monolithic self-sustaining graphene sheets larger than 200 nm in size were clearly observed in the carbon nanowalls grown with O2 (Fig. 4.28b). Figure 4.28c, d show magnified images of the areas enclosed by squares in Fig. 4.28a, b, respectively; these images allow the
4.3 VHF Plasma-Enhanced CVD with H Radical Injection
Fig. 4.29 Raman spectra of carbon nanowall film grown with the addition of N2 and O2 at different N2/O2 flow rates: (a) 0/0, (b) 5/0, (c) 0/5, and (d) 5/5 sccm [26] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
a
b
c
d
D
Intensity (arb. unit)
Fig. 4.28 Low-magnification cross-sectional TEM images of carbon nanowall films grown (a) without O2 and (b) with O2, (c) and (d) show magnified images of the areas enclosed by squares in (a) and (b), respectively [26] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
75
G
D’
d c b a 1300
1400 1500 1600 1700 Raman shift (cm–1)
fine structure of the carbon nanowalls to be assessed. Figure 4.28c clearly shows a bent multi-layered graphene structure with a thickness of approximately 9 nm in the case of carbon nanowall grown without O2. On the other hand, a highly orientated, smooth multi-layered graphene structure was clearly obtained in the carbon nanowall grown with O2 (Fig. 4.28d). Carbon nanowalls grown without and with O2 gas addition were also characterized by Raman spectroscopy to investigate the influence of O2 gas addition on the structural property of carbon nanowalls. Raman spectra for the deposits were measured at room temperature with a triple monochromator (Jobin Yvon, Ramanor T64000) using the 514.5 nm line of an Ar laser in the spectral range from 1,200 to 1,800 cm1. Figure 4.29 shows the Raman spectra of the carbon nanowall films grown with and without O2 and N2. The Raman spectra (a)–(d) correspond
76
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
to the carbon nanowall film grown from C2F6/H2, C2F6/H2/N2, C2F6/H2/O2, and C2F6/H2/N2/O2, respectively. The Raman spectra of all the carbon nanowall films have a G band peak at 1,585 cm1 indicating the formation of a graphitized structure, and a D band peak around at 1,350 cm1 corresponding to the disorderinduced phonon mode. Usually, the G band peak is accompanied by a shoulder peak at 1,620 cm1 (D’ band). The D’-band is associated with finite-size graphite crystals and edges. The strong D-band and D’-band peaks suggest a more nanocrystalline structure and the presence of graphene edges and defects, which are features of carbon nanowalls. Raman spectrum (b) for carbon nanowalls grown from C2F6/H2/N2 at N2 flow rate of 5 sccm was similar to Raman spectrum (a) for those grown from C2F6/H2. In the case of Raman spectrum (c) for carbon nanowalls grown from C2F6/H2/O2, on the other hand, peak intensities of D and D’ band decreased noticeably, indicating that the O2 addition improved the crystallinity of carbon nanowalls by suppressing the second nucleation. Moreover, based on comparisons between Raman spectra (b) and (d), it is confirmed that the O2 addition is effective for improving the crystallinity of N-doped carbon nanowalls. The crystallinity of carbon nanowalls was analyzed using synchrotron X-ray surface diffraction at grazing incidence and exit at the beamline BL13XU of SPring-8 [27]. The X-ray beam was incident on the carbon nanowall film samples at grazing angle of 0.3 relative to the substrate surface. Figure 4.30 shows the grazing incidence in-plane X-ray diffraction patterns of carbon nanowall film samples grown using VHF plasma-enhanced CVD with H radical injection. An intense 002 Bragg peak, the plane of which is normal to the substrate, is at 2y ¼ 16.9˚ and there are also weak 100/101, 004, and 110 Bragg peaks. The interlayer spacing d002 was determined from the 002 peak by applying Bragg’s
Carbon nanowall grown without O2 Carbon nanowall grown with O2
Intensity (a. u.)
004 Intensity (a.u.)
002
101/100 110
20
30 40 2θ (degree) 101/100 004 10
20
30 2θ (degree)
40
50 110 50
Fig. 4.30 SR X-ray diffraction patterns of carbon nanowall films grown with and without O2 addition; various conditions measured at beam line BL13XU of SPring-8. The inset is a magnified profile in the 2y region of 20–50 [26] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
4.3 VHF Plasma-Enhanced CVD with H Radical Injection
77
Fig. 4.31 Variation in resistivities of carbon nanowall films synthesized by VHF plasma-enhanced CVD employing C2F6/H2 system with and without O2 addition, as a function of temperature [26] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
Resistivity (× 10–3 Ωcm)
law with a wavelength of l ¼ 0.1003 nm. It was found to be 0.342 nm for all samples, which is slightly larger than that of bulk graphite (0.335 nm). The average thickness of the carbon nanowalls was determined from the mean crystallite size (Lc), which is calculated from the 002 peak width using the Scherrer equation [28]. The values of Lc for carbon nanowalls grown without and with O2 were estimated to be 9.4 and 13.0 nm, respectively; these values were almost the same as the wall thicknesses evaluated by TEM. The degree of vertical structure of the carbon nanowalls was evaluated using the ratio of the 002 peak intensity to the 100/101 integrated intensity (I002/I100/101). The values of I002/I100/101 for carbon nanowalls grown without and with O2 were found to be 4.8 and 10.4, respectively. The I002/ I100/101 ratio of carbon nanowalls grown with O2 was twice that of carbon nanowalls grown without O2, indicating that orientation of the vertically standing graphene sheets was improved by introducing O2 into the plasma for carbon nanowall growth by VHF plasma-enhanced CVD employing a C2F6/H2 mixture. A quartz substrate was used to evaluate the electrical properties of the carbon nanowall films. After synthesizing the carbon nanowall films on a quartz substrate, four aluminum (Al) contacts were symmetrically positioned on the carbon nanowall film for Hall measurements by the van der Pauw method [19]. The Hall coefficients of the carbon nanowall film samples grown with and without O2 were measured in the same manner as described in the last section. Figure 4.31 shows the resistivity variation of the carbon nanowall films as a function of temperature, which was derived from the Hall measurement. The resistivity of carbon nanowall film was reduced by approximately 30% as a result of O2 addition during the carbon nanowall growth process. The resistivity of all the carbon nanowall films decreased with an increase in the measured temperature, indicating the semiconductor behavior of the carbon nanowall films. Figure 4.32 shows the carrier concentration of carbon nanowall films as a function of the reciprocal of the temperature. The carrier concentration of the carbon nanowall films showed the flat profile at low temperatures up to 100 K (1,000/T ¼ 10). As the temperature was increased up to room temperature (1,000/ T: lower than 10), the carrier concentration drastically increased. The regions I and II in Fig. 4.32 indicate the intrinsic and the saturation regions, respectively. The carrier concentration behavior of carbon nanowall film grown without O2 addition
20
Grown without O2 addition Grown with O2 addition
18 16 14 12 10 0
100 200 Temperature (K)
300
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
Fig. 4.32 Carrier concentration of carbon nanowall films as a function of the reciprocal of the temperature. I and II correspond to the intrinsic region and the saturation region, respectively [26] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
Carrier concentration (1019 cm–3)
78
Grown without O2 addition Grown with O2 addition
12 10 8 6
4
I 0
II 5
10 15 20 25 1000/ T (K–1)
30 35
showed almost the same tendency as that of the carbon nanowall grown with O2 addition. Thus, the carrier generation mechanism for carbon nanowall films grown with and without O2 is considered to be the same. However, the carrier concentration of carbon nanowall film grown with O2 was slightly higher than that of carbon nanowall film grown without additional gas. The band gap can be obtained from the slope of the intrinsic range I in Fig. 4.32. Thus, the band gap of carbon nanowall film was estimated from the region I at 300 K, which was approximately 80 meV for both carbon nanowall films grown without and with O2 addition. However, the intrinsic region was not clearly observed in Fig. 4.32, because the temperature range for the present Hall measurement system was limited up to room temperature. The slope in region I for the measured curve in Fig. 4.32 is still on the rise at 300 K. Therefore, the band gap of carbon nanowall film was expected larger than 80 meV. Carbon nanowall films grown with additional O2 were characterized by SIMS to investigate the effect of O2 addition to the C2F6/H2 plasma on the atomic composition of carbon nanowalls. The depth distributions of the relative atomic composition ratios [O]/[C] and [F]/[C] were measured using a 10 keV Cs+ primary beam for sputtering. The relative atomic composition ratios [O]/[C] in the carbon nanowalls grown with and without O2 were found to be almost the same at 1.6 104 based on the SIMS depth profile (data not shown), suggesting that O atoms were not incorporated in the carbon nanowalls grown with O2. Moreover, in the case of Ndoped carbon nanowalls, [N]/[C] ratios in the films fabricated with and without O2 were almost the same. On the other hand, the relative atomic composition ratio [F]/[C] in the carbon nanowalls grown with O2 was 1.7 105, while it was 7.1 104 in the carbon nanowalls grown without O2, indicating that O atoms scavenge F atoms by forming volatile COF2 molecules during the growth of carbon nanowalls [29]. The electrical conduction of graphite with a fluorine junction (CF)n was found to be disturbed since the excess charge induced by the F atoms reduces the graphite p density [30]. The bent multi-layered graphene structures shown in Fig. 4.28c include many defects as well as impurities such as residual F atoms. These residual F atoms act as impurities and F-induced defects in carbon nanowalls
References
79
will affect electrical conduction. As a result of O2 addition to the C2F6/H2 plasma, the F atoms in carbon nanowalls were effectively eliminated, while the O atoms were not incorporated in the carbon nanowall film. This suggests that oxygen etch F atoms and small graphitic fragments, thereby contributing to the higher graphitization and improving the crystallinity and electrical conduction to form highly oriented monolithic graphene sheets. The crystallinity of vertical graphene sheets was improved by introducing O2 into the plasma used for carbon nanowall growth. The resistivity of the carbon nanowall film decreased with an increase in the temperature, indicating that the carbon nanowall films exhibit semiconductor behavior. The current results demonstrate that carbon nanowalls consisting of vertical, monolithic self-sustaining nanographene sheets have great potential for application in next-generation electronic devices. However, the resistivity and carrier concentration derived in this section reflect the electrical property of the bulk carbon nanowall film comprising the web of nanographene sheets with interspaces. Since the electrical transport inside the complex network of carbon nanowalls has not been fully understood, the intrinsic property of carbon nanowall (10–30 layers of graphene sheets) cannot be derived from the result of Hall measurement for the carbon nanowall films, while single graphene sheet reportedly possesses semiconductor characteristics. These values could be useful for the design and evaluation of electronic devices using bulk carbon nanowall films. On the other hand, the carrier concentration and mobility as well as the band gap inside the individual monolithic carbon nanowall sheets are of great interest for realizing nanographene devices.
References 1. Shiji K, Hiramatsu M, Enomoto A, Nakamura M, Amano H, Hori M (2005) Vertical growth of carbon nanowalls using rf plasma-enhanced chemical vapor deposition. Diam Relat Mater 14: 831–834 2. Hiramatsu M, Hori M (2006) Fabrication of carbon nanowalls using novel plasma processing. Jpn J Appl Phys 45: 5522–5527 3. Takashima S, Hori M, Goto T, Kono A, Ito M, Yoneda K (1999) Vacuum ultraviolet absorption spectroscopy employing a microdiacharge hollow-cathode lamp for absolute density measurements of hydrogen atoms in reactive plasmas. Appl Phys Lett 75: 3929–3931 4. Takashima S, Hori M, Goto T, Kono A, Yoneda K (2001) Absolute concentration and loss kinetics of hydrogen atom in methane and hydrogen plasmas. J Appl Phys 90: 5497–5503 5. Sugai H, Toyoda H (1992) Appearance mass spectrometry of neutral radicals in radio frequency plasmas. J Vac Sci Technol A 10: 1193–1200 6. d’Agostino R, Cramarossa F, Fracassi F, Illuzzi F (1990) Plasma deposition treatment and etching of polymers. In: d’Agostino R (ed) Academic, San Diego, pp 95–162 7. d’Agostino R, Cramarossa F, Colaprico V, d’Ettole R (1983) Mechanisms of etching and polymerization in radiofrequency discharges of CF4–H2, CF4–C2F4, C2F6–H2, C3F8–H2. J Appl Phys 54: 1284–1288 8. Kondo S, Hori M, Yamakawa K, Den S, Kano H, Hiramatsu M (2008) Highly reliable growth process of carbon nanowalls using radical injection plasma-enhanced chemical vapor deposition. J Vac Sci Technol B 26: 1294–1300
80
4 Fabrication of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD
9. Takeuchi W, Sasaki H, Kato S, Takashima S, Hiramatsu M, Hori M (2009) Development of measurement technique for carbon atoms employing vacuum ultraviolet absorption spectroscopy with a microdischarge hollow-cathode lamp and its application to diagnostics of nanographene sheet material formation plasmas. J Appl Phys 105: 113305-1–113305-6 10. Ochs D, Cord B (2004) In situ oxygen plasma cleaning of a PECVD source for hard disk overcoats. Appl Phys A Mater Sci Process 78: 637–639 11. Singh H, Coburn JW, Graves DB (2000) Surface loss coefficients of CFx and F radicals on stainless steel. J Vac Sci Technol A 18: 2680–2684 12. Sasaki K, Okamoto M (2006) Absolute densities and kinetics of H atoms and CFx radicals in low-pressure, high-density CHF3 plasmas. Thin Solid Films 506–507: 705–709 13. Nakada K, Fujita M, Dresselhaus G, Dresselhaus MS (1996) Edge state in graphene ribbons: Nanometer size effect and edge shape dependence. Phys Rev B 54: 17954–17961 14. Geim AK, Novoselov KS (2007) The rise of Graphene. Nat Mater 6: 183–191 15. Fang T, Konar A, Xing H, Jena D (2007) Carrier statistics and quantum capacitance of graphene sheets and ribbons. Appl Phys Lett 91: 092109-1–092109-3 16. Zhou SY, Gweon GH, Fedorov AV, First PN, de Heer WA, Lee DH, Guinea F, Castro Neto AH, Lanzara A (2007) Substrate-induced bandgap opening in epitaxial graphene. Nat Mater 6: 770–775 17. Robertson J, Davis CA (1995) Nitrogen doping of tetrahedral amorphous carbon. Diam Relat Mater 4: 441–444 18. Shimoyama I, Wu G, Sekiguchi T, Baba YJ (2000) Evidence for the existence of nitrogensubstituted graphite structure by polarization dependence of near-edge x-ray-absorption fine structure. Phys Rev B 62: R6053–R6056 19. Van der Pauw LJ (1958) A method of measuring specific resistivity and Hall effect of discs of arbitrary shape. Philips Res Repts 13: 1–9 20. Takeuchi W, Ura M, Hiramatsu M, Tokuda Y, Kano H, Hori M (2008) Electrical conduction control of carbon nanowalls. Appl Phys Lett 92: 213103-1–213103-3 21. Kurita S, Yoshimura A, Kawamoto H, Uchida T, Kojima K, Tachibana M, Molina-Morales P, Nakai H (2005) Raman spectra of carbon nanowalls grown by plasma-enhanced chemical vapor deposition. J Appl Phys 97: 104320-1–104320-5 22. Teii K, Shimada S, Nakashima M, Chuang ATH (2009) Synthesis and electrical characterization of n-type carbon nanowalls. J Appl Phys 106: 084303-1–084303-6 23. Chatei H, Belmahi M, Assouar MB, Le Brizoual L, Bourson P, Bougdira J (2006) Growth and characterisation of carbon nanostructures obtained by MPACVD system using CH4/CO2 gas mixture. Diam Relat Mater 15: 1041–1046 24. Hiramatsu M, Inayoshi M, Yamada K, Mizuno E, Nawata M, Ikeda M, Hori M, Goto T (1996) Hydrogen-radical-assisted radio-frequency plasma-enhanced chemical vapor deposition system for diamond formation. Rev Sci Instrum 67: 2360–2365 25. Walker PL Jr, Taylor RL, Ranish JM (1991) An update on the carbon-oxygen reaction. Carbon 29: 411–421 26. Takeuchi W, Takeda K, Hiramatsu M, Tokuda Y, Kano H, Kimura S, Sakata O, Tajiri H, Hori M (2010) Monolithic self-sustaining nanographene sheet grown using plasma-enhanced chemical vapor deposition. Phys Status Solidi 207: 139–143 27. Goto S, Ikeda N, Inoue K, Kimura H, Yabashi M (2004) SPring-8 Beamline Handbook, Ver. 3 (Japan Synchrotron Radiation Research Institute, Hyogo, Japan, 2004), p 48 28. Sharma A, Kyotani T, Tomita A (2000) Comparison of structural parameters of PF carbon from XRD and HRTEM techniques. Carbon 38: 1977–1984 29. Hsueh HP, McGrath RT, Ji B, Felker BS, Langan JG, Karwacki EJ (2001) Ion energy distributions and optical emission spectra in NF3-based process chamber cleaning plasmas. J Vac Sci Technol B 19: 1346–1357 30. Charlier J-C, Gonze X, Michenaud J-P (1993) First-principles study of graphite monofluoride (CF)n. Phys Rev B 47: 16162–16168
Chapter 5
Growth Mechanism of Carbon Nanowalls
In the case of film formation using plasma-enhanced chemical vapor deposition (CVD), high performance can be achieved by (1) the selective production of specific reactive species crucial for the film growth and nucleation, (2) the efficient transport of important species onto the growing surface, and (3) the control of surface reaction for both nucleation and subsequent growth. In the case of carbon nanostructure fabrication, it is important to elucidate the specific species such as carbon-containing radicals and hydrogen atoms that contribute to the growth and then determine the morphology of the nanostructures. Moreover, on the basis of the knowledge of the species, it is necessary to control the process plasma in order to obtain carbon nanostructures with structure and morphology customized for a specific application. Therefore, measurement of the radicals responsible for the formation of the carbon nanostructures is of great interest for practical applications. This chapter addresses issues on the growth mechanism of carbon nanowalls. Examples of radical density measurements in the plasma are described in the beginning. Then, the growth mechanisms of carbon nanowalls in the steady-state growth and nucleation stage are discussed. Furthermore, carbon nanowalls are synthesized using multi-beam CVD system consisting of ion, fluorocarbon radical, and H radical sources, and the role of ion bombardment for the nucleation of carbon nanowalls is discussed.
5.1
Measurement of Radical Densities in the Plasma Used for the Fabrication of Carbon Nanowalls
Since several specific species in the processing plasma contribute directly to surface reactions, it is extremely important to know the behaviors of these species and to control them precisely. In this section, density measurements of carbon-containing species and H atoms in the plasmas used for the CVD for carbon nanostructure fabrication are presented.
M. Hiramatsu and M. Hori, Carbon Nanowalls, DOI 10.1007/978-3-211-99718-5_5, # Springer-Verlag/Wien 2010
81
82
5.1.1
5 Growth Mechanism of Carbon Nanowalls
Radicals in Microwave Plasma-Enhanced CVD with CH4/H2 Mixture
Previous studies on nanocrystalline diamond utilized C60/Ar/H2, CH4/Ar, and CH4/N2 mixtures, where production of carbon dimers (C2 radicals) might be enhanced in gas phase [1–3]. C2 radicals were considered to be the dominant growth species for CH4/Ar and CH4/N2 systems without the addition of H2 molecules. Similarly, in the case of synthesis of carbon nanotubes and carbon nanowalls using microwave plasma-enhanced CVD employing CH4/H2 mixtures at high CH4 concentration, C2 radical is considered to be one of the important growth species. Very recently, Teii et al. have synthesized carbon nanowalls using ASTex-type microwave plasma source with Ar/N2/C2H2 system, and suggested that C2 radicals are dominant species for carbon nanowall growth under hydrogen-poor conditions, where increasing the amount of C2 radicals by adding Ar enables the growth of carbon nanowalls at a high rate up to about 1 mm/min and reduces the deposition temperature down to around 650 C [4]. Figure 5.1 shows a typical optical emission spectrum from microwave plasma employing a CH4/H2 mixture. The flow rates of CH4 and H2 were 50 and 70 sccm, respectively. The total pressure was 70 Torr and microwave power was 800 W. In the case of microwave CH4/H2 plasma, strong emissions from C2 molecules (C2 Swan bands) around 516 and 564 nm were observed in addition to the hydrogen atomic lines (Ha and Hb), as shown in Fig. 5.1. The C2 radical density in a conventional microwave plasma-enhanced CVD with CH4/H2 mixture was measured using white-light absorption spectroscopy [5–8]. Figure 5.2a, b show the experimental setup used for the C2 radical density measurement in the microwave plasma. The C2 radical density at the lowest excited state a3Pu (Fig. 5.3) was measured using absorption spectroscopy. A Xe lamp emitting a continuous spectrum was used as a light source, and transmittance spectra through the plasma were obtained at approximately 516 nm of (v0 ¼ 0, v00 ¼ 0) bandhead of C2 Swan (d3Pg a3Pu) system. The optical emission intensity of the (0,0) bandhead of the C2 Swan system was also measured.
Ha C2
Hb
Fig. 5.1 Optical emission spectrum from microwave plasma employing a CH4/H2 mixture
C2
5.1 Measurement of Radical Densities in the Plasma
a
83
b
Microwave power ( 2.45 GHz)
Monochromator Quartz fiber & CCD detector H2 CH4
Quartz window Quartz window
Mirror
Xe lamp
Xe lamp
Mirror
Side view
To pump
Top view Fig. 5.2 Schematic of experimental setup used for C2 radical density measurement, (a) side view, (b) top view [8] – reproduced with permission from Elsevier
35 C2 term diagram
d 3Πg
Energy (×1000 cm–1)
30
5 4 3 2 1 0
25 20 5 4 3 2 1 0
15 10 5 0
5 4 3 2 1 0
(0, 0) Swan
A 1Πu
X 1Σg+
5 4 3 2 1 0
a 3Πu
Fig. 5.3 Energy diagram of C2 radical
Figure 5.4a, b show typical emission spectrum and transmittance spectrum of the (0,0) vibrational band of the C2 Swan system obtained at a CH4 concentration of 35% and microwave power of 800 W. The absorption coefficient was obtained by dividing the negative of natural logarithm of the transmittance by an absorption pass length (33 cm), which is approximately equal to a three-fold length of inner diameter of the cylindrical stainless steel chamber. Due to the overlapping of rotational lines at the bandhead at approximately 516 nm as shown in Fig. 5.4b, it is difficult to measure the density for each rotational line. Therefore, the absorption
84
5 Growth Mechanism of Carbon Nanowalls
b Transmittance
Emission intensity (arb. unit)
a
Wavelength (nm)
Wavelength (nm)
30
30
25
25
20
20
15
15
10
10
5
5
0
0
10 20 30 40 CH4 concentration (%)
50
Emission intensity (arb. units)
Fig. 5.5 C2 radical density as a function of CH4 concentration, together with the optical emission intensity of (0,0) bandhead of C2 Swan system, at total flow rate of 200 sccm, total pressure of 55 Torr, and microwave power of 800 W [8] – reproduced with permission from Elsevier
C2 (a 3Pu) density (×1011 cm–3)
Fig. 5.4 (a) C2 Swan band emission spectrum and (b) C2 Swan band absorption spectrum obtained at CH4 concentration of 35%, total flow rate of 200 sccm, total pressure of 55 Torr, and microwave power of 800 W [8] – reproduced with permission from Elsevier
0
coefficient was calculated as a function of wavenumber by choosing the rotational temperature and C2 density at the v00 ¼ 0 level in the a3Pu state appropriately, and the calculated absorption spectrum was compared with that converted from the measured transmittance spectrum. As a result of fitting the calculated profile to the measured one, the C2 radical density at v00 ¼ 0 in the a3Pu state and the rotational temperature were estimated to be 2.4 1012 cm3 and 1,000 K, respectively, for the transmittance spectrum shown in Fig. 5.4b. Figure 5.5 shows the C2 radical density as a function of CH4 concentration, together with the optical emission intensity of (0,0) bandhead of C2 Swan system [8]. The C2 radical density increased with increasing CH4 concentration. The C2 radical density was found to be of the order of 1012 cm3 at a CH4 concentration of approximately 30%. As the CH4 concentration increased up to 25%, emission intensity increased gradually. By further increasing the CH4 concentration
5.1 Measurement of Radical Densities in the Plasma
85
above 25%, the emission intensity increased rapidly. It is apparent that the optical emission intensity correlated linearly with C2 radical density at moderate concentrations of CH4. This linear correlation is consistent with the result in hydrogendeficient plasma-enhanced CVD [6].
5.1.2
Radicals in Fluorocarbon Plasma with H Radical Injection
Figure 5.6 shows a typical optical emission spectrum from C2F6 VHF plasma with H radical injection. The flow rates of C2F6 and H2 were 50 and 100 sccm, respectively. The total pressure was 160 Pa. Emission bands from CF2 and CF3 radicals appeared in the optical emission spectrum of C2F6/H2 VHF plasma. The UV emission band between 250 and 370 nm represents CF2 radical transition, while the visible emission band around 600 nm is due to the transitions of CF3 radical states [9]. Emissions from hydrogen atomic lines (Ha and Hb) and band from CH radical (431.4 nm) were also observed. H atoms are considered to be important species for the abstraction of fluorine from CFx radicals migrating on the growing surface or edge of a graphene layer and removal of undesirable amorphous phases. The densities of H and CFx (x ¼ 1–3) radicals in the C2F6 RF plasma with H radical injection were measured using the vacuum ultraviolet absorption spectroscopy (VUVAS) [10, 11] and appearance mass spectrometry [12], respectively. In the case of the measurement of absolute H radical density, a H2 microdischarge hollow-cathode lamp (H-MHCL) was used as a vacuum ultraviolet (VUV) light source for absorption spectroscopy. The transition line used for the absorption measurement was Lyman alpha (La) at 121.6 nm for H atom density. The VUV light passed once through the chamber, and was focused on the slit of a VUV monochromator (Acton Research, ARC VM-520) by MgF2 lens and detected by a photomultipliar tube (PMT). The measurements were carried
CF2
Ha
CF3 CH Hb
Fig. 5.6 Optical emission spectrum from C2F6 VHF plasma with H radical injection
86
5 Growth Mechanism of Carbon Nanowalls
5
5
4
4
3
3
CF3 2
2 CF
1
1
CF2
0
0
100
200 300 ICP power (W)
400
0
500
CFx radical density (arb. units)
Fig. 5.7 H radical density in CCP region employing C2F6/ H2 system measured using VUVAS technique as function of RF power of remote H2 ICP, together with behaviors of relative densities of CF3, CF2, and CF radicals measured by appearance mass spectrometry [13] – reprinted with permission from Institute of Pure and Applied Physics
H atom density (×1011 cm–3)
out with varied RF power of the H2 ICP. Figure 5.7 shows H radical density in the RF capacitively coupled plasma (CCP) region as a function of the RF power of remote H2 inductively coupled plasma (ICP), together with the behaviors of the relative densities of CF3, CF2, and CF radicals [13]. The H radical density in the CCP region without H radical injection, where C2F6/H2 mixture was excited by RFCCP only, was 2.5 1011 cm3. As the RF power of remote H2 ICP increased, the amount of H radicals produced in the ICP increased, resulting in the increase in H radical density in the CCP region. The H radical density was 4.0 1011 cm3 at an ICP power of 400 W. The H radical density in the CCP region increased by approximately two times as a result of the H radical injection under the typical growth condition for the carbon nanowalls. On the other hand, the CFx radical densities in the CCP region decreased remarkably with the increase in the RF power of remote H2 ICP. As shown in Fig. 5.7, with the increase in the RF power of remote H2 ICP, H radical density in the CCP region increased slightly, whereas CF3 radical density decreased remarkably. It is possible that the density ratio of H radicals to CFx radicals could be an important factor responsible for the formation of carbon nanowalls from fluorocarbon/hydrogen systems. Figure 5.8b shows the behavior of the normalized radical density ratio of H radical to CF3 radical, which was replotted using the result in Fig. 5.7, together with the SEM images of carbon nanowalls grown at different ICP powers. The normalized radical density ratio of H radical to CF3 radical increased drastically with the increase in ICP power more than 300 W. At an ICP power of 400 W, where definite typical carbon nanowalls were fabricated, the radical density ratio of H to CF3 increased by approximately five times compared with that of the case without H radical injection. Carbon (C) atoms can be produced in the gas phase by electron-impact dissociation of carbon-containing molecules or radicals and are considered to be extremely reactive in the gas phase, and their sticking probability at the surface is expected to be close to unity. Therefore, C atoms likely play important roles in the mechanism of carbon nanostructure formation. Therefore, measurements of C and H densities in the C2F6/H2 VHF plasma were carried out using the VUVAS technique.
5.1 Measurement of Radical Densities in the Plasma
a
RF power (13.56 MHz)
RF power (13.56 MHz)
C2F6
H2 ICP
CCP Substrate To Pump
b
Radical density ratio (a.u.)
Fig. 5.8 (a) Schematic of RF plasma-enhanced CVD system, assisted by a remote radical source used for the growth of carbon nanowalls. (b) Behavior of normalized radical density ratio of H radical to CF3 radical. SEM images of carbon nanowalls grown using C2F6/H2 system at RF power of remote H2 ICP of (c) 0, (d) 100, and (e) 400 W [13] – reproduced with permission from Institute of Pure and Applied Physics
87
c
5 4 3 2
H/CF3
1 0
0
100 200 300 400 500 ICP power (W)
d
e
The VUVAS system for measuring the absolute densities of C and H radicals was set up as shown in Fig. 5.9. In the case of the measurement of absolute C atom density, a high-pressure CO2 microdischarge hollow-cathode lamp (C-MHCL) was used as a VUV light source for absorption spectroscopy. The transition lines used for C atom density measurements were 2s22p2 3P1 2s22p3s 3P2o at 165.626 nm, 2s22p2 3P0 2s22p3s 3P1o at 165.692 nm, 2s22p2 3P2 2s22p3s 3P2o at 165.700 nm, 2s22p2 3P1 2s22p3s 3P1o at 165.737 nm, 2s22p2 3P3 2s22p3s 3P1o at 165.789 nm, and 2s22p2 3P5 2s22p3s 3P3o at 165.811 nm for the C atom. Since the wavelength resolution of the VUV monochromator was 0.4 nm, the total absorption intensity of six transition lines 2s22p2 3PJ 2s22p3s 3PoJ (J ¼ 0, 1, 2) at 165.7 nm was measured for the absolute C atom density [14]. Figure 5.10a shows the H and C atom densities in the C2F6/H2 VHF plasmaenhanced CVD measured by the VUVAS system and the height of the grown carbon nanowall films as a function of the total pressure during the formation of carbon nanowalls at a microwave power of 250 W and a VHF power of 270 W [14]. The flow rates of C2F6 and H2 were maintained at 50 and 100 sccm, respectively. The height of carbon nanowall film was derived from cross-sectional SEM observations. As shown in Fig. 5.10a, the H atom density increased from 1 1012 to 3 1014 cm3 with an increase in the total pressure, while the C atom density was almost constant at 5 1012 cm3 in the pressure range from 13.3 to 80.0 Pa. On the other hand, the height of the carbon nanowall films decreased with an
88
5 Growth Mechanism of Carbon Nanowalls
Fig. 5.9 Schematic illustration of the VHF plasma employing C2F6/H2 mixture with the experimental arrangement for measuring absolute atom densities using the VUVAS technique [14] – reprinted with permission from American Institute of Physics
a 1015
5
b 13.3 Pa
4
1013
3
C atom 1012
2
Nanowall height (µm)
Atomic density (cm–3)
H atom 1014
c 53.3 Pa
d 80 Pa
Height 1011
1 10 20 30 40 50 60 70 80 90
Pressure (Pa) Fig. 5.10 (a) H and C atom densities in VHF plasma employing C2F6/H2 mixtures measured by the VUVAS system and height of the grown carbon nanowall films as a function of the total pressure during the formation of carbon nanowalls at a microwave power of 250 W and a VHF power of 270 W. (b–d) SEM images of carbon nanowalls grown at pressures of 13.3, 53.3, and 80.0 Pa, respectively [14] – reproduced with permission from American Institute of Physics
increase in the total pressure. Figure 5.10b, d show SEM top view images of carbon nanowalls grown on Si substrates at total pressures of 13.3, 53.3, and 80.0 Pa, respectively. At a low total pressure of 13.3 Pa, a carbon nanowall film with narrow interspaces was obtained (Fig. 5.10b). On the other hand, the carbon nanowall film grown at a total pressure of 80 Pa had wide interspaces of 30–40 nm (Fig. 5.10d).
5.1 Measurement of Radical Densities in the Plasma
89
Here, the interspace is defined as the average distance between adjacent carbon nanowalls derived from SEM observation, by measuring the diameters of more than 50 hollows selected randomly in the SEM top view image of carbon nanowall film. As the H/C radical density ratio increased, the growth rate of the carbon nanowalls decreased and the average interspaces between the walls became wider. From the Raman spectroscopy, with an increase in the total pressure, the G band peak was clearly observed and its intensity increased, while the intensity of the D0 band decreased (data not shown). These results indicate that an excess amount of H atoms relative to the C atoms enhances the etching of undesirable amorphous phases, resulting in higher graphitization and the formation of well-defined carbon nanowalls at a reduced growth rate.
5.1.3
Discussion
In the case of using CH4 as a carbon source gas, CH3 radicals are effectively generated in the parallel-plate RF CCP at a pressure of approximately 13.3 Pa (100 m Torr) [15]. Moreover, the carbon nanowalls were also synthesized using microwave plasma-enhanced CVD with the CH4/H2 system at a pressure of more than 133 Pa (1 torr) [16, 17] and with the Ar/N2/C2H2 system at a pressure of 13.3 kPa (100 torr) [4]. An amount of C2 radicals on the order of 1012 cm3 would exist in microwave plasma-enhanced CVD with the CH4/H2 system at moderate pressure from 103 to 104 Pa [8]. Therefore, both CH3 and C2 radicals might act as precursors for the formation of carbon nanowalls. In contrast, it is believed that H radicals play an important role in diamond film growth by etching non-diamond phase preferentially due to the different etch rates of amorphous, sp2and sp3 hybridized carbons. Therefore, in the case of carbon nanowall growth employing hydrocarbon/hydrogen systems, H radicals would play important roles in the effective removal of amorphous phases, prevention of the formation of additional graphene layers by etching weakly bonded carbon atoms and small graphitic fragments, and hydrogen coverage of the growing surface to enhance the surface migration of carbon precursors to the energetically stable site. The importance of hydrogen as a process component is supported by the several process conditions associated with carbon nanowalls and related carbon nanostructures reported in the literature [16, 18–20]. Ando et al. [18] used H2 in the DC arc-discharge evaporation of graphite rod to deposit petallike graphite sheets “carbon roses”, Shang et al. [19] used C2H2 in H2 dilution in the hot filament CVD for the carbon nanoflake formation, Wu et al. [16] used CH4 in H2 dilution in the microwave plasma-enhanced CVD for the carbon nanowall deposition, and Wang et al. [20] used CH4 in H2 dilution in the RF inductively coupled plasma-enhanced CVD for the synthesis of free-standing graphite sheets “carbon nanosheets” with thickness less than 1 nm. These plasmas are useful to produce H radicals effectively.
90
5 Growth Mechanism of Carbon Nanowalls
On the other hand, in the case of carbon nanowall growth employing fluorocarbon/hydrogen systems, among the variety of fluorocarbon gases, C2F6 is expected to yield CF3 radicals most effectively. CF3 radicals could also be generated in the CF4 or CHF3 plasma to some extent. Meanwhile, the CF3 radical density in the C4F8 plasma is considered to be low, although large amounts of CF2 radicals would be generated in the C4F8 plasma by electron-impact dissociation from C4F8, due to the cyclic structure of the C4F8 molecule [21, 22]. Reactive CF2 radicals are believed to be the direct precursors for the formation of fluorocarbon polymers [23, 24]. From the finding that definite carbon nanowalls were not grown using the C4F8/H2 system, it is suggested that the CF3 radical is one of the important species responsible for the formation of carbon nanowalls from fluorocarbon/hydrogen systems [25]. The roles of H radicals for carbon nanowall formation employing C2F6/H2 system are thought to be as follows: (1) F abstraction from the CFx radicals migrating on the growing surface or edge of a graphene layer and (2) the removal of undesirable amorphous phases. In the case of the growth under a low value of the radical density ratio of H/CF3, because most H radicals would be consumed by the reaction with CFx radicals, undesirable amorphous phases would not be removed effectively, and as a result, carbon nanowalls are not formed at low ICP powers as shown in Fig. 5.8. Therefore, the H/CF3 radical density ratio was found to be an important factor responsible for the formation of carbon nanowalls from fluorocarbon/hydrogen systems. As the H/CF3 radical density ratio increased, the average interspaces of the carbon nanowalls became wider. On one hand, C atoms can be produced in the gas phase by electron-impact dissociation of C2F6 as well as F abstraction from the CFx (x ¼ 1–3) radicals by H atoms. The sticking coefficient of C atoms to the surface is reported to be about 0.6, while that of CF3 radicals is 103 to 104, depending on the plasma condition [26]. Considering that the C atom density was on the order of 1012 cm3, C atoms would contribute to the growth of possibly defective carbon nanostructures at a high rate. The surface morphology of the carbon nanowalls was strongly influenced not only by the C atoms but also by the H atoms. We can speculate on the growth mechanism of carbon nanowalls from the fluorocarbon/hydrogen system, taking into account the roles of C, H, and CF3 radicals, as follows: (1) C atoms are adsorbed directly on the surface, while H atoms preferentially etch defective C atoms of undesirable phases on the growing surface of carbon nanowalls; (2) surface-migrating CF3 precursors are then adsorbed on the active sites created by abstraction reaction by H atoms and ion bombardment; (3) H atoms abstract F from the CF3 radicals adsorbed on the active sites on the growing surface or edge of a graphene layer; (4) graphene layers are consequently constructed. The density ratio of CFx radicals (x ¼ 0–3) to H atoms in the plasma was found to influence the morphology of the carbon nanowalls. However, the morphology and spacing between carbon nanowalls are considered to be determined originally in the nucleation stage of carbon nanowalls; the radical densities of H and CFx, as well as ion densities and their energies arriving on the surface at the very early stages of nucleation, must be responsible for determining the morphology of carbon nanowalls. The effects of ion bombardment on nucleation will be discussed later in this chapter.
5.2 Steady-State Growth of Carbon Nanowalls
5.2 5.2.1
91
Steady-State Growth of Carbon Nanowalls RF Plasma-Enhanced CVD with H Radical Injection Employing C2F6/H2 System
Figure 5.11a–d show SEM images of carbon nanowalls grown using RF plasmaenhanced CVD with H radical injection for 15 min, 30 min, 1 h, and 2 h, respectively [25]. The SEM images of typical carbon nanowalls grown for 3 h are shown in Fig. 5.11e, f. As shown in Fig. 5.1a, at the nucleation stage of growth, nanoislands with sizes of 3–10 nm were formed on the substrate, followed by the formation of disordered carbon nanosheets of smaller sizes (Fig. 5.11b) and subsequent growth of isolated nanowalls with a semicircular shape standing vertically on the substrate in the next stage of growth as shown in Fig. 5.11c. As the growth time increased, spread vertical nanowalls met with one another, eventually resulting in the formation of linked nanowalls like a maze (Fig. 5.11d). With further increase of growth time, the height of carbon nanowalls increased, while the thickness of nanowalls and the spacing between nanowalls became almost saturated while keeping the morphology of carbon nanowalls, as shown in Fig. 5.11e, f. Growth rate curves for the nanowalls fabricated by RF plasma-enhanced CVD employing C2F6/H2 system were obtained by measuring the height and thickness of the nanowalls for differing amounts of growth time (0–8 h). Figure 5.12 shows the average height and thickness of nanowalls as a function of growth time, under otherwise identical conditions. In addition, a variation of averaged maximum spacing between adjacent nanowalls was indicated by a broken line [25].
a
Fig. 5.11 SEM images of the carbon nanowalls grown on Si substrate using C2F6 RF plasma-enhanced CVD assisted by H radical injection for (a) 15 min, (b) 30 min, (c) 1 h, (d) 2 h, and (e, f) 3 h. Inset in (f) is a cross-sectional view [25] – reproduced with permission from American Institute of Physics
b
c
d
e
f
300nm
1 µm
5 Growth Mechanism of Carbon Nanowalls
Fig. 5.12 Wall height (open circles) and thickness (closed circles) of nanowalls as a function of growth time. The growth rate data were obtained from the samples grown on Si substrate by RF plasma-enhanced CVD employing C2F6/H2 system. A broken line indicates the variation of the averaged maximum spacing between adjacent nanowalls estimated from SEM observation [25] – reproduced with permission from American Institute of Physics
Wall height and thickness (nm)
92
1600 Height 1200 800 Average space 400 0
Thickness
0
2 4 6 8 Growth time (hours)
10
As shown in Fig. 5.12, the height of nanowalls indicated by open circles increased almost linearly with the increase of growth time. On the other hand, the thickness of nanowalls indicated by closed circles increased gradually at first up to 3 h, thereafter became constant at about 50 nm. The spacing between nanowalls increased at first, then became almost constant as well.
5.2.2
Inductively Coupled Plasma Enhanced CVD Employing CH4/Ar System
Figure 5.13a–f show SEM images of carbon nanowalls grown by RF-ICP employing a mixture of CH4 and Ar for different growth time. After the nucleation stage of carbon nanowalls, growth of less-aligned, isolated carbon sheets with a semicircular shape standing on the substrate is confirmed as shown in Fig. 5.13a, b. As the growth time increased, density of isolated nanosheets increased and those standing almost vertically on the substrate continued preferably to spread faster. Then, spreading nanosheets met one another; eventually resulting in the formation of linked nanowalls as shown in Fig. 5.13c, d. With the further increase of growth time, the height of vertically aligned carbon nanowalls increased, while the thickness of nanowalls and the spacing between nanowalls became almost saturated with the morphology of carbon nanowalls, as shown in Fig. 5.13e, f. Carbon nanowalls with relatively smooth surface were fabricated at a growth rate of approximately 50 nm/min. Growth rate curve for the nanowalls fabricated using ICP CVD employing CH4/Ar system was obtained by measuring the height of the nanowalls for differing amounts of growth time (0–120 min). Figure 5.14 shows the average height of nanowalls as a function of growth time. As shown in Fig. 5.14, the height of carbon nanowalls almost linearly increased with the increase of growth time.
5.2 Steady-State Growth of Carbon Nanowalls Fig. 5.13 SEM images of the carbon nanowalls grown by RF-ICP employing a mixture of CH4 and Ar for (a–b) 5 min, (c–d) 15 min, and (e–f) 30 min
93
a 5min
b 5min
1 µm
c 15min
500 nm
d 15min
1 µm
1 µm
e 30min
f 30min
1 µm
16 Wall height (µm)
Fig. 5.14 Wall height of nanowalls as a function of growth time. The growth rate data were obtained from the samples grown on Si substrate by ICP CVD employing CH4/Ar system
12 8 4 0
5.2.3
1 µm
0
0.5 1.0 1.5 2.0 Growth time (hours)
2.5
Electron-Beam-Excited Plasma Enhanced CVD Employing CH4/H2 System
Figure 5.15a–f show SEM images of carbon nanowalls grown by electron-beamexcited plasma (EBEP) CVD employing CH4/H2 system for different growth time. After the nucleation stage of carbon nanowalls, almost vertically aligned, isolated carbon nanosheets standing on the substrate met one another in 10 min, eventually resulting in the formation of linked nanowalls as shown in Fig. 5.15a, b. In the case of carbon nanowalls grown for 30 min shown in Fig. 5.15c, d, aligned carbon nanowalls with relatively uniform height were formed, and their height increased
94
5 Growth Mechanism of Carbon Nanowalls
Fig. 5.15 SEM images of the carbon nanowalls grown by EBEP CVD with CH4/H2 system for (a–b) 10 min, (c–d) 30 min, and (e–f) 90 min [27] – reproduced with permission from Elsevier
a 10min
1 µm
b 10min
300 nm
c 30min
300 nm
d 30min
1 µm
e 90min
1 µm
f 90min
1 µm
1 µm
by three times compared with the carbon nanowalls grown for 10 min. On the other hand, the density of carbon nanowalls decreased; the spacing between nanowalls increased by 5–10 times, compared with the carbon nanowalls grown for 10 min. With the further increase of growth time, the height of vertical aligned carbon nanowalls increased almost linearly, while the spacing between nanowalls increased very gradually with the morphology of carbon nanowalls, as shown in Fig. 5.15e, f. It is noted that stunted nanowalls at the base of carbon nanowall film were observed in the cross-sectional SEM image of Fig. 5.15f, accounting for the increase of spacing between adjacent nanowalls with the increase of growth time. Figure 5.16a–c show SEM images of carbon nanowall film, of which carbon nanowalls were partially ripped away by using adhesive tape, magnified SEM image of ripped area, where underlying carbon nanowalls were focused, and tilted image of carbon nanowall film ripped away partially, respectively. It was found that carbon nanowalls with a height of approximately 100 nm remained in the ripped area and their interspaces were narrower. Most of the carbon nanowalls remaining in the ripped area would evidence the existence of the stunted ones that their growth had been terminated at the early stage of growth. Figure 5.17 shows the average height and thickness of carbon nanowalls fabricated using EBEP CVD with CH4/H2 system as a function of growth time. In addition, a variation of averaged maximum spacing between adjacent nanowalls was indicated by a dotted line. As shown in Fig. 5.17, the height of carbon nanowalls almost linearly increased with the increase of growth time, while the thickness of carbon nanowalls was almost constant at a few nm. The average spacing between adjacent nanowalls increased at first, and then became almost saturated at approximately 250 nm.
5.2 Steady-State Growth of Carbon Nanowalls a
b
300nm c
Fig. 5.17 Wall height (closed circles) and thickness (closed triangles) of nanowalls as a function of growth time. The growth rate data were obtained from the samples grown on Si substrate by electron-beam-excited plasma-enhanced CVD employing CH4/H2 system. A dotted line indicates the variation of the averaged maximum spacing between adjacent nanowalls estimated from SEM observation
5.2.4
Wall height and thickness (nm)
1 µm
1 µm
1000
2400 2000
800
Height
1600
600
1200
Average space
800
400 200
400 0
Thickness 0
20 40 60 80 Growth time (min)
Separation (nm)
Fig. 5.16 SEM images of the carbon nanowall film, of which carbon nanowalls were partially ripped away by using adhesive tape. (a) Top view image, (b) magnified image of ripped area, where underlying carbon nanowalls were focused, (c) tilted image of carbon nanowall film ripped away partially
95
0 100
VHF Plasma-Enhanced CVD with H Radical Injection Employing C2F6/H2 System
Carbon nanowall growth was carried out using VHF plasma-enhanced CVD with H radical injection employing C2F6/H2 system for up to 60 min. SEM images of carbon nanowall films grown for 3 and 30 min are shown in Fig. 5.18a, b, respectively. As shown in Fig. 5.18a, carbon nanowalls grown for 3 min were isolated and randomly oriented. On the other hand, vertically standing carbon nanowalls with uniform height were fabricated in 30 min, as seen from Fig. 5.18b. Growth rate curve for the carbon nanowalls, fabricated using VHF plasmaenhanced CVD with H radical injection employing C2F6/H2 system, was obtained by measuring the height of the carbon nanowall film for differing amounts of growth time (30 s–60 min). Figure 5.19 shows the average height of carbon
96
5 Growth Mechanism of Carbon Nanowalls
Fig. 5.18 SEM images of the carbon nanowalls grown by VHF plasma-enhanced CVD with H radical injection employing C2F6/H2 system for (a) 3 min and (b) 30 min
a
b
100 nm
300 nm
Height (nm)
1600
Fig. 5.19 Wall height of nanowalls as a function of growth time. The growth rate data were obtained from the samples grown on Si substrate by VHF plasma-enhanced CVD with H radical injection employing C2F6/H2 system [28] – reproduced with permission from American Institute of Physics
1200 800 400 0 0 10 20 30 40 50 60 Time (min)
nanowalls as a function of growth time. As shown in Fig. 5.19, the height of carbon nanowalls increased linearly with the increase of growth time in the range from 5 to 60 min, indicating that the growth rate of carbon nanowalls in the steady-state condition was constant at 26 nm/min. In contrast, shortly after the commencement of growth up to 5 min, the carbon nanowall growth rate was lower than that in steady-state conditions.
5.2.5
Discussion
The growth mechanism of carbon nanowalls is speculated as follows. At the nucleation stage, carbon species would condense to form nanoislands with dangling bonds (Fig. 5.11a). At these dangling bonds, disordered carbon nanosheets of smaller sizes would be nucleated, followed by the two-dimensional growth and subsequent formation of nanographene sheets. Among the nucleated graphene sheets with random orientations, those standing almost vertically on the substrate continued preferably to grow up faster to vertically standing nanosheets owing to the difference in the growth rates along the strongly bonded planes of graphene sheets expanding and in the weakly bonded stacking direction. Reactive carbon species arriving at the edge of the graphene layer are easily bonded to the edge, and eventually the graphene layer would expand preferably along the direction of radical diffusion, perpendicular to the electrode plane. On the other hand, lowlying inclined graphene sheets were shadowed by the high-grown vertical graphene
5.3 Nucleation of Carbon Nanowalls
97
sheets. As a result, the amounts of reactive carbon species arriving at the low-lying inclined graphene sheets decreased, resulting in the termination of growth for the inclined smaller nanowalls. As growth time increased, spreading vertical nanowalls met one another, eventually resulting in the formation of linked nanowalls similar to a maze.
5.3 5.3.1
Nucleation of Carbon Nanowalls Investigation of Nucleation Stage of Carbon Nanowall Growth Employing C2F6/H2
During the early growth stage after the nucleation up to the steady-state growth, the growth for the inclined smaller nanowalls was terminated, while the vertical nanowalls preferentially continued to grow. Therefore, with the increase of growth time in the early stage, the spacing between nanowalls at their top increased gradually, and then became almost saturated, resulting in the formation of two-dimensional carbon sheets standing vertically on the substrate with high aspect ratio. As results of above-mentioned growth experiment using various plasmas and source gas mixtures, the height of nanowalls increased almost linearly with keeping their morphology, as the growth time increased in the steady-state growth condition. In contrast, when the behavior of growth rate for the carbon nanowalls in the very early stage of growth was investigated carefully, it was found that the growth rate of carbon nanowalls shortly after the commencement of growth up to 5 min was lower than that in steady-state conditions, as shown in Fig. 5.19. Nucleation of carbon nanowalls is considered to occur during this period. Significant interest in this section exists in clarifying the nucleation mechanism of carbon nanowalls at the very early stage and controlling the growth of carbon nanowalls for obtaining the self-sustaining carbon nanowall with good crystallinity. Here, carbon nanowalls were deposited using a fluorocarbon by VHF plasmaenhanced CVD with H radical injection, and the morphology and structure of deposits formed in the nucleation stage were investigated in detail. Figure 5.20a–d show tilted SEM images of the deposits formed after 30 s and 1, 2, and 3 min, respectively. During the very early stage of nucleation (Fig. 5.20a), nanoislands that are approximately 10 nm in size and 5 nm in height are formed on the Si substrate in 30 s. Figure 5.20a shows that there are approximately 40 nanoislands in a 10,000 nm2 area. In 1 min, the Si substrate is almost completely covered with nanoislands, resulting in the formation of a thin layer, as shown in Fig. 5.20b. The thickness and the surface roughness of this first layer are approximately 10 and 3 nm, respectively. At this moment, some small two-dimensional nanoflakes have started to form at the aggregations of nanoislands forming the first layer. Subsequently, disordered carbon nanoflakes form [Fig. 5.20c], while the thickness of the first layer remains constant from this moment. The number density
98
5 Growth Mechanism of Carbon Nanowalls
b 1 min
a 30 sec
100 nm
100 nm
d 3 min
c 2 min
100 nm
100 nm
Fig. 5.20 SEM images of the deposits formed on a Si substrate using a C2F6/H2 system for (a) 30 s, (b) 1 min, (c) 2 min, and (d) 3 min [28] – reproduced with permission from American Institute of Physics Fig. 5.21 Cross-sectional TEM image of carbon nanowalls and an interface layer synthesized for 30 min, which indicates the interfacial morphology between carbon nanowalls and the Si substrate [28] – reproduced with permission from American Institute of Physics
20 nm
Carbon nanowalls
Interface layer Si substrate
of randomly oriented start-up carbon nanowalls is estimated to be approximately 10 per 10,000 nm2 in Fig. 5.20c. In 3 min, the wall structures grow preferentially in a vertical direction, while their number density, which was estimated to be approximately 5 per 10,000 nm2, was less than that at 2 min. Thus, vertical carbon nanowalls, which are a percentage of nucleated nanoflakes, grow continuously. A cross-sectional TEM image of carbon nanowalls synthesized for 30 min is shown in Fig. 5.21, and it reveals the interfacial morphology between the carbon nanowalls and the Si substrate. In Fig. 5.21, the interface layer is still observable beneath the carbon nanowalls, and the interface layer is approximately 10 nm thick, which is considered to be identical to the thickness of the first layer formed during the nucleation stage, as shown in Fig. 5.20a–d. The interface layer thickness remains unchanged relative to that of the first layer formed in the nucleation stage. The carbon nanowall film was characterized by secondary ion mass spectrometry (SIMS) to investigate the atomic composition of carbon nanowalls and interface
5.3 Nucleation of Carbon Nanowalls
99
layer. The depth distributions of the relative atomic compositions of C, Si, and F were measured, as shown in Fig. 5.22. The F signal was detected at the interface between Si substrate and carbon first layer according to the SIMS depth profile, suggesting that F atoms exist on the Si substrate surface, and not in the carbon interface layer. Reactive ion etching of carbon nanowalls was performed employing N2/H2 mixture gas in the same capacitively coupled VHF plasma-enhanced CVD chamber as that used for the carbon nanowall growth, without using the surface wave microwave plasma [29]. The flow rates of N2 and H2 were 80 and 20 sccm, respectively, and the total gas pressure was kept at 6.7 Pa. In addition to VHF (100 MHz) power applied to the upper electrode, radio-frequency (RF: 2 MHz) bias power was applied to the lower electrode (the stage). The powers of VHF and RF bias were 160 and 150 W, respectively. The height of the etched carbon nanowall film was evaluated by SEM images and spectroscopic ellipsometry. Carbon nanowall films with different height (16, 29, 50, and 89 nm) were etched employing N2/ H2 plasma. Etch rate curves for the carbon nanowall bulk film samples with different heights were obtained by measuring the thickness of the remaining carbon nanowall film for differing amounts of etching time (0–1 min). Figure 5.23 shows the height of carbon nanowall films as a function of etching time. As a result of etching, even in short period most of carbon nanowalls were removed. Since it was difficult to measure the thickness of remaining very thin layer by the cross-sectional SEM observation, the thickness of remaining materials after etching was evaluated by spectroscopic ellipsometry. After etching for 20 s, the height of all carbon nanowall film samples decreased to 10 nm regardless of the initial height of carbon nanowall films. On the other hand, with further increase of etching time, the etch rate decreased drastically, and the height after etching for 60 s was approximately 7 nm in all samples. The height of 10 nm for the remaining layer corresponded to the thickness of the amorphous carbon interface layer formed between carbon nanowall film and Si substrate. The results indicate that the carbon nanowalls were rapidly etched away for less than 20 s, while the interface layer was etched slowly after the removal of carbon nanowalls. The etch rates of carbon nanowalls
107
CNWs interface Si
Intensity (cps)
C
layer
106
F Si
105 104 103 102
Fig. 5.22 SIMS depth profile of carbon nanowalls and an interface layer
101
0
1
2
3 4 Time (min)
4
6
Fig. 5.23 Height of carbon nanowall films etched by N2/ H2 plasma as a function of etching time. Initial heights of carbon nanowall film samples were 16, 29, 50, and 89 nm
5 Growth Mechanism of Carbon Nanowalls Height of carbon nanowalls (nm)
100
Etching time (sec)
and the interface layer were more than 240 nm/min and 4.5 nm/min, respectively, in the N2/H2 plasma chemistry. Figure 5.24a, b show tilted SEM images of carbon nanowall film samples etched by N2/H2 plasma for 20 and 60 s, respectively, which correspond to the surface of amorphous carbon interface layer formed between carbon nanowall film and Si substrate. The morphology of the surface of the interface layer undergoing etching exhibited the aggregation of nanoislands, which was completely different from that of the carbon nanowall film. As shown in Fig. 5.24a, b, the density of the nanoislands in the layer etched for 60 s was lower than that for 20 s. In the case of etching the amorphous interface layer, with the increase of etching time, removal of nanoislands would proceed gradually. It is noted that in estimating the thickness of remaining interface layer by spectroscopic ellipsometry the interface layer was assumed to be a simple monolayer without voids instead of bumpy surface consisting of the aggregation of nanoislands. Accordingly, the height of the etched carbon nanowalls estimated by the spectroscopic ellipsometry was an averaged value, corresponding to the thickness of the equivalent squashed material without voids and roughness. Therefore, in terms of the film density, the decrease of height from 10 nm in 20 s to 7 nm in 60 s in Fig. 5.23 would roughly correspond to the removal of 30% nanoislands in 40 s. After the synthesis of carbon nanowall film on the Si substrate, the carbon nanowall film was taken out of the main chamber into the atmosphere, and tetrafluoroethylene-related polymer film was attached to the top of carbon nanowall film. Then, polymer-covered carbon nanowall film on Si is dunked in concentrated nitric acid solution, resulting in the exfoliation of carbon nanowall film from the Si substrate in a few minutes. Figure 5.25 shows the SEM image of exfoliated freestanding carbon nanowall film providing the morphology of its underside. After the exfoliation, the interface layer remained on the underside of the detached carbon nanowall film, and the interfacial surface was smooth and free from pinholes, suggesting that the chemical bonding between the interface layer and the base of carbon nanowalls is stronger than that between the interface layers and the Si substrate. In terms of physical strength of carbon nanowalls, the interface layer is
5.3 Nucleation of Carbon Nanowalls Fig. 5.24 Tilted SEM images of carbon nanowall film samples etched by N2/H2 plasma for (a) 20 s and (b) 60 s, which correspond to the etched surface of amorphous carbon interface layer formed between carbon nanowall film and Si substrate
101
a
100 nm
b
100 nm
Fig. 5.25 SEM image of exfoliated free-standing carbon nanowall film, providing the morphology of its underside
300 nm
effective for sustaining carbon nanowalls firmly. In addition, in the case of attaching carbon nanowalls to the different materials, the interface layer would serve as an adhesion intermediate between carbon nanowalls and another material to be attached.
5.3.2
Comparison of Carbon Nanowall Growth Employing C2F6/H2 with and Without O2 Gas Addition
Sometimes, O2 plasma was used to remove the carbonaceous coating from the chamber wall; this enabled carbon nanowalls to be fabricated with a high reproducibility [30]. In contrast, the addition of a small amount of O2 or H2O to hydrocarbon
102
5 Growth Mechanism of Carbon Nanowalls
plasma is effective for etching disordered carbon species [31]. In the case of carbon nanowall growth, its nucleation is influenced by carbon–oxygen reactions, resulting in the improvement of crystallinity of carbon nanowalls. The morphologies and structures of deposits formed with O2 gas addition in the nucleation stage were investigated in the same manner as those produced without O2 described in the above section. Figure 5.26a–d show tilted SEM images of the deposits formed with O2 gas addition for growth times of 30 s and 1, 2, and 3 min, respectively. No deposits were observed on the substrate at 30 s, as shown in Fig. 5.26a. Nucleation of nanoislands during the growth with O2 gas addition took longer than that for the growth without O2. As shown in Fig. 5.26b, nanoislands that are 5–10 nm in size were formed on the Si substrate in 1 min. There are approximately 20 nanoislands in a 10,000 nm2 area in Fig. 5.26b. The number density of nanoislands increased after 2 min growth, but the fractional surface coverage was low and a distinct interface layer was not formed, as shown in Fig. 5.26c. At this stage, some small two-dimensional nanoflakes have started to grow at isolated nanoislands. After 3 min growth, as shown in Fig. 5.26d, isolated wall structures had grown, while the number density of start-up carbon nanowalls was lower than that without O2 (Fig. 5.20d). Ex situ X-ray photoelectron spectroscopy (XPS) analysis was carried out to measure the atomic compositions of the deposits in the nucleation stage. Atomic composition ratios of F/C, O/C, and Si/C of the surface of samples synthesized for different periods were calculated from the ratios of the intensities of C 1s (285 eV), F 1s (690 eV), O 1s (531 eV), and Si 2p (99 eV) peaks of XPS spectra, corrected using the relative intensity factors. Figure 5.27a shows F/C, O/C, and Si/C ratios of the surface of samples formed without O2 addition as functions of growth time. In the case of carbon nanowall synthesis without O2 addition, the Si/ C ratio of the sample surface at 30 s was as low as 0.15. The Si/C ratio decreased at first with the increase of the growth time, and then became almost zero after 2 min growth. The Si/C ratio is considered to be inversely proportional to the
a
b 30 sec
1 min
50 nm
Fig. 5.26 SEM images of the deposits formed on a Si substrate using a C2F6/H2 system with O2 addition for (a) 30 s, (b) 1 min, (c) 2 min, and (d) 3 min [28] – reproduced with permission from American Institute of Physics
c
50 nm
d 2 min
3 min
50 nm
50 nm
5.3 Nucleation of Carbon Nanowalls
b
without O2 3
Atomic composition ratio
Atomic composition ratio
a
103
F/C O/C Si/C
2
1
0 1
2 3 Growth time (min)
30
with O2 3 F/C O/C Si/C
2
1
0 1
2 3 Growth time (min)
5
Fig. 5.27 F/C, O/C, and Si/C ratios on the surface of samples at the nucleation stage formed (a) without and (b) with O2 addition as functions of growth time [28] – reproduced with permission from American Institute of Physics
coverage of Si substrate with carbon deposits. Therefore, the behavior of the Si/C ratio of the sample surface formed without O2 in Fig. 5.27a indicates that the surface of Si substrate was fully covered with carbon deposits in 2 min. The behavior of O/C ratio is similar to that of Si/C ratio. The O signal originates from the native oxide on the surface of Si substrate as well as the contaminant due to the exposure of the samples to laboratory atmosphere up to 2 min growth. The O/C ratio of 0.1 after 2 min growth would be attributable to the contaminant oxygen adsorbed on the carbon nanowall surface during exposure of the sample to laboratory atmosphere. The behavior of F/C ratio also exhibits a similar tendency to that of Si/C ratio. As results from Figs. 5.20a–d, 5.21, and 5.27a, in the case of the synthesis of carbon nanowalls without O2, the start of growth up to 2 min would be spent for forming interface layer composed of carbon nanoislands for the nucleation of carbon nanowalls, and then vertical two-dimensional graphene sheets would originate on the carbon nanoislands with dangling bonds. It is noted that at the very early stage of the nucleation the nanoislands were dotted on the substrate as shown in Fig. 5.20a, while the Si/C ratio of the sample surface at 30 s was as low as 0.15, suggesting that the Si surface was almost covered with carbon-containing material. This discrepancy can be explained by the first formation of very thin fluorocarbon layer covering the Si surface before the start of nanoisland formation. Unfortunately, this thin fluorocarbon layer has not been detected by the cross-sectional TEM observation. The behaviors of F/C, O/C, and Si/C ratios of the sample surface formed with O2 addition are shown in Fig. 5.27b. In the case of carbon nanowall synthesis with O2 addition, the Si/C ratio of the sample surface at 1 min was as high as 3.0. This value was 20 times higher than that for the sample formed without O2 shown in Fig. 5.27a. The Si/C ratio decreased with the increase of the growth time, and then became almost constant at 0.25 after 3 min growth. The high Si/C ratio at the
104
0.5 ID/IG (arb. unit)
Fig. 5.28 IG/ID ratios of carbon nanowalls synthesized with and without O2 gas addition as functions of growth time [28] – reproduced with permission from American Institute of Physics
5 Growth Mechanism of Carbon Nanowalls
0.4 0.3 0.2 0.1
with O2 gas addition without O2 gas addition
0 1
2
3 4 5 Growth time (min)
6
30
very early stage of nucleation in the case of carbon nanowalls synthesized with O2 addition means that the fraction of surface coverage of Si substrate was low and the first thin fluorocarbon layer was removed by the reaction with oxygen. Furthermore, even after the deposition mode moved from the nucleation to the steady-state growth, the fraction of surface coverage was low and the definite interface layer was not formed; carbon nanowalls originated on the isolated nanoislands. The behaviors and values of O/C and F/C ratios were similar to those of samples formed without O2 addition; they decreased with the increase of growth time and became almost zero after 3 min growth. It should be noted that oxygen was not included in the carbon nanowalls in the case of carbon nanowall synthesis with O2 addition. Raman spectra were measured for the deposits at the nucleation stage of growth. In the case of the carbon nanowalls synthesized without O2, neither D band nor G band were observed for the Raman spectra of nanoislands formed on the substrate for 1 min or less, in spite of the fact that carbon was detected on these samples by XPS analysis. Similarly, the same holds for the case of the deposits synthesized with O2 gas addition for 2 min or less. Therefore, the nanoislands and the interface layer underlying two-dimensional nanographene were considered to be amorphous carbon. Figure 5.28 shows the intensity ratios of G band (IG) to D band (ID) of carbon nanowalls (deposits) synthesized with and without O2 gas addition as functions of growth time. In the case of the carbon nanowalls synthesized without O2, it was found that the formation of two-dimensional graphite has started after 2 min growth, and the IG/ID ratio for the carbon nanowalls increased gradually with increase of growth time. On the other hand, in the case of the carbon nanowalls synthesized with O2 gas addition, the formation of two-dimensional graphite has started after 3 min growth. In addition, the IG/ID ratio for the carbon nanowalls synthesized with O2 gas addition was higher than that for the carbon nanowalls synthesized without O2, which indicates that O2 gas addition during the carbon nanowall growth is effective for the high graphitization of carbon nanowalls.
5.3 Nucleation of Carbon Nanowalls
5.3.3
105
Nucleation Model of Carbon Nanowalls
The results shown in Figs. 5.20, 5.27, and 5.28 reveal that in the initial growth stages of carbon nanowalls without O2 gas, nanoislands of amorphous carbon are first nucleated, an interface layer composed of an aggregation of carbon nanoislands is formed, and carbon nanowalls then start to grow at the nuclei on the interface layer. Figure 5.29a depicts the initial growth process of carbon nanowalls synthesized without O2. A model for the initial growth mechanism is as follows. (1) In the beginning, CFx radicals are adsorbed on the Si substrate, forming a very thin fluorocarbon layer. Carbon species are generated from CFx radicals adsorbed on or migrating on the surface through the F abstraction reaction by H radicals and condensed to form nanoislands with dangling bonds. (2) The substrate surface is
a
b
30 sec Fluorocarbon layer Nano-island
30 sec
Nano-island
Si
Si
1 min
1 min
Nucleus
Si
Si
2 min
2 min
Carbon nanowall
Fig. 5.29 Illustrations of the initial growth models of CNWs synthesized on a Si substrate (a) without and (b) with O2 gas addition [28] – reproduced with permission from American Institute of Physics
Carbon nanowall
Si
Si
3 min
3 min
Carbon nanowall
Si
Carbon nanowall
Si
106
5 Growth Mechanism of Carbon Nanowalls
completely covered with carbon nanoislands, forming an interface layer with a rough surface on which there are a considerable number of dangling bonds that act as nucleation sites for carbon nanoflakes. (3) Small, disordered carbon nanoflakes are nucleated at these dangling bonds, followed by two-dimensional growth and subsequent formation of nanographene sheets. (4) Among the randomly orientated nucleated graphene sheets, those standing almost vertically on the substrate grow faster to form vertically standing nanosheets. This is due to the different growth rates along the strongly bonded planes of expanding graphene sheets and along the weakly bonded stacking direction. Reactive carbon species arriving at the edge of the graphene layer bond easily to the edge, and eventually the graphene layer will expand preferentially in the radical diffusion direction, which is perpendicular to the electrode plane. On the other hand, low-lying inclined nanoflakes are overshadowed by the fast-growing vertical graphene sheets, terminating the growth of the smaller inclined nanowalls. In the initial growth process of carbon nanowalls with O2 gas, the results in Figs. 5.26–5.28 show that a distinct interface layer was not formed because of the low surface coverage of the Si substrate by carbon nanoislands and carbon nanowalls started to form on isolated nanoislands. Figure 5.29b depicts the initial growth process of carbon nanowalls synthesized with O2 gas addition. (1) Carbon nanoislands were formed on the Si surface, while the number density of nanoislands was lower than that in the case without O2. Oxygen cleans the surface of the Si substrate and etches the amorphous carbon, thereby suppressing the size and number density of carbon nanoislands. (2) A definite interface layer was not formed in a 2-min deposition. Because oxygen etches the amorphous carbon and reduces the number of defects, carbon nanoflake nucleation was suppressed to some degree. (3) Isolated carbon nanoislands still exist, and the carbon nanowalls form on them. (4) The nucleation carbon nanowall density is low compared with the case without O2 addition, and each carbon nanowall grows without an interface layer having been formed. Hence, it may be possible to control nucleation by varying the O radical injection in a controlled manner. Because carbon nanowalls originate on the nuclei, the morphology control and selective growth of carbon nanowalls will be possible, which will be useful for realizing electrical devices made from carbon nanowalls. In this section, carbon nanowalls fabricated using fluorocarbon plasma with H radical injection are the subject of discussion on nucleation and growth mechanism. In contrast, several groups have synthesized carbon nanowalls using hydrocarbon gases such as CH4 in microwave plasma, inductively coupled plasma (ICP), electron-beam-excited plasma, and dc plasma systems [16, 17, 20, 27, 32, 33]. We also synthesized carbon nanowalls using a 13.56-MHz ICP with a CH4/H2/Ar system and confirmed the existence of an interface layer under the carbon nanowalls after the growth of a few minutes, as shown in Fig. 5.30. Consequently, the initial growth process using a CH4 ICP is considered to be similar to that using C2F6 RI-PECVD described in this section. Each method has its own carbon precursor species for carbon nanowall growth; CHx and C2 radicals are regarded as the most important species in the CH4/H2 system. On the other hand, Zhu et al. [34] recently
5.4 Nucleation Mechanism of Carbon Nanowall Growth Under Ion Irradiation
107
Fig. 5.30 Tilted SEM image of carbon nanowalls grown using a 13.56 MHz ICP with a CH4/H2/Ar system
50 nm
suggested that the vertical orientation of carbon nanosheets results from the local electric field near the substrate surface. At the onset of carbon nanowall nucleation in the initial growth stage, ionic species and the local electric field near the substrate surface may contribute to the nucleation of carbon nanowalls. In the following section, the effect of ionic species on the nucleation of carbon nanowalls is discussed.
5.4
5.4.1
Nucleation Mechanism of Carbon Nanowall Growth Under Ion Irradiation Carbon Nanowall Formation Using Multi-Beam CVD Technique
In the previous sections, the effects of carbon-containing species and H atoms on the growth of carbon nanowalls have been discussed. In the case of carbon nanowall growth employing C2F6/H2 system, CF3 radicals and H atoms are considered to be important species. In addition to the dominant radicals, however, at the onset of carbon nanowall nucleation in the initial growth stage, ionic species may contribute to the nucleation of carbon nanowalls. The ionic species bombard the substrate or growing surface, resulting in the enhancement of deposition, etching, or surface modification of growing surface physically and chemically. To elucidate the role of ionic species in the nucleation of carbon nanowalls, multi-beam CVD system is constructed, where H and fluorocarbon radical sources and an ion source are installed and the heated substrate is exposed to specific radicals and ions at the same time in a controlled manner. Carbon nanowalls were synthesized by the simultaneous irradiation of H and fluorocarbon radicals and ions. Figure 5.31 shows a schematic of multi-beam CVD system equipped with two radical sources and an ion source [35]. Two identical radical sources for H and fluorocarbon radicals, which were mounted on both sides of the chamber, consisted of radio frequency (RF: 13.56 MHz) inductively coupled
108
5 Growth Mechanism of Carbon Nanowalls Ar Ion source C2F6
Fluorocarbon radical source
H2
H atom source
Ar+ ion CF3 radical
H atom substrate
heater
Fig. 5.31 Schematic illustration of multi-beam CVD system equipped with two radical sources and an ion source [35] – reprinted with permission from Institute of Pure and Applied Physics
plasma (ICP) and two grounded metal meshes in the head to retard ejection of electrons and ions. C2F6 and H2 gases were introduced into each source separately. The dominant radical from the dissociation of C2F6 gas was evaluated to be CF3. The radicals generated in each source irradiated the heated Si substrate at an angle of 30 from the horizontal plane. On the other hand, the ion source consisting of RF (13.56 MHz) ICP was mounted on the top of the chamber. The plasma potential in the ICP for ion source was changed in the range of 0–300 V by applying DC voltage. A metal mesh connecting to the ground was installed in the head of the ion source. In this experiment, Ar gas was used for the ion source. Generated Ar ions were accelerated between the ICP and the metal mesh on the head, and they irradiated vertically the substrate with an energy ranging from 0 to 300 eV. The energetic Ar ions dominantly donate physical momentum effects, resulting in the enhancement of chemical reaction under the H and fluorocarbon radical irradiations. Thereby, we focused on the effects of Ar ion irradiation with controlled energy and flux on the formation of carbon nanowalls under simultaneous irradiation of H radicals and fluorocarbon radicals. Growth experiment was carried out under simultaneous irradiations of H and fluorocarbon radicals and Ar ions. The flow rates of H2, C2F6, and Ar were 6, 10, and 5 sccm, respectively, and the total gas pressure was 2.5 Pa. The RF powers for both radical sources and ion source were 200 and 300 W, respectively, and the substrate temperature was kept at approximately 580 C. At this condition, CF3 radical density around the substrate was estimated to be on the order of 1011 cm3 by appearance mass spectrometry [12], while the H radical density measured by vacuum ultraviolet absorption spectroscopy [11] was 4 1011 cm3. The flux and energy of Ar ions irradiating the heated Si substrate were kept at 3.8 mA/cm2 and 200 eV, respectively. Figure 5.32a, b show tilted SEM images of the deposits formed for 15 and 50 min, respectively. As shown in Fig. 5.32a, several nanoislands, approximately
5.4 Nucleation Mechanism of Carbon Nanowall Growth Under Ion Irradiation
109
10 nm in size and 5 nm in height, were formed on the substrate in 15 min. This morphology is similar to that just before the onset of nanographene nucleation in the case using VHF plasma-enhanced CVD with H radical injection employing C2F6/H2 system shown in Fig. 5.20a. X-ray photoelectron spectroscopy (XPS) showed that these nanoislands were mainly composed of carbon. On the other hand, carbon nanowalls were actually formed after the growth for 50 min as shown in Fig. 5.32b. Thus, it is confirmed that carbon nanowlls were grown by multi-beam CVD with the simultaneous irradiation of H and fluorocarbon radicals and Ar ions. Figure 5.33 shows a time behavior of refractive index of deposits formed by multi-beam CVD system, which was obtained from in situ ellipsometric analysis by considering structural factors of the film. As shown in Fig. 5.33, the refractive index of deposits was almost constant at approximately 2.0 up to 20 min; this value was found to be similar to that of amorphous carbon film [36]. In contrast, after 20-min growth the refractive index of deposits decreased abruptly and then became saturated at approximately 1.4 with further increase of growth time. The result in Fig. 5.33 indicates that the structural phase transition occurs from “nucleation
a
b
50 nm
50 nm
Fig. 5.32 Tilted-view SEM images of deposits formed for (a) 15 and (b) 50 min by multi-beam CVD system equipped with two radical sources and an ion source. The flow rates of H2, C2F6, and Ar were 6, 10, and 5 sccm, respectively, and the total gas pressure was 2.5 Pa. The flux and energy of Ar ions irradiating the heated Si substrate were kept at 3.8 mA/cm2 and 200 eV, respectively [35] – reprinted with permission from Institute of Pure and Applied Physics 2.4 Nucleation phase
2.2 Refractive index
Fig. 5.33 Time behavior of refractive index of deposits formed by multi-beam CVD system, which was obtained from in situ ellipsometric analysis by considering structural factors of the film. The flow rates of H2, C2F6, and Ar were 6, 10, and 5 sccm, respectively, and the total gas pressure was 2.5 Pa. The flux and energy of Ar ions irradiating the heated Si substrate were kept at 3.8 mA/cm2 and 200 eV, respectively
Growth phase
2.0 1.8 1.6 1.4 1.2
0
10
40 20 30 50 Growth time (min)
60
110
5 Growth Mechanism of Carbon Nanowalls
phase” to “growth phase” at the early stage of carbon nanowall growth. The nucleation phase consisted of the formation stage of nanoislands of amorphous carbon on the plain substrate. The SEM image in Fig. 5.32a represents the typical morphology of deposits in the nucleation phase. After a certain duration of nucleation, vertically standing nanographene started to grow on the nanoislands of amorphous carbon, resulting in the transition to growth phase. It is noted that the carbon nanowall was not formed by the multi-beam CVD without ion irradiation.
5.4.2
Effect of Ions on the Growth of Carbon Nanowalls
Without Ar ion irradiation, in the case of deposition by multi-beam CVD, carbon nanowalls were not grown for at least 50 min. In order to investigate the effects of Ar ion irradiation on the nucleation and growth of carbon nanowalls separately, growth process was divided into two steps, the first step for nucleation phase (0–15 min) and the second step for growth phase (15–50 min), and growth experiment was carried out with and without Ar ion irradiation for each step. As a result, carbon nanowalls were not grown without Ar ion irradiation in the first step, indicating that the ion irradiation is crucial for the nucleation of carbon nanowalls. To investigate the effect of ion irradiation in the nucleation phase, growth experiment was conducted by multi-beam CVD for 15 min with and without the Ar ion irradiation. In this case, the flux and energy of Ar ions irradiating the heated Si substrate were kept at 1.8 mA/cm2 and 200 eV, respectively. Furthermore, the flow rates of H2, C2F6, and Ar were 6, 5, and 10 sccm, respectively, and the total gas pressure was 2.0 Pa; in order to prevent the phase transition from nucleation to growth mode in this period, the C2F6 flow rate was reduced, the Ar flow rate was increased, and the Ar ion flux was reduced, compared with the condition used for the previous growth experiment conducted in single step shown in Figs. 5.32 and 5.33. Tilted-view scanning tunneling microscopy (STM) images of the deposits formed for 15 min with and without the Ar ion irradiation are shown in Fig. 5.34a, b,
a
b 10
10 100
0
100
0 100
100 0 (nm)
0 (nm)
Fig. 5.34 Tilted-view STM images of deposits formed for 15 min by multi-beam CVD system (a) with and (b) without ion irradiation at the flux and energy of Ar ions of 1.8 mA/cm2 and 200 eV, respectively. The flow rates of H2, C2F6, and Ar were 6, 5, and 10 sccm, respectively, and the total gas pressure was 2.0 Pa [35] – reprinted with permission from Institute of Pure and Applied Physics
5.4 Nucleation Mechanism of Carbon Nanowall Growth Under Ion Irradiation
111
Ar+ ion energy (eV)
respectively. As shown in Fig. 5.34a, in the case of deposition with Ar ion irradiation, nanoislands were formed on the substrate for short period of 15 min, and their sizes and refractive index were found to be similar to those of the nanoislands shown in Fig. 5.32a. In contrast, in the case of deposition without Ar ion irradiation, no nanoislands were formed (Fig. 5.34b). Therefore, we regard the 15-min deposition with Ar ion irradiation under the above-mentioned condition as a valid nucleation process. It is noted that carbon nanowalls were not grown for 50 min under the identical condition to that used for the above experiment shown in Fig. 5.34a. Next, the above-mentioned 15-min nucleation process with Ar ion irradiation was followed successively by the second step of growth phase for 35 min at various Ar ion fluxes and energies under the previous condition for the flow rates of H2, C2F6, and Ar. The structures of deposits formed by multi-beam CVD with simultaneous irradiation of H and fluorocarbon radicals and Ar ions at various Ar ion fluxes and energies in the growth phase are plotted in Fig. 5.35. White and gray pillars in Fig. 5.35 represent the formation of carbon nanowall (CNW) and amorphous carbon films, respectively, and the height of pillars corresponds to the relative height of deposits (or film thickness). As can be seen in Fig. 5.35, carbon nanowalls could be grown in the Ar ion flux region of approximately 3–4 mA/cm2 and energy region of approximately 150–250 eV, which is indicated by oval marked “CNW.” For the growth of carbon nanowalls, a certain amount of Ar ion flux (more than 2.5 mA/cm2 in this case) was required. On the other hand, excess ion flux resulted in the formation of amorphous carbon film. In contrast, with increasing Ar ion energy more than 250 eV, films were not formed on the substrate. These results indicated that Ar ion irradiation enhanced surface reaction in the growth phase including the adsorption of CF3 radicals on the nucleated sites and the subtractive reaction of fluorine with H atoms, whereas too much Ar ion irradiation prevented the formation of carbon nanowalls.
300
No deposition CNW
200 Amorphous carbon
100 0
1
4 2 3 5 Ar+ ion flux (μA/cm2)
6
Fig. 5.35 A summary of structures of deposits formed by multi-beam CVD with simultaneous irradiation of H and fluorocarbon radicals and Ar ions at various Ar ion fluxes and energies in the growth phase. White and gray pillars represent the formation of carbon nanowall (CNW) and amorphous carbon films, respectively, and the height of pillars corresponds to the relative height of deposits (or film thickness)
112
5 Growth Mechanism of Carbon Nanowalls
The Ar ion irradiation would induce the formation of dangling bonds on the growing surface, resulting in the formation of nucleation sites. Furthermore, the Ar ion irradiation would also enhance the adsorption of CFx radicals on the surface due to the fact that the sticking coefficient of CF3 increases by the ion bombardment, although it is relatively low without the ion bombardment [37]. However, Ar ions with low energy cannot induce such nucleation, while Ar ions with very high energy inhibit the growth of carbon nanowalls due to etching effects. In contrast, when the Ar ion flux is too large, the deposition rate of undesirable carbons also becomes higher, and then aggregation of nanographenes with random orientation or amorphous carbon films would be formed. Therefore, vertical growth of graphene sheets to form carbon nanowalls requires Ar ion irradiation with appropriate energy and flux.
5.5
Area-Selective Growth of Carbon Nanowalls
Unlike the carbon nanotube growth, carbon nanowalls can be grown on a variety of substrates without the use of catalyst, and the distribution of the nanowalls is relatively uniform over the whole substrate surface. At the same time, it is difficult to realize area-selective growth of carbon nanowalls. Meanwhile, we have experienced that the morphology and growth rate would occasionally depend on the substrate materials. As described in Sect. 2.6 of Chap. 2, in the case of atmospheric pressure plasma-enhanced CVD, carbon nanowalls were successfully grown on the Ti-coated Si substrate, but not on the Si, for 30 min. Furthermore, Ti thin layer or nanoparticles were essential to the nucleation of carbon nanowalls, while Ti bulk substrate was not so effective as the substrate for the carbon nanowall growth. These facts would give us useful hints to realize area-selective or positioncontrolled growth of carbon nanowalls. Figure 5.36 shows an SEM image of carbon nanowalls grown on the patterned Ti film. The patterned Ti film was deposited on the Si substrate through a shadow mask by KrF excimer laser ablation using Ti target. The thickness of Ti film was
Fig. 5.36 SEM image of carbon nanowalls grown on the patterned Ti film by inductively coupled plasmaenhanced CVD employing CH4/H2/Ar mixture for 30 min. The Ti film of about 10 nm thick was deposited area-selectively on the Si substrate by KrF excimer laser ablation using Ti target
10 µm Si
Ti/Si
5.5 Area-Selective Growth of Carbon Nanowalls
113
approximately 10 nm. Carbon nanowalls were grown on the Si substrate that was partly covered with Ti film, by inductively coupled plasma-enhanced CVD employing CH4/H2/Ar mixture for 30 min. As shown in Fig. 5.36, carbon nanowall growth was enhanced on the Ti film, compared with the carbon nanowalls grown on the Si surface. The height of the carbon nanowalls grown on the Ti film was 1.6 times greater than that on the Si surface. It is considered that the nucleation rate of carbon nanowalls would depend on the class and forms of substrate materials, although the enhancement mechanism is unclear at present. Similar enhancement was observed on the thin layer or nanoparticles of Co, Pt, and Fe. Previously, Wu et al. [38] demonstrated the selective growth of carbon nanowalls on the areas separated by deep trenches, which indicates that trenches are effective to separate the areas of carbon nanowall film. As described in the last section, ion irradiation is considered to be one of important factors for the nucleation of carbon nanowalls. Since the flux of ions reaching the bottom surface of narrow or deep trenches would be reduced compared with that of ions irradiating the original surface, the nucleation of carbon nanowalls at the bottom of trenches would be inhibited. Figure 5.37a–f show SEM images of carbon nanowalls grown on the patterned Ti substrate. Ti film was deposited by the sputtering method on the patterned SiO2
a
b C
A
500 nm
500 nm
c
B
d
500 nm
e
500 nm
f
500 nm
100 nm
Fig. 5.37 SEM images of carbon nanowalls area-selectively grown on the patterned Ti film with trenches differing in width
114
5 Growth Mechanism of Carbon Nanowalls
with trenches differing in width, and the thickness of the Ti film was approximately 50 nm. Carbon nanowalls were grown on the Ti film with trenches by electronbeam-excited plasma-enhanced CVD employing CH4/H2 mixture [39]. As shown in Fig. 5.37a, carbon nanowalls were grown selectively on the Ti square regions, while the carbon nanowalls were slightly grown at the intersections of trenches indicated by an open circle. In this case, the trench width was approximately 300 nm. Figure 5.37b shows an SEM image of carbon nanowalls grown on the Ti stripe pattern with various trench widths. Carbon nanowalls were not grown on the bottom of trenches with a width of 300 nm indicated by “A”. In contrast, carbon nanowalls were formed at the bottom of wider trenches (“B” and “C” in Fig. 5.37b). Figure 5.37c shows a cross-sectional SEM image of carbon nanowalls grown on the Ti stripe pattern with wider trenches. The carbon nanowall growth was not inhibited on the bottom of wide trenches. As shown in Fig. 5.37d, in the case of the trenches with widths of 300–400 nm, carbon nanowalls were not grown on the bottom of trenches, allowing the growth of carbon nanowalls in the selected areas. On the other hand, when the width of trenches decreased less than 100 nm, these trenches could no longer separate the growth area (Fig. 5.37e). By controlling the trench width carefully around 200 nm, isolated carbon nanowall bridging a trench between two lines could be formed reproducibly, as shown in Fig. 5.37f. This structure would be useful to evaluate the electrical property of single carbon nanowall sheet. In this way, carbon nanowalls can be grown selectively on the substrate through using pattered catalyst or with the help of deep trenches. These findings will broaden the field of application using carbon nanowalls.
References 1. Zhou D, Krauss AR, Corrigan TD, McCauley TG, Chang RPH, Gruen DM (1997) Microstructure and field emission of nanocrystalline diamond prepared from C60 precursors. J Electrochem Soc 144: L224–L228 2. McCauley TG, Gruen DM, Krauss AR (1998) Temperature dependence of the growth rate for nanocrystalline diamond films deposited from an Ar/CH4 microwave plasma. Appl Phys Lett 73: 1646–1468 3. Wu K, Wang EG, Cao ZX, Wang ZL, Jiang X (2000) Microstructure and its effect on field electron emission of grain-size-controlled nanocrystalline diamond films. J Appl Phys 88: 2967–2974 4. Teii K, Shimada S, Nakashima M, Chuang ATH (2009) Synthesis and electrical characterization of n-type carbon nanowalls. J Appl Phys 106:084303-1–084303-6 5. Goyette AN, Matsuda Y, Anderson LW, Lawler JE (1998) C2 column densities in H2/Ar/CH4 microwave plasmas. J Vac Sci Technol A 16: 337–340 6. Goyette AN, Lawler JE, Anderson LW, Gruen DM, McCauley TG, Zhou D, Krauss AR (1998) C2 Swan band emission intensity as a function of C2 density. Plasma Sourc Sci Technol 7: 149–153 7. Shiomi T, Nagai H, Kato K, Hiramatsu M, Nawata M (2001) Detection of C2 radicals in lowpressure inductively coupled plasma source for diamond chemical vapor deposition. Diam Relat Mater 10: 388–392
References
115
8. Hiramatsu M, Kato K, Lau CH, Foord JS, Hori M (2003) Measurement of C2 radical density in microwave methane/hydrogen plasma used for nanocrystalline diamond film formation. Diam Relat Mater 12: 365–368 9. Hermann R (1990) The formation of emitting CF3 and CF2 radical states by pulsed electron beam excitation. Int J Radiat Appl Instrum C Radiat Phys Chem 36: 227–231 10. Takashima S, Hori M, Goto T, Kono A, Ito M, Yoneda K (1999) Vacuum ultraviolet absorption spectroscopy employing a microdiacharge hollow-cathode lamp for absolute density measurements of hydrogen atoms in reactive plasmas. Appl Phys Lett 75: 3929–3931 11. Takashima S, Hori M, Goto T, Kono A, Yoneda K (2001) Absolute concentration and loss kinetics of hydrogen atom in methane and hydrogen plasmas. J Appl Phys 90: 5497–5503 12. Sugai H, Toyoda H (1992) Appearance mass spectrometry of neutral radicals in radio frequency plasmas. J Vac Sci Technol A 10: 1193–1200 13. Hiramatsu M, Hori M (2006) Fabrication of carbon nanowalls using novel plasma processing. Jpn J Appl Phys 45: 5522–5527 14. Takeuchi W, Sasaki H, Kato S, Takashima S, Hiramatsu M, Hori M (2009) Development of measurement technique for carbon atoms employing vacuum ultraviolet absorption spectroscopy with a microdischarge hollow-cathode lamp and its application to diagnostics of nanographene sheet material formation plasmas. J Appl Phys 105: 1133051–113305-6 15. Naito S, Ikeda M, Ito N, Hattori T, Goto T (1993) Effect of rare gas dilution on CH3 radical density in RF-discharge CH4 plasma. Jpn J Appl Phys 32: 5721–5725 16. Wu YH, Qiao PW, Chong TC, Shen ZX (2002) Carbon nanowalls grown by microwave plasma enhanced chemical vapor deposition. Adv Mater 14: 64–67 17. Tanaka K, Yoshimura M, Okamoto A, Ueda K (2005) Growth of carbon nanowalls on a SiO2 substrate by microwave plasma-enhanced chemical vapor deposition. Jpn J Appl Phys 44: 2074–2076 18. Ando Y, Zhao X, Ohkohchi M (1997) Production of petal-like graphite sheets by hydrogen arc discharge. Carbon 35: 153–158 19. Shang NG, Au FCK, Meng XM, Lee CS, Bello I, Lee ST (2002) Uniform carbon nanoflake films and their field emissions. Chem Phys Lett 358: 187–191 20. Wang JJ, Zhu MY, Outlaw RA, Zhao X, Manos DM, Holloway BC, Mammana VP (2004) Free-standing subnanometer graphite sheets. Appl Phys Lett 85: 1265–1267 21. Hori M, Goto T (2002) Measurement techniques of radicals, their gas phase and surface reactions in reactive plasma processing. Appl Surf Sci 192: 135–160 22. Hori M, Goto T (2006) Progress of radical measurements in plasmas for semiconductor processing. Plasma Sourc Sci Technol 15: S74–S83 23. d’Agostino R, Cramarossa F, Fracassi F, Illuzzi F (1990) Plasma deposition treatment and etching of polymers. In: d’Agostino R (ed) Academic, San Diego, 95–162 24. d’Agostino R, Cramarossa F, Colaprico V, d’Ettole R (1983) Mechanisms of etching and polymerization in radiofrequency discharges of CF4–H2, CF4–C2F4, C2F6–H2, C3F8–H2. J Appl Phys 54: 1284–1288 25. Hiramatsu M, Shiji K, Amano H, Hori M (2004) Fabrication of vertically aligned carbon nanowalls using capacitively coupled plasma-enhanced chemical vapor deposition assisted by hydrogen radical injection. Appl Phys Lett 84: 4708–4710 26. Hori M, Goto T (2007) Insights into sticking of radicals on surfaces for smart plasma nanoprocessing. Appl Surf Sci 253: 6657–6671 27. Mori T, Hiramatsu M, Yamakawa K, Takeda K, Hori M (2008) Fabrication of carbon nanowalls using electron beam excited plasma-enhanced chemical vapor deposition. Diam Relat Mater 17: 1513–1517 28. Kondo S, Kawai S, Takeuchi W, Yamakawa K, Den S, Kano H, Hiramatsu M, Hori M (2009) Initial growth process of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition. J Appl Phys 106:094302-1–094302-6
116
5 Growth Mechanism of Carbon Nanowalls
29. Kondo S, Sasaki H, Kano H, Hiramatsu M, Hori M. Reactive ion etching properties of carbon nanowalls, to be published 30. Kondo S, Hori M, Yamakawa K, Den S, Kano H, Hiramatsu M (2008) Highly reliable growth process of carbon nanowalls using radical injection plasma-enhanced chemical vapor deposition. J Vac Sci Technol B 26: 1294–1300 31. Hiramatsu M, Inayoshi M, Yamada K, Mizuno E, Nawata M, Ikeda M, Hori M, Goto T (1996) Hydrogen-radical-assisted radio-frequency plasma-enhanced chemical vapor deposition system for diamond formation. Rev Sci Instrum 67: 2360–2365 32. Chuang ATH, Boskovic BO, Robertson J (2006) Freestanding carbon nanowalls by microwave plasma-enhanced chemical vapour deposition. Diam Relat Mater 15: 1103–1106 33. Kobayashi K, Tanimura M, Nakai H, Yoshimura A, Yoshimura H, Kojima K, Tachibana M (2007) Nanographite domains in carbon nanowalls. J Appl Phys 101: 094306–1–094306-4 34. Zhu M, Wang J, Outlaw RA, Hou K, Manos DM, Holloway BC (2007) Synthesis of carbon nanosheets and carbon nanotubes by radio frequency plasma enhanced chemical vapor deposition. Diam Relat Mater 16: 196–201 35. Kondo S, Kondo H, Hiramatsu M, Sekine M, Hori M (2010) Critical factors for nucleation and vertical growth of two dimensional nano-graphene sheets employing a novel Ar+ beam with hydrogen and fluorocarbon radical injection. Appl Phys Exp 3: 045102-1–045102-3 36. Robertson J (2002) Diamond-like amorphous carbon. Mater Sci Eng 37: 129–281 37. Tatsumi T, Hikosaka Y, Morishita S, Matsui M, Sekine M (1999) Etch rate control in a 27 MHz reactive ion etching system for ultralarge scale integrated circuit processing. J Vac Sci Technol A 17: 1562–1569 38. Wu YH, Yang BJ, Han GC, Zong BY, Ni HQ, Luo P, Chong TC, Low TS, Shen ZX (2002) Fabrication of a class of nanostructured materials using carbon nanowalls as the templates. Adv Funct Mater 12: 489–494 39. Takeuchi W, Mikuni H, Hiramatsu M, Kano H, Tokuda Y, Takeda K, Hori M. Fabrication of bridge-shaped carbon nanowall on trench substrates using electron beam excited plasma enhanced CVD, to be published
Chapter 6
Field Emission
6.1
Field Emission Properties of As-Grown Carbon Nanowalls
Carbon nanowalls have a high density of atomic scale graphitic edges that are potential sites for electron field emission, which might lead to the application in flat panel displays and light sources. So far, a number of publications have reported the field emission properties from carbon nanowalls and related structures [1–17]. Figure 6.1 shows the characteristic curve of the electron emission current for a typical vertically standing carbon nanowall film as a function of the applied field strength. The SEM images of carbon nanowall film sample used for the field emission measurement are shown in the inset of Fig. 6.1. The carbon nanowall film was fabricated on the Si substrate using the electron beam excited plasmaenhanced CVD employing CH4/H2 mixture. The height of the carbon nanowall film and the average spacing between adjacent nanowalls are 2.75 mm and 300 nm, respectively. The thickness of nanowall is approximately 5 nm. The electron emission measurements were performed in a vacuum chamber at 104 Pa. The measurement system consists of a diode structure that is comprised of a spherical stainless steel anode (radius: 3 mm) at a distance of 500 mm from carbon nanowall film sample. The sample was biased with a negative voltage and the maximum applied voltage was 10 kV. The electric field here is expressed as the applied voltage divided by the anode to sample distance, but is different from the actual local electric field applied on the tip of edge of carbon nanowall. The threshold electric field was 4.6 V/mm. Figure 6.2 shows the corresponding Fowler–Nordheim (F–N) plot of the field emission curve shown in Fig. 6.1. The field emission characteristics can be analyzed using the F–N equation [18] ! 2 F Bf3=2 I ¼ SA exp f F
(6.1)
or M. Hiramatsu and M. Hori, Carbon Nanowalls, DOI 10.1007/978-3-211-99718-5_6, # Springer-Verlag/Wien 2010
117
118
6 Field Emission
Fig. 6.1 Field emission characteristic of vertically aligned carbon nanowall film fabricated by electron beam excited-plasma enhanced CVD employing CH4/H2 system. The insets are SEM images of carbon nanowall film sample used for the field emission measurement
Emission current (A)
10−3 10−4 1.5 µm
10−5 10−6
300 nm
10−7 10−8 10−9
0
4 2 6 8 10 12 Applied field strength (V/µm)
ln(I/V2)
Fig. 6.2 Fowler–Nordheim plot of the field emission curve shown in Fig. 6.1
1/V (V–1)
! F2 Bf3=2 J¼A exp ; f F
(6.2)
where I is the emission current, J is the emission current density, F is the local electric field, f is the work function of cathode material, and S is the area of emission. The constant values A and B are 1.54 106 A eV V2 and 6.83 109 eV3/2 V m1, respectively. F is the product of the field enhancement factor b and the applied electric field V/d, F ¼ bV=d;
(6.3)
where V is the applied voltage and d is the distance between anode to cathode (carbon nanowall film sample). Furthermore, the following equation is obtained.
6.1 Field Emission Properties of As-Grown Carbon Nanowalls
I SAb2 ln 2 ¼ ln V d2 f
Bf3=2 d 1 b V
119
! (6.4)
or J A ln 2 ¼ ln F f
! Bf3=2 : F
(6.5)
Figure 6.2 shows the F–N plot of ln(I/V2) versus 1/V. The straight line with negative slope in the emitting region suggests that the emission process from the carbon nanowall film followed the conventional tunneling mechanism. The negative slope of the F–N plot is equal to Bf3/2d/b. Therefore, field enhancement factor b was calculated from the negative slope of the straight line in Fig. 6.2 to be 3,200, assuming the work function f for graphite-based carbon nanowalls being 5 eV. The electron field emission properties of carbon nanowall samples with different morphologies fabricated using the C2F6/H2, CF4/H2, CHF3/H2, and CH4/Ar systems are shown in Fig. 6.3. The I–V characteristics of carbon nanowall samples with different morphologies indicate that the threshold electric field was dependent on the morphology such as the thickness of each carbon nanowall and interspace between the adjacent walls; the carbon nanowall sample grown using C2F6/H2 system exhibited the lowest threshold electric field. On the other hand, in the case of dense carbon nanowalls grown by RF ICP employing CH4/Ar, the threshold electric field was rather high due to the narrow spaces between the walls. The distribution of field emission sites was observed in a diode configuration with flat parallel anode and cathode shown in Fig. 6.4a. The anode was a glass plate
Emission current (µA)
60 C2F6 CHF3 CF4 CH4 (ICP)
50 40 30 20
C2F6/H2
CHF3/H2
CF4/H2
CH4/Ar
10 0
0
1
2 3 4 5 Applied voltage (kV)
6
7
Fig. 6.3 Electron field emission properties of carbon nanowall samples with different morphologies, fabricated using the C2F6/H2, CF4/H2, CHF3/H2, and CH4/Ar systems. SEM images of carbon nanowall samples with different morphologies. The scale bar: 500 nm
120
6 Field Emission
coated with a transparent, conducting indium tin oxide (ITO) film. The conducting ITO anode film was covered with a phosphor layer allowing an image of electron field emission site distribution over the carbon nanowall cathode surface. Figure 6.4b shows a photo of the electrode configuration that is placed in the high vacuum chamber. The FE measurement for the field emission site distribution was performed at a pressure of 104 Pa. Figure 6.4c, d show SEM images of carbon nanowall film sample used for the observation of field emission sites, which was fabricated by RF ICP employing CH4/Ar. Figure 6.5a–c show images of field emission site distribution obtained for 10 10 mm2 carbon nanowall film sample taken at voltages of 3, 4, and 5 kV, respectively, between parallel carbon nanowall cathode and anode separated by a gap of 300 mm. As shown in Fig. 6.5a, in the case of low applied voltage of 3 kV, the emission sites were localized due to the ununiformity of carbon nanowall height distribution. With increasing applied voltage, the number of the emission sites increased and the emission intensity (brightness at spots) increased (Fig. 6.5b, c). From this result, a limited number of emission sites of graphite edges of as-grown carbon nanowalls would contribute to the electron emission even in the steady-state
a
Glass plate
ITO
Phosphor
Anode 300 µm
Fig. 6.4 (a) Illustration showing the electrode configuration with flat parallel anode and cathode for measuring the field emission site distribution. (b) A photo of the electrode configuration that is placed in the high vacuum chamber. (c–d) SEM images of carbon nanowall film sample used for the observation of field emission sites
a 3 kV
5 mm
Carbon nanowall film on Si substrate
spacer
Cathode plate
c
b
d
b
5 mm
4 kV
c
5 kV
5 mm
Fig. 6.5 Images of field emission site distribution obtained for 10 10 mm2 carbon nanowall film sample with different applied voltages: (a) 3 kV, (b) 4 kV, and (c) 5 kV
6.1 Field Emission Properties of As-Grown Carbon Nanowalls
121
emission region, in the case of the carbon nanowall film with less uniform height distribution as shown in Fig. 6.4c. Therefore, it is important to obtain carbon nanowall film with uniform height distribution for the practical use. As indicated by (6.3), the local electric field at the edge of carbon nanowall is given by the product of the field enhancement factor b and the macroscopic applied electric field V/d. In the case of isolated carbon nanotube, the “geometric” field enhancement factor bg is given by L/r, where L and r are length and radius of carbon nanotube, respectively. From the similarity between nanotube and nanowall, the geometric enhancement factor in the case of vertically standing carbon nanowall might be approximately equal to the aspect ratio of carbon nanowall. Since the thickness of carbon nanowall is approximately 5 nm and the height of nanowall film is 2.75 mm for the sample shown in Fig. 6.1, corresponding geometrical field enhancement factor bg may be approximately 500. On the other hand, the filed enhancement factor derived from the negative slope of the straight line of the F–N plot in Fig. 6.2 was 3,200, assuming a work function f for graphite-based carbon nanowalls being 5 eV. The b values derived from the negative slope of the straight line of the F–N plot for the carbon nanowall samples investigated varied from 1,000 to 9,000. Reported values of field enhancement factor for the field electron emitters using carbon nanowalls and related nanostructures are 400–800 [8], 1,300–3,600 [14], 3,600 [13], 7,500 [15], and 30,000–63,000 [3]. Figure 6.6a shows the TEM image of the edge of carbon nanowall grown by electron beam excited plasma-enhanced CVD employing CH4/H2 system, indicating the tapered structure of the carbon nanowall. The edge of carbon nanowall is atomically thin and the thickness at the edge is less than 1 nm, as seen from the magnified TEM image at the edge of carbon nanowall in Fig. 6.6b. Therefore, taking account of the size of carbon nanowall edge obtained from the TEM observation, the geometric field enhancement factor bg is modified to be approximately 3,000, which is similar to the value calculated from the negative slope of the straight line of F–N plot. The contradiction between b and bg has been discussed in the past [2, 7, 12]. This contradiction would be related to the uncertainty of value of work function f used for the derivation from the negative slope of the straight line of the F–N plot. From a combined measurement of the field emitted electron energy distribution and the
a Fig. 6.6 (a) TEM image of the edge of carbon nanowall grown by electron beam excited plasma-enhanced CVD employing CH4/H2 system, indicating the tapered structure of the carbon nanowall. (b) Magnified TEM image at the edge of carbon nanowall
10 nm
b
2 nm
122
6 Field Emission
current–voltage characteristic, the values of work function for multi-walled carbon nanotubes and single-walled carbon nanotubes have been determined to be 5 and 3.7 eV, respectively [19]. Moreover, surface band structure is changed by the field penetration in a high field. The band bending induced by field penetration into the emitting surface will reduce the effective work function of carbon nanotube [20]. In the measurement of field emission characteristics, emission area S is assumed to be constant in the range of applied voltage or applied electric field investigated. On the other hand, the emission area and the number of emission sites depend on the applied voltage, as shown in Fig. 6.5a–c. In the low applied voltage region, the emission area increased with the increase of applied voltage. With further increase of the applied voltage, the emission area would become saturated and equal to the measured surface area of sample. The deviation from the straight line of F–N plot in the low voltage region (high 1/V region) in Fig. 6.2 is probably due to the change of emission area. For the practical use of carbon nanowalls and related two-dimensional carbon nanostrucutres in the emitter application, evaluations of stability and lifetime of emitters are also important. Previously, Bonard et al. [21] reported a gradual degradation with the time of the emission performances of single-walled and multiwalled carbon nanotubes. Single-walled carbon nanotubes showed faster degradation than multi-walled carbon nanotubes, and the emission current density for single-walled carbon nanotube film was reduced to one-third within 10 h. They suggested that the tubes were destroyed gradually through ion bombardment. On one hand, Zhu et al. [22] reported an emission lifetime of 75 h from single-walled carbon nanotube bundles. They attributed the stability of emission performance to the structural perfection of the nanotubes. In the case of carbon nanowall emitters, Chuang et al. [13] reported the long-term stability of the field emission current density over 14 h from three-dimensional carbon nanowall structures. Wang et al. [9] have demonstrated reproducibility and stability of the carbon nanosheets with 1 nm thickness for at least 200 h of cyclic operation. Over this time, no degradation has been observed, and the standard deviation at the maximum current was 2.3%. They attributed this to the high purity of the carbon nanosheets and excellent uniformity of height in their self-assembly.
6.2
Surface Treatment for Improvement of Field Emission Properties
Unlike carbon nanotubes, which have closed networks of sp2-bonded carbons, the electronic states of carbon materials with graphite open edges are influenced by their shape and composition. As shown in Fig. 6.5, a limited number of emission sites of graphite edges of as-grown carbon nanowalls contribute to the emission current. Furthermore, carbon nanowalls are composed of nanodomains that are a few tens of nanometers in size [23, 24] and each carbon nanowall has many edges
6.2 Surface Treatment for Improvement of Field Emission Properties
123
and defects, which would limit the emission current. However, the field emission performance can be improved by surface modification or coating of the carbon nanowalls. Improvement of the field emission properties of carbon nanowalls was investigated by (1) surface coating, (2) depositing metal nanoparticles on the surface, and (3) plasma treatment for surface modification.
6.2.1
Surface Coating
Recently, Holloway’s group reported that the field emission properties of carbon nanosheets could be improved by coating with wide-band gap chromium oxide (CrOx) [15]. The carbon nanosheets were fabricated by RF inductively coupled plasma-enhanced CVD employing CH4/H2 system. As-grown carbon nanosheets were coated with a thin chromium layer by vacuum evaporation using a high-purity chromium chip. When coated nanosheet samples were exposed to the atmosphere, a color change from black to gray was observed, resulting in the rapid transformation from chromium to chromium oxide on carbon nanosheets. The composition ratio for the chromium oxide coating had an atomic ratio of Cr to O of 0.37, very close to the nominal stoichiometry of Cr2O3. Coating carbon nanosheets with a thin film of CrOx could improve their emission uniformity. The field emission properties of CrOx-coated carbon nanosheets were strongly thickness-dependent and the best field emission performance was achieved with an approximately 1.5 nm coating. At this condition, the threshold electric field was 2.4 V/mm and the applied electric field to generate 1.45 mA emission current was 5.0 V/mm, while the applied electric field to generate the same emission current for as-grown nanosheets was 8.9 V/mm.
6.2.2
Metal/Carbon Nanowall Composites
Metal nanoparticles such as Platinum (Pt) supported on the carbon nanowall surface can enhance the field emission properties of carbon nanowalls. Pt nanoparticles were formed by metal-organic chemical fluid deposition (MOCFD) employing a supercritical fluid (SCF) [17]. SCF-MOCFD using supercritical carbon dioxide (scCO2) as a solvent for the metal-organic compound [trimethyl(methylcyclopentadienyl) platinum] resulted in highly dispersed Pt nanoparticles deposited on the entire surface of the carbon nanowalls, which will be described in detail in Chap. 7. A TEM image of detached carbon nanowalls after the SCF-MOCFD treatment is shown in Fig. 6.7, and indicates the presence of dispersed Pt nanoparticles of approximately 2 nm in size supported on the carbon nanowall surface. The electron field emission properties of as-grown carbon nanowalls and Pt-deposited carbon nanowalls after the SCF-MOCFD treatment are shown in Fig. 6.8a. The threshold electric field of the Pt-deposited carbon nanowall film decreased from 5 to 4 V/mm after the SCF-MOCFD, and its emission current increased by more than 250%
124
6 Field Emission
Fig. 6.7 TEM image of the surface of the carbon nanowall supporting Pt nanoparticles after the supercritical fluid metalorganic chemical deposition
b
20
−1 Pt-supported As-grown
15
ln(I/E 2)
Emission current (µA)
a
10 5 0 0
2
4
6
8 10 12 14
Electric field (V/µm)
−2 Pt-supported carbon nanowalls −3 −4 −5 As-grown −6 carbon nanowalls −7 −8 −9 0.05 0.10 0.15 0.20 0.25 0.30 1/E [1/(V/µm)]
Fig. 6.8 (a) Electron field emission properties of typical as-grown carbon nanowalls and Pt-supported carbon nanowalls treated by the supercritical fluid metal-organic chemical deposition. (b) The corresponding plots drawn according to Fowler–Nordheim theory [17] – reproduced with permission from Institute of Pure and Applied Physics
compared with that of the as-grown carbon nanowalls [17]. Note that the emission properties of carbon nanowalls exposed to scCO2 without the Pt precursor were the same as those of the as-grown carbon nanowalls; the morphology of carbon nanowalls remained unchanged after exposure to a high-pressure supercritical environment. Fig. 6.8b shows these field emission characteristics for typical as-grown and Pt-supported carbon nanowalls plotted on a Fowler–Nordheim (F–N) plot. The F–N plots obtained from the electron emission measurements have almost identical slopes, although the intercept for the Pt-deposited carbon nanowall film was higher than that for the as-grown carbon nanowall film. Therefore, the field enhancement factors of typical as-grown and Pt-supported carbon nanowalls are suggested to be almost the same. The field enhancement factor is calculated from the negative slope of the straight line of the F–N plot and has a value of approximately 4,000. For comparison, Pt nanoparticles were deposited by the pulsed arc plasma deposition. The pulsed arc plasma deposition, which is a type of physical vapor
6.2 Surface Treatment for Improvement of Field Emission Properties
125
deposition, was used to prepare catalyst nanoparticles for the carbon nanotube growth [25]. The nanoparticles produced by the pulsed arc plasma deposition were supported only around the top of carbon nanowalls. The emission property of carbon nanowalls was slightly improved by preparing Pt nanoparticles on their top using the arc plasma deposition [17]. It is considered that there are potentially idle emission points on as-grown carbon nanowalls, and the Pt nanoparticles may activate these emission points, resulting in an increase of the number of emission sites of the Pt-supported carbon nanowalls. However, the carbon nanowalls have been reported to consist of nanodomains that are a few tens of nanometers in size and individual carbon nanowalls were found to have many edges and defects [23, 24]. In the case of SCF-MOCFD, Pt nanoparticles would be preferably formed on the edges of carbon nanowalls as well as at the defects and the grain boundaries of the carbon nanowall surfaces, which would effectively improve the electrical conduction along the carbon nanowall surface, from the fact that the emission current of carbon nanowalls after the SCF-MOCFD was further increased compared with that of carbon nanowalls after the pulsed arc deposition.
6.2.3
N2 Plasma Treatment
In the case of field emission from carbon nanowalls, control of the electronic states of graphite edges that emit electrons would be important for the improvement of the field emission properties of carbon nanowalls. Plasma surface treatment would be effective in modifying the electronic states at the surface of carbon nanowall films with many graphite edges, as well as defects or grain boundaries. A carbon nanowall film was synthesized by VHF capacitively coupled plasmaenhanced CVD with H radical injection employing a C2F6/H2 mixture (Fig. 2.10). The morphology of the as-grown carbon nanowall film was similar to that shown in Fig. 2.12a. The carbon nanowall film was subsequently exposed to N2 plasma using the same CVD system, at a substrate temperature of 600 C for 10 min. As a result of N2 plasma treatment, the D to G band peak intensity ratio in the Raman spectrum (ID/IG) was significantly decreased from 2.24 to 1.37, mainly due to the reduction in the peak intensity of the D band. The D0 band peak intensity also decreased after N2 plasma treatment. These results suggest that the inclusion of nitrogen in the carbon nanowall surface results in a reduction of defects. However, when compared with the surface morphology of the as-grown carbon nanowall film, the sharp edges and the orientation of the individual carbon nanowalls are unchanged, even after N2 plasma treatment. An example of the field emission enhancement of carbon nanowall film as a result of N2 plasma treatment is shown in Fig. 6.9. The electron emission current from the N2 plasma-treated carbon nanowall film was significantly increased, while the threshold field was almost the same as that of the untreated carbon nanowall film. Therefore, surface modification by N2 plasma treatment contributes mainly to the improvement of electrical conduction along the carbon nanowall surface.
126 10−4
Emission current (A)
Fig. 6.9 Electron field emission properties of typical as-grown carbon nanowalls and N2 plasma treated carbon nanowalls
6 Field Emission
N2 plasma treated As-grown
10−5 10−6 10−7 10−8
0
2
4
6
8
10
12
Applied field strength (V/µm)
1.0 Intensity (arb. unit)
Fig. 6.10 XPS N 1s spectrum of the carbon nanowalls after the N2 plasma treatment
N-O
sp2 C=N
0.8 0.6 0.4 0.2 406
404 402 400 Binding energy (eV)
398
Ex situ XPS analysis was carried out with monochromatic Mg Ka (1,253.6 eV). Binding energy was calibrated to C 1s graphitic peak position at 285 eV. The N atomic composition (at.%) of N/C of the carbon nanowall surface after the N2 plasma treatment was determined to be 2.4 at. %, which was calculated from the ratios of the intensities of C 1s (285 eV) and N 1s (400 eV) peaks of XPS spectrum, corrected using the relative intensity factors. Figure 6.10 shows the XPS N 1s spectrum of the carbon nanowalls after the N2 plasma treatment. Frequently, the N 1s spectra of the amorphous carbon and carbon nitride films can be deconvoluted into three peaks located at 398.3, 400.7, and 402.6 eV, which correspond to sp3 C–N, sp2 C¼N, and N–O bonds, respectively [26–28]. On the other hand, in the case of the N 1s spectrum of the surface of N2 plasma treated carbon nanowalls shown in Fig. 6.9, the peak regions can be fitted with two sets of peaks at 400.8 and 402.2 eV corresponding to sp2 C¼N graphite-like bonds and N–O bonds, respectively. The graphite-like bonds with three s bonds, one p bond, and one unpaired electron can be doped by donating p electrons [29]. From the XPS N 1s spectrum shown in Fig. 6.9, 56% of N atoms incorporated in the surface of carbon nanowalls would contribute to doping. Therefore, the doping efficiency for the N2 plasma treated carbon nanowalls was estimated to be l.4%. It has been reported that the doping efficiency in the case of tetrahedral amorphous carbon was estimated to be about 1% [29]. However, it is difficult to assign nitrogen bonding
References
127
by XPS and to evaluate the contribution of incorporated nitrogen to the electrical conduction. In fact, widely diverse reports have been released for various nitrogenincorporated carbons, including N-doped amorphous carbon, carbon nitride, N-doped carbon nanotube, and N-doped nanocrystalline diamond [28–30]. It is interesting to compare the conduction properties of carbon nanowalls with nanocrystalline diamonds, which are also carbon nanostructures composed of nanodiamond surrounded by graphitic grain boundaries. In the case of electron emitter application of nanocrystalline diamond films, it is believed that the grain boundaries of two-dimensional sp2-bonded carbon phase would play significant roles as electron-conducting path and electron-emitting sites at edges [31, 32]. It has been reported that N-doped nanodiamond films exhibit high conductivities [33]. Nitrogen is preferentially incorporated into the amorphous carbon phase at the grain boundaries [32], resulting in the improvement of electrical conduction and electron field emission properties [34, 35]. From the similarity between the carbon nanowalls and graphitic grain boundaries surrounding nanocrystalline diamonds, in the case of carbon nanowalls after N2 plasma treatment, N atoms were preferentially incorporated into the defects and the disordered grain boundaries surrounding the nanographite domains of the carbon nanowall surfaces, resulting in the equivalent increase of the nanodomain size, the improvement of the crystallinity, and the enhancement of the surface conductivity.
References 1. Shang NG, Au FCK, Meng XM, Lee CS, Bello I, Lee ST (2002) Uniform carbon nanoflake films and their field emissions. Chem Phys Lett 358: 187–191 2. Obraztsov AN, Zakhidov Al A, Volkov AP, Lyashenko DA (2003) Non-classical electron field emission from carbon materials. Diam Relat Mater 12: 446–449 3. Wu YH, Yang BJ, Zong BY, Sun H, Shen ZX, Feng YP (2004) Carbon nanowalls and related materials. J Mater Chem 14: 469–477 4. Wang JJ, Zhu MY, Outlaw RA, Zhao X, Manos DM, Holloway BC, Mammana VP (2004) Free-standing subnanometer graphite sheets. Appl Phys Lett 85: 1265–1267 5. Wang JJ, Zhu MY, Zhao X, Outlaw RA, Manos DM, Holloway BC, Park CH, Anderson T, Mammana VP (2004) Synthesis and field-emission testing of carbon nanoflake edge emitters. J Vac Sci Technol B 22: 1269–1272 6. Srivastava SK, Shukla AK, Vankar VD, Kumar V (2005) Growth, structure and field emission characteristics of petal like carbon nano-structured thin films. Thin Solid Films 492: 124–130 7. Wang JY, Ito T (2005) High-current-density electron emissions from nano-carbon films fabricated by high-power microwave-plasma chemical vapour deposition. Diam Relat Mater 14: 1469–1473 8. Wang JY, Teraji T, Ito T (2005) Fabrication of wrinkled carbon nano-films with excellent field emission characteristics. Diam Relat Mater 14: 2074–2077 9. Wang S, Wang JJ, Miraldo P, Zhu MY, Outlaw R, Hou K, Zhao X, Holloway BC, Manos D, Tyler T, Shenderova O, Ray M, Dalton J, McGuire G (2006) High field emission reproducibility and stability of carbon nanosheets and nanosheet-based backgated triode emission devices. Appl Phys Lett 89: 183103-1–183103-3 10. Hiramatsu M, Hori M (2006) Fabrication of carbon nanowalls using novel plasma processing. Jpn J Appl Phys 45: 5522–5527
128
6 Field Emission
11. Itoh T, Shimabukuro S, Kawamura S, Nonomura S (2006) Preparation and electron field emission of carbon nanowall by Cat-CVD. Thin Solid Films 501: 314–317 12. Koeck FAM, Obraztsov AN, Nemanich RJ (2006) Electron emission microscopy of nanocrystal graphitic films as high current density electron sources. Diam Relat Mater 15: 875–879 13. Chuang ATH, Robertson J, Boskovic BO, Koziol KKK (2007) Three-dimensional carbon nanowall structures. Appl Phys Lett 90: 123107-1–123107-3 14. Wang JY, Ito T (2007) CVD growth and field emission characteristics of nano-structured films composed of vertically standing and mutually intersecting nano-carbon sheets. Diam Relat Mater 16: 589–593 15. Hou K, Outlaw RA, Wang S, Zhu MY, Quinlan RA, Manos DM, Kordesch ME, Arp U, Holloway BC (2008) Uniform and enhanced field emission from chromium oxide coated carbon nanosheets. Appl Phys Lett 92: 133112-1–133112-3 16. Malesevic A, Kemps R, Vanhulsel A, Chowdhury MP, Volodin A, Haesendonck CV (2008) Field emission from vertically aligned few-layer graphene. J Appl Phys 104: 0843011–084301-5 17. Machino T, Takeuchi W, Kano H, Hiramatsu M, Hori M (2009) Synthesis of platinum nanoparticles on two-dimensional carbon nanostructures with an ultrahigh aspect ratio employing supercritical fluid chemical vapor deposition process. Appl Phys Exp 2: 025001-1–025001-3 18. Fowler RH, Nordheim L (1928) Electron emission in intense electric fields. Proc Roy Soc Lond A 119: 173–181 19. Gro¨ning O, K€uttel OM, Emmenegger C, Gro¨ning P, Schlapbach L (2000) Field emission properties of carbon nanotubes. J Vac Sci Technol B 18: 665–678 20. Chen CW, Lee MH, Clark SJ (2004) Field penetration induced charge redistribution effects on the field emission properties of carbon nanotubes – a first-principle study. Appl Surf Sci 228: 143–150 21. Bonard J-M, Salvetat J-P, Stockli T, De Heer WA, Forro L, Chatelain A (1998) Field emission from single-wall carbon nanotube films. Appl Phys Lett 73: 918–920 22. Zhu W, Bower C, Zhou O, Kochanski G, Jin S (1999) Large current density from carbon nanotube field emitters. Appl Phys Lett 75: 873–875 23. Kurita S, Yoshimura A, Kawamoto H, Uchida T, Kojima K, Tachibana M, Molina-Morales P, Nakai H (2005) Raman spectra of carbon nanowalls grown by plasma-enhanced chemical vapor deposition. J Appl Phys 97: 104320-1–104320-5 24. Kobayashi K, Tanimura M, Nakai H, Yoshimura A, Yoshimura H, Kojima K, Tachibana M (2007) Nanographite domains in carbon nanowalls. J Appl Phys 101: 094306-1–094306-4 25. Hiramatsu M, Nagao H, Taniguchi M, Amano H, Ando Y, Hori M (2005) High-rate growth of films of dense, aligned double-walled carbon nanotubes using microwave plasma-enhanced chemical vapor deposition. Jpn J Appl Phys 44: L693–L695 26. Li JJ, Gu CZ, Xu P, Wang Q, Zheng WT (2006) Field emission enhancement of carbon nitride films by annealing with different durations. Mater Sci Eng B 126: 74–79 27. Glerup M, Steinmetz J, Samaille D, Stephan O, Enouz S, Loiseau A, Roth S, Bernier P (2004) Synthesis of N-doped SWNT using the arc-discharge procedure. Chem Phys Lett 387: 193–197 28. Terrones M, Ajayan PM, Banhart F, Blase X, Carroll DL, Charlier JC, Czerw R, Foley B, Grobert N, Kamalakaran R, Kohler-Redlich P, R€ uhle M, Seeger T, Terrones H (2002) N-doping and coalescence of carbon nanotubes: synthesis and electronic properties. Appl Phys A 74: 355–361 29. Robertson J, Davis CA (1995) Nitrogen doping of tetrahedral amorphous carbon. Diam Relat Mater 4: 441–444 30. Ikeda T, Teii K, Casiraghi C, Robertson J, Ferran AC (2008) Effect of the sp2 carbon phase on n-type conduction in nanodiamond films. J Appl Phys 104: 073720-1–073720-7 31. Karabutov AV, Frolov VD, Konov VI (2001) Diamond/sp2-bonded carbon structures: quantum well field electron emission? Diam Relat Mater 10: 840–846
References
129
32. Zapol P, Sternberg M, Curtiss LA, Frauenheim T, Gruen DM (2001) Tight-binding moleculardynamics simulation of impurities in ultrananocrystalline diamond grain boundaries. Phys Rev B 65: 045403-1–045403-11 33. Williams OA, Curat S, Gerbi JE, Gruen DM, Jackman RB (2004) n-type conductivity in ultrananocrystalline diamond films. Appl Phys Lett 85: 1680–1682 34. Ma KL, Zhang WJ, Zou YS, Chong YM, Leung KM, Bello I, Lee ST (2006) Electrical properties of nitrogen incorporated nanocrystalline diamond films. Diam Relat Mater 15: 626–630 35. Ikeda T, Teii K (2009) Origin of low threshold field emission from nitrogen-incorporated nanocrystalline diamond films. Appl Phys Lett 94: 143102-1–143102-3
Chapter 7
Using Carbon Nanowalls as Templates
7.1
7.1.1
Fabrication of Nanostructured Materials Using Carbon Nanowalls as Templates Decoration of Carbon Nanowalls
Owing to the large surface area (high surface-to-volume ratio) of carbon nanowalls, we can expect a variety of applications using carbon nanowalls such as batteries, capacitors, and gas sensors. To this end, carbon nanowalls are decorated with nanoparticles or films of metals, semiconductors, and insulators, by using several techniques including vacuum evaporation, sputtering, CVD, and plating. Previously, Wu et al. used carbon nanowalls as templates to fabricate large surfacearea materials, including Au, Cu, Zn, Ni, CoNiFe, Se, ZnO, TiO2, SiOx, SiNx, and AlOx [1–3]. Figure 7.1a–d show schematic illustrations of decorated carbon nanowalls withdifferent morphologies. The morphology of carbon nanowalls decorated with nanoparticles or film depends on the deposition methods of materials. In Fig. 7.1a, thin film or aggregation of nanoparticles would be formed on the top edges of carbon nanowalls. Conformal deposition (Fig. 7.1b) and gap filling (Fig. 7.1c) will be achieved using metal-organic chemical vapor deposition (MOCVD), sputtering, atomic layer deposition, and plating in liquid phase. In Fig. 7.1a–c, carbon nanowalls are used as the templates to fabricate other types of nanostructures. In Fig. 7.1d, on the other hand, metal nanoparticles are dispersed on the surface of carbon nanowalls, which is a kind of nanocomposite. This morphology will be moderately achieved by plating, sputtering, and laser ablation. Recently, a method using metal-organic chemical deposition employing the supercritical fluid was proposed to form dispersed metal nanoparticles on the entire surface of carbon nanowalls, which will be described in detail in Section 7.2.
M. Hiramatsu and M. Hori, Carbon Nanowalls, DOI 10.1007/978-3-211-99718-5_7, # Springer-Verlag/Wien 2010
131
132
7 Using Carbon Nanowalls as Templates
a
b Carbon nanowalls
substrate
c
d
Fig. 7.1 Schematic illustrations of decorated carbon nanowalls with different morphologies: (a) deposition on the top edges of carbon nanowalls, (b) conformal deposition, (c) gap filling, and (d) dispersed nanoparticle deposition on the surface of carbon nanowalls
7.1.2
Fabrication of Nanostructured Materials on Carbon Nanowall Templates
As shown in Fig. 7.1a–c, except dispersed nanoparticles on the carbon nanowall surface, the morphologies of many different materials synthesized using carbon nanowalls as templates can be classified into three types, deposition on the top edges of carbon nanowalls, conformal deposition, and gap filling. In this section, examples of a variety of nanostructures formed on the carbon nanowall templates are given, focusing on the reports by Prof. Wu [1–3]. Sputtering, metal-organic chemical vapor deposition (MOCVD) and plasmaenhanced CVD have been used to achieve conformal deposition of metals into trenches and narrow patterns of Si and SiO2 substrates [4–6]. These methods can be applied to conformal deposition of metals on the carbon nanowall templates. Wu et al. [1, 3] demonstrated the conformal deposition of gold (Au) and copper (Cu) on the carbon nanowalls by electron beam evaporation, as shown in Figs. 7.2 and 7.3. Figure 7.2a–f show typical SEM images of the Au films deposited on carbon nanowall templates with different thicknesses: (a) and (b), for a thickness of 20 nm; (c) and (d), for a thickness of 30 nm; and (e) and (f) for a thickness of 100 nm. Generally, in the case of metal deposition using vacuum evaporation method, step coverage is not so
7.1 Fabrication of Nanostructured Materials Using Carbon Nanowalls as Templates
a
b
c
d
e
f
133
Fig. 7.2 SEM images of Au formed on the carbon nanowall templates at different thicknesses: (a)–(b) 20 nm, (c)–(d) 30 nm and (e)–(f) 100 nm. Scale bars: (a)–(d) and (f) 100 nm, (e) 1 mm. By courtesy of Prof. Wu [1] – reprinted with permission from The Royal Society of Chemistry
good. On the other hand, it is interesting to note that Au nanoparticles formed uniformly on the carbon nanowalls with an excellent surface coverage, when the thickness was 20 and 30 nm. In contrast, a continuous layer was obtained when the thickness reached 100 nm. Similar results have been obtained for Cu films as shown in Fig. 7.3a, b. In this case, a thickness of Cu film was 30 nm. Comparing with the size of Au particles, the Cu particles were even smaller on the lateral face of carbon nanowalls. The other feature is that continuous Cu face, instead of the aggregation of nanoparticles, was formed on the top edges of the carbon nanowalls.
134
a
7 Using Carbon Nanowalls as Templates
b
Fig. 7.3 SEM images of Cu deposited on the carbon nanowall templates at a thickness of 30 nm. Scale bars: 100 nm. By courtesy of Prof. Wu [1] – reprinted with permission from The Royal Society of Chemistry
a
b
Fig. 7.4 (a) SEM images of ZnO film formed on carbon nanowalls at 150 C by atomic layer deposition. The growth cycle including chemisorption of Zn precursor and surface reaction with H2O was 300. (b) SEM image of a pile of ZnO-coated nanowalls peeled off from the substrate. The ZnO sample was grown at 350 C for 150 growth cycles. Scale bars: (a) 1 mm, (b) 100 nm. By courtesy of Prof. Wu [3] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
In addition to metals, a variety of metal oxides including ZnO, TiO2, SiOx, and AlOx have been deposited on the carbon nanowall templates by atomic layer deposition [3]. The atomic layer deposition is a conformal thin film formation technique based on sequential, self-limiting surface reactions, which uses alternating and saturating reactions between gaseous precursor molecules and a solid to deposit films in a layer-by-layer fashion [7]. The atomic layer deposition allows conformal films of metal oxides and metal nitrides to be deposited on very high aspect ratio structures. Wu et al. [3] have demonstrated the conformal deposition of ZnO films on the carbon nanowalls by the atomic layer deposition. Prior to the ZnO growth, the carbon nanowall surface was pretreated with oxygen plasma. The source materials used for zinc (Zn) and oxygen (O) were diethylzinc [(C2H5)2Zn] and water vapor, respectively. They also achieved the conformal deposition of TiO2, AlOx, SiOx, and SiNx [3].
7.1 Fabrication of Nanostructured Materials Using Carbon Nanowalls as Templates
135
Figure 7.4a shows an SEM image of ZnO film deposited on the carbon nanowall template at 150 C by the atomic layer deposition. The growth cycle including chemisorption of Zn precursor and surface reaction with H2O was 300. It is apparent from this figure that the ZnO film was grown on the nanowalls uniformly. Figure 7.4b shows an SEM image of a pile of ZnO-coated nanowalls peeled off from the substrate. The ZnO sample was grown at 350 C for 150 growth cycles. As can be seen from the figure, excellent uniformity has been obtained on the entire nanowalls. The ZnO films were continuous polycrystalline films at low growth temperatures, which gradually developed into isolated nanoparticles at elevated temperatures. Electroplating or electrochemical deposition (electrodeposition for short) has been used for metallic coating. It is possible to use electrodeposition for coating carbon nanowalls with metal thin films and nanoparticles. In this method, the material to be coated is immersed in a solution called an electrolyte. Since as-grown carbon nanowall films often possess hydrophobic property because of the high surface tension of carbon nanowalls due to their high aspect ratio with narrow interspaces, some kind of surface treatment to change the carbon nanowall film surface to hydrophilic one is required before performing deposition process in a liquid phase. Wu et al. [3] demonstrated the deposition of CoNiFe nanomagnets on the carbon nanowall templates by electrodeposition method. In this case, carbon nanowalls were grown on the Cu substrate to facilitate the electrodeposition. Figure 7.5a, b show SEM images of CoNiFe nanomagnets formed on carbon nanowall templates using electrodeposition for 45 s. As can be seen from Fig. 7.5a, nanomagnets were formed quite uniformly on the carbon nanowalls. The nucleation sites were concentrated on the nanowall surface, instead of the empty space between the nanowalls. In addition to the growth of nanoparticles and films on the carbon nanowall surface, it would also be useful if one can grow other types of two-dimensional nanostructures on the top of the edges of the carbon nanowalls selectively, as shown in Fig. 7.1a. This would be achieved by the electrodeposition due to the high electric field or high current density at the sharp edges of the carbon nanowalls.
a
b
Fig. 7.5 SEM images of CoNiFe magnetic nanoparticles formed on carbon nanowall templates using electrodeposition. Scale bars: 100 nm. By courtesy of Prof. Wu [3] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
136
7 Using Carbon Nanowalls as Templates
Wu et al. [1] reported the selective growth of selenium (Se) on the top of edges of carbon nanowalls by electrodeposition. Figure 7.6a, b show SEM images of twodimensional Se grown on the top of the edges of carbon nanowalls using pulsed electrodeposition. The solution used was 3CdSO4: 8H2O (61.6 g), H2SO4 (19.6 g), SeO2 (0.062 g), and water (800 ml). Although some small Se particles were formed on the lateral surface of the carbon nanowalls, most of the Se was grown as twodimensional nanosheets on the top of the carbon nanowall edges selectively, as shown in Fig. 7.6b. The as-deposited material was found to be amorphous Se by Raman spectroscopy.
a
b
Selenium
Carbon Substrate
Fig. 7.6 SEM images of the two-dimensional selenium grown on the top of carbon nanowall edges by pulsed electrodeposition: (a) top view; and (b) cross-sectional view. Scale bars: 1 mm. By courtesy of Prof. Wu [1] – reprinted with permission from The Royal Society of Chemistry
a
b
Fig. 7.7 SEM images of carbon nanowalls filled with 20 nm Fe nanoparticles. Prior to the immersion of the carbon nanowalls into a mixed solution of Fe particles and isopropanol in an ultrasonic bath, the surface of the nanowalls was pretreated using oxygen plasma. A magnetic field was used to enhance the filling up efficiency, and a subsequent ultrasonic agitation was used to remove the particles piled above the top edges of the nanowalls. (a) Uniformly grown nanowalls; (b) area-selectively grown nanowalls. Scale bars: 100 nm (a), 1 mm (b). By courtesy of Prof. Wu [3] – reproduced with permission from Wiley-VCH Verlag GmbH & Co. KGaA
7.1 Fabrication of Nanostructured Materials Using Carbon Nanowalls as Templates
137
Wu et al. [3] also demonstrated gap filling (Fig. 7.1c) with dispersed nanoparticles. They used carbon nanowalls as the adhesive bases to absorb Fe nanoparticles. Figure 7.7a shows an SEM image of carbon nanowalls filled with 20 nm Fe nanoparticles. Prior to the immersion of the carbon nanowall sample into a mixed solution of Fe particles and isopropanol in an ultrasonic bath, the surface of the carbon nanowalls was pretreated using oxygen plasma for increasing the bonding between the carbon nanowalls and the Fe nanoparticles. A magnetic field was used to enhance the filling up efficiency, and a subsequent ultrasonic agitation was used to remove the particles piled above the top edges of the nanowalls. As a result, the nanoparticles were, thus, aligned well, just below the top edges of the nanowalls. The filling-up process always starts from the nanowall surface and gradually expands to the empty space between the nanowalls. The oxygen plasma-pretreated carbon nanowalls absorbed the Fe particles extremely well and the empty space between the nanowalls was almost completely filled up with the Fe nanoparticles. Figure 7.7b shows an SEM image of area-selectively grown carbon nanowalls filled with Fe nanoparticles, indicating that the Fe particles only stick to the nanowalls and not to other portions of the sample surface. The same process can be used to fill up the carbon nanowalls with other types of magnetic nanoparticles to form a class of magnet/carbon nanocomposites with high surface areas.
Fig. 7.8 Schematic illustration of DNA-modified carbon nanowalls
138
7 Using Carbon Nanowalls as Templates
In recent years, there has been an increase in research into the biological applications of CVD diamond. Diamond has attractive characteristics for some biological applications, such as its wide potential window, chemical–physical stability, and biocompatibility. DNA and proteins were immobilized on the surface of diamond and nanodiamond films for bio-sensing application [8–11]. Covalent modification of diamond surfaces with molecular monolayers serves as a starting point for linking biomolecules such as DNA and proteins to surfaces. In these cases, diamond surface is modified with several types of surface termination, e.g., C–NH2, C–OH, and C–COOH. It is considered that the edges of carbon nanowalls can also be modified with similar surface termination. Therefore, covalent immobilization of DNA and proteins on the carbon nanowalls will be realized soon. In the near future, carbon nanowalls will be used as a stable, highly selective platform in subsequent surface hybridization processes. Figure 7.8 shows a schematic of DNA-modified carbon nanowalls.
7.2
7.2.1
Synthesis of Pt Nanoparticles on Carbon Nanowall Surface Using Supercritical Fluid Chemical Deposition Introduction
The high aspect ratio and high surface-to-volume ratio of carbon nanowalls are potentially useful as electron field emitters [12–14] and as catalyst supports [2]. It is expected that metal nanoparticles supported on the surfaces of carbon nanostructures (Fig. 7.1d) will improve their electrical properties, and electrocatalyst/ carbon nanostructure composites can be applied as electrochemical devices [2]. Among possible supporting materials, carbon black has been widely used as an electrode, wherein platinum (Pt) nanoparticles are dispersed [15, 16]. In contrast, carbon nanotubes are considered to be a more attractive candidate owing to their outstanding characteristics, including high tensile strength, large surface area, high electrical conductivity, and thermal conductivity [17–19]. Carbon nanohorns and carbon nanowalls are also possible candidates for similar applications owing to their large surface areas. It has been reported that carbon nanohorns can be used as Pt supports for fuel cell applications [20]. It is well known that the specific activity of catalysts is strongly related to their size, dispersion, and compatibility with supporting materials. Highly dispersed catalyst nanoparticles with small size and narrow size distribution supported by carbon nanostructures are ideal for high electrocatalyst activity owing to their large surface-to-volume ratio. To support metal nanoparticles on the surface of carbon nanostructures, metal compounds in the form of liquids are generally employed. A few papers have been published on the preparation of Pt nanoparticles on carbon nanotube surfaces by the reduction of Pt salt precursors such as H2PtCl6 in solution [21, 22]. On the other hand, it is difficult to treat the entire surface of carbon nanowalls with a metal compound in a liquid phase, because of the high surface
7.2 Synthesis of Pt Nanoparticles on Carbon Nanowall Surface Gas phase
Liquid phase
139 Supercritical fluid
Fig. 7.9 Illustration for comparing preparation methods
tension of carbon nanowalls due to their high aspect ratio with narrow interspaces. Therefore, there is an urgent need for new methods of metal nanoparticle deposition on carbon nanostructures. One promising method is to employ gas-phase deposition, such as sputtering and chemical vapor deposition. However, in gas-phase deposition, metal nanoparticles are deposited only around the tops of carbon nanowalls and tend to easily clump together, resulting in the formation of larger particles or films on the top of carbon nanostructures. As an alternative approach to support metal nanoparticles on the surfaces of carbon nanosrtructures, a method employing metal-organic chemical fluid deposition (MOCFD) was proposed, where supercritical carbon dioxide (sc-CO2) is used as a solvent of metal-organic compounds [23]. Figure 7.9 shows a schematic illustration for comparing deposition methods using gas phase, liquid phase, and supercritical fluid. The supercritical fluid possesses attractive properties of both the gas and the liquid phases; the supercritical fluid can dissolve metalorganic compounds and carry the metal precursors deep in the intricate carbon nanostructures.
7.2.2
Synthesis of Pt Nanoparticles by Plating
Conventionally, preparation of metal particles on the surface of carbon nanostructures has been performed by the plating method. However, as-grown carbon nanowall films often possess hydrophobic property because of their unique nanostructure with high aspect ratio. Especially, as-grown carbon nanowall films fabricated employing fluorocarbon/hydrogen systems exhibit super-hydrophobic property because of the remaining fluorine on the surface of carbon nanowalls (even a few at%), as shown in Fig. 7.10. Although plasma surface treatment has some efficacy in changing the carbon nanowall film surface to hydrophilic one, it is difficult to decorate the entire surface of carbon nanowalls by plating method. Figure 7.11 shows a cross-sectional SEM image of a carbon nanowall film after the nonelectrolytic plating treatment, together with a magnified SEM top view image of the carbon nanowalls in the inset. By using the plating method without
140
7 Using Carbon Nanowalls as Templates
Carbon nanowall film
Fig. 7.10 Photograph of a carbon nanowall film showing hydrophobic property
50 nm
300 nm
Fig. 7.11 A cross-sectional SEM image of a carbon nanowall film after the nonelectrolytic plating treatment, together with a magnified SEM top view image of the carbon nanowalls in the inset
surface pretreatment, Pt nanoparticles (5–10 nm) were deposited only around the tops of the carbon nanowalls, as shown in the inset in Fig. 7.11.
7.2.3
Synthesis of Pt Nanoparticles by Sputtering
Figure 7.12a, b show a cross-sectional SEM image and a low-resolution TEM image of carbon nanowall film after the Pt sputtering treatment, respectively. Magnified TEM images of carbon nanowalls near the top and middle positions are shown in Fig. 7.12c, d. In this case, Pt nanoparticles (2–3 nm) were deposited
7.2 Synthesis of Pt Nanoparticles on Carbon Nanowall Surface
141
b
a
top
CNW middle 500nm
Si substrate
50nm
d
c
20nm
20nm
Fig. 7.12 (a) A cross-sectional SEM image of the carbon nanowall (CNW) film, and (b) a lowresolution TEM image of the carbon nanowall film after the sputtering treatment. (c) TEM image of the carbon nanowall film at the “top” position in the cross-sectional TEM image in (b). (d) TEM image of the carbon nanowall film at the “middle” position in the cross-sectional TEM image in (b) [23] – reproduced with permission from Institute of Pure and Applied Physics
near the top of the carbon nanowall film. However, the preparation of Pt nanoparticles on the entire surface of carbon nanowalls could not be realized by sputtering method.
7.2.4
Supercritical Fluids
From the results shown in Figs. 7.11 and 7.12, it is seen that the preparation of Pt nanoparticles on the entire surface of carbon nanowalls could not be realized by deposition methods using the liquid phase or the gas phase. As an alternative approach to support metal nanoparticles on the surfaces of dense, aligned carbon nanotubes and carbon nanowalls with narrow interspaces, a method employing metal-organic chemical fluid deposition (MOCFD) was proposed, where supercritical carbon dioxide (sc-CO2) is used as a solvent of metal-organic compounds. The phase diagram of substance is shown in Fig. 7.13. Generally, materials can
7 Using Carbon Nanowalls as Templates
Fig. 7.13 Phase diagram of substance. SCF supercritical fluid, Pc critical pressure, Tc critical temperature
solid
SCF pressure
142
liquid Pc
gas
Critical point
Tc temperature
Table 7.1 Properties of liquid phase, gas phase, and supercritical fluid (SCF) Liquid SCF Gas 1 0.1–1 103 Density (g/cm3) Viscosity (Pa s) 103 104–105 105 Diffusivity (cm2/s) 105 103 101
Table 7.2 Critical points for various supercritical fluids Solvent Critical temperature ( C) Critical pressure MPa (atm) 7.38 (72.8) Carbon dioxide (CO2) 31.1 374.3 22.12 (218.3) Water (H2O) 82.6 4.60 (45.4) Methane (CH4) 32.3 4.87 (48.1) Ethane (C2H6) 9.4 5.04 (49.7) Ethylene (C2H4) 239.6 8.09 (79.8) Methanol (CH3OH) 240.9 6.14 (60.6) Ethanol (C2H6OH) 235.1 4.70 (46.4) Acetone (C3H6O)
exist in three phases depending on the temperature and pressure, namely, solid, liquid, and gas. The supercritical fluid (SCF) possesses attractive properties of both the gas and the liquid phases (Table 7.1). Rapid diffusion and permeation are realized by its gas-like diffusivity and viscosity, while its liquid-like density enables dissolution of a wide range of materials. To produce an SCF phase, the temperature and pressure of the material are required to exceed the critical point. The critical points for various SCFs are summarized in Table 7.2. The critical point of sc-CO2 exists at 7.38 MPa (72.8 atm) and 31.1 C. Among SCFs, sc-CO2 is particularly attractive since it is environmentally friendly and safe due to its low toxicity, low reactivity, and nonflammability. Cansell and Aymonier have surveyed research on the synthesis of functional nanostructured materials utilizing the specific properties of SCFs over the past 5 years [24]. Recent advances in the synthesis of carbon nanotube composites using SCFs have been reviewed by Liu and Han, with emphasis on metal/carbon nanotube, metal oxide/ carbon nanotube, and polymer/carbon nanotube composites [25]. In the case of Pt deposition, the SCF using sc-CO2 was first applied to the
7.2 Synthesis of Pt Nanoparticles on Carbon Nanowall Surface
143
preparation of polymer-supported Pt nanoparticles using dimethyl (1,5-cyclooctadiene) platinum(II) (PtMe2(cod)) as a precursor [26]. Pt nanoparticles of 5–15 nm size were prepared on the carbon nanotube surface by hydrogen reduction of Pt(ll) acetylacetonate (Pt(acac)2) in methanol-modified sc-CO2 [27]. Erkey’s group has demonstrated the preparation of Pt nanoparticles on a wide range of materials, including carbon aerogel, carbon black, silica aerogel, alumina, and Nafion [28–31]. In their method, Pt nanoparticles were prepared by impregnating PtMe2(cod) into the substrates from sc-CO2 solution for 6 h. After depressurization, the impregnated metal-organic compound was reduced to elemental Pt by heat treatment in the presence of nitrogen gas for 4 h. It took almost 10 h to complete the process for the preparation of Pt nanoparticles.
7.2.5
Experimental Procedure of Metal-Organic Chemical Fluid Deposition Using Supercritical Carbon Dioxide
Figure 7.14 shows the SCF-MOCFD system employing sc-CO2 used for the deposition of Pt nanoparticles on the surface of carbon nanowall and carbon nanotube samples [23, 32]. The MOCFD process was conducted in two highpressure stainless steel vessels with a compressor, heating units, and a reservoir for the metal-organic compound. The preliminary vessel contains a screw agitator. The temperature and pressure in each vessel can be set independently, so that two different supercritical conditions employing CO2 can be produced in these two vessels. As the precursor, we used (methylcyclopentadienyl) trimethyl platinum ((CH3C5H4)Pt(CH3)3: MeCpPtMe3) dissolved in hexane (Fig. 7.15). The concentration of MeCpPtMe3 was 1 wt%, and the quantity of the solution used was 5 ml. In the preliminary vessel, the precursor was stirred with the sc-CO2 for about 30 min to realize high diffusion of the metal-organic compound in the sc-CO2. In the impregnation vessel, the selective heating of carbon nanowall or carbon nanotube samples during the MOCFD process facilitated selective metal deposition on the surface of the carbon nanostructures. With the help of the needle valve connecting these Stir propeller (CH3C5H4) –(CH3)3Pt
Fig. 7.14 Schematic of the supercritical fluid, metalorganic chemical fluid deposition (SCF-MOCFD) system [32] – reproduced with permission from American Scientific Publishers
Valve Substrate (CNT, CNW)
CO2 Preliminary vessel CO2
Heater Impregnation vessel
144
7 Using Carbon Nanowalls as Templates
Fig. 7.15 Precursor for SCFMOCFD for Pt nanoparticle formation
Trimethyl (methylcyclopentadienyl) platinum (CH3C5H4)(CH3)3Pt CH3 H3C
Pt CH3
CH3
hexane CH3(CH2)4CH3 HHHH HH HCCCCC C H HHHH HH
vessels, it is possible to mix two different fluids and start the metal nanoparticle deposition. In the preliminary vessel, the pressure and temperature of sc-CO2 were maintained at 11 MPa and 50 C, respectively, and MeCpPtMe3 was dissolved in the sc-CO2. In the impregnation vessel, the pressure and temperature of sc-CO2 were maintained at 9 MPa and 70 C, respectively, and the temperature of carbon nanowall or carbon nanotube samples was controlled in the range of 70–170 C. Finally, the solutions were mixed and Pt nanoparticles formation was carried out for 30 min; the vessel was then depressurized slowly in 30 min to atmospheric conditions. After the depressurization, additional heat treatment was not carried out in the present work.
7.2.6
Characterization of Platinum Nanoparticles Formed by Metal-Organic Chemical Fluid Deposition Using Supercritical Carbon Dioxide
Carbon nanowall samples were fabricated on a Si substrate by fluorocarbon (C2F6) VHF plasma-enhanced chemical vapor deposition assisted by hydrogen radical injection, which was described in detail in Sect. 4.3 of Chap. 4, [33]. The average height of a typical carbon nanowall film sample used in this study was approximately 900 nm, while the thickness of each nanowall was less than 10 nm. Figure 7.16a shows an SEM top-view image of a carbon nanowall film sample after the SCF-MOCFD treatment at a sample temperature of 150 C. Compared to the SEM images of typical as-grown carbon nanowall films without the SCF treatment (data not shown), no change in the surface morphology was observed. It was confirmed that the unique nanostructure of the carbon nanowalls was maintained even after being exposed to the high-pressure fluid. Figure 7.16b shows a low-resolution TEM image of detached carbon nanowalls after the SCF-MOCFD treatment. Nanoparticles are supported on the entire surface of the carbon nanowalls. A magnified TEM image of the surface of the carbon nanowall after the SCF-MOCFD is shown in the inset in Fig. 7.16b, indicating the presence of dispersed nanoparticles of approximately 2 nm size on the carbon nanowall surface. These nanoparticles have also been identified to be pure platinum by energy dispersive
7.2 Synthesis of Pt Nanoparticles on Carbon Nanowall Surface
145
a
100 nm
b
10 nm
100 nm bottom
c 0. 34 nm
Pt
graphene
0.23 nm 1 nm
Fig. 7.16 (a) SEM top-view image of a Pt-supported carbon nanowall film after SCF-MOCFD treatment at a sample temperature of 150 C. (b) Low-resolution TEM image of detached carbon nanowalls after the SCF-MOCFD treatment. Inset: magnified TEM image of the surface of the carbon nanowall supporting Pt nanoparticles. (c) High-resolution TEM image showing the d111 Pt interplanar distance and graphene layers of the carbon nanowall [32] – reproduced with permission from American Scientific Publishers
146
7 Using Carbon Nanowalls as Templates 50 Number of Particles (%)
Fig. 7.17 Distribution histogram of Pt nanoparticle size supported on the carbon nanowall surface at 150 C [32] – reproduced with permission from American Scientific Publishers
40 30 20 10 0 1
2
3
4
Pt nanoparticle size (nm)
b 1.0
Pt 4f5/2
Pt 4f7/2
0.8 0.6 0.4 0.2 80 78 76 74 72 70 68 Binding energy (eV)
Intensity (arb. unit)
Intensity (arb. unit)
a
1.0
Pt 4f5/2
Pt 4f7/2
0.8 0.6 0.4 0.2 80 78 76 74 72 70 68 Binding energy (eV)
Fig. 7.18 X-ray photoelectron spectroscopy spectra of the Pt-supported carbon nanowall film after (a) SCF-MOCFD and (b) plating [32] – reproduced with permission from American Scientific Publishers
X-ray spectrometry (EDX) analysis. Figure 7.16c shows a high-resolution TEM image of the surface of the carbon nanowall supporting the Pt nanoparticles, and 0.23 nm spaced lattice fringes corresponding to the d111 interplanar distance of platinum, well-oriented to the electron beam, are observed. The high-resolution TEM image shown in Fig. 7.16c also clearly reveals the graphene layers of the carbon nanowalls, indicating the graphitized structure of the carbon nanowalls. The spacing between neighboring graphene layers was measured to be approximately 0.34 nm. Figure 7.17 shows the distribution histogram of the Pt nanoparticle size formed at 150 C deduced from TEM observations, indicating that the Pt nanoparticles supported on the carbon nanowall surface had a small average size and a narrow size distribution centered at approximately 2–2.5 nm. Ex situ X-ray photoelectron spectroscopy (XPS) analysis was conducted to gain an insight into the state of the platinum for the supported Pt surface fabricated by the SCF-MOCFD. Figure 7.18a shows an XPS spectrum of the Pt (4f) region of the Pt-supported carbon nanowall film after the SCF-MOCFD at a sample temperature of 150 C. For comparison, Fig. 7.18b shows an XPS spectrum of Pt particles deposited on the carbon nanowall film after the plating treatment shown in
Pt/C ratio (arb. unit)
7.2 Synthesis of Pt Nanoparticles on Carbon Nanowall Surface
6
147
a
4 2 0 100 150 50 Temperature (°C)
b
120°C
20 nm
c
150°C
20 nm
d
170°C
20 nm
Fig. 7.19 (a) Relative Pt/C ratio of the surface of the carbon nanowall film as a function of temperature of the carbon nanowall sample during SCF-MOCFD. (b)–(d) High-resolution TEM images of the surface of the carbon nanowall supporting Pt nanoparticles after the SCF-MOCFD at sample temperatures of 120, 150, and 170 C, respectively [32] – reproduced with permission from American Scientific Publishers
Fig. 7.11. The presence of two prominent sets of Pt (4f) peaks, corresponding to the 4f7/2 and 4f5/2 orbital states, is further confirmation of platinum being present on the carbon nanowall surface. The peak regions in Fig. 7.18a can be fitted with two sets of peaks at 71.4 eV (4f7/2) and 74.6 eV (4f5/2) [34]. These correspond to platinum in the metallic state, indicating that only pure Pt exists without being oxidized on the surface of the carbon nanowalls after the SCF-MOCFD. On the other hand, in the case of the XPS spectrum measured for the Pt particles deposited on the carbon nanowall film after the plating treatment shown in Fig. 7.18b, the peak regions can be fitted with two sets of peaks at 71.4 and 74.6 eV corresponding to platinum in the metallic state and shoulder peaks at 72.4 and 76.5 eV. These shoulder peaks correspond to platinum in an oxide form [34]. Thus, in the case of deposition by plating, platinum on the surface of the carbon nanowall film is present in elemental as well as oxide states. The Pt/C ratio of the carbon nanowall film surface was obtained from the ratio of the intensities of the XPS C (1s) and Pt (4f) peaks. Figure 7.19a shows the relative Pt/C ratio of the surface of the carbon nanowall film as a function of the temperature of the carbon nanowall sample during the SCF-MOCFD process. As the sample temperature during the SCF-MOCFD increased up to 120 C, the relative Pt/C ratio of the surface of the carbon nanowall film increased gradually. By further
148
7 Using Carbon Nanowalls as Templates
increasing the sample temperature above 120 C, the relative Pt/C ratio increased rapidly. Figure 7.19b–d show TEM images of the Pt-supported carbon nanowall surface after the SCF-MOCFD at sample temperatures of 120, 150, and 170 C, respectively. As can be seen from these TEM images, the spatial density of the Pt nanoparticles (particle numbers/area) supported on the carbon nanowall surface strongly depended on the sample temperature during the SCF-MOCFD, while the average size of the Pt nanoparticles increased from 1.5 to 3 nm with an increase in the sample temperature from 120 to 170 C. Aligned carbon nanotube film samples were prepared on the Si substrates using microwave plasma-enhanced CVD with a 2.45 GHz, 1.5 kW microwave generator, which is described in Sect. 2.1 of Chap. 2. Details of the synthesis method of the carbon nanotube films are described elsewhere [35, 36]. A mixture of CH4 and H2 was used as the source gas. By the controlled preparation of catalytic Co nanoparticles, vertically aligned, single-walled, and double-walled carbon nanotube films were fabricated in a controlled manner. A TEM image of carbon nanotubes scraped away from the substrate before the SCF-MOCFD treatment is shown in Fig. 7.20a, together with the cross-sectional SEM image of a cleaved, aligned carbon nanotube film as an inset. The TEM image shown in Fig. 7.20a reveals that most of the carbon nanotubes are double-walled with an average outer diameter of approximately 4 nm. These vertically aligned, dense carbon nanotube films were exposed to the sc-CO2 with MeCpPtMe3. TEM images of the carbon nanotube film after the SCF-CVD are shown in Fig. 7.20b–d. Figure 7.20b shows a low-resolution cross-sectional TEM image of the Pt-supported carbon nanotube film after the SCF-MOCFD treatment at a sample temperature of 150 C. The TEM image in Fig. 7.20c corresponds to the position indicated as “top” in the cross-sectional TEM image of the carbon nanotube film shown in Fig. 7.20b, while the TEM image in Fig. 7.20d corresponds to the “middle” position. The TEM images observed at both positions indicate that the entire surface of the carbon nanotubes was covered with platinum. Figure 7.20e shows a TEM image of the carbon nanotubes scraped away from the substrate after the SCF-MOCFD treatment at a sample temperature of 150 C. As a result of the SCF-MOCFD, metal nanoparticles were formed on the entire surface of the carbon nanotubes. Figure 7.20f shows a magnified TEM image of double-walled carbon nanotubes after the SCFMOCFD, indicating the presence of dispersed nanoparticles of approximately 2 nm size on the carbon nanotube surface.
7.2.7
Mechanism of Platinum Nanoparticle Formation by Metal-Organic Chemical Fluid Deposition Using Supercritical Carbon Dioxide
MeCpPtMe3 has been used as a precursor for the formation of Pt particles and films by metal-organic chemical vapor deposition (MOCVD) for years. Thermal decomposition of MeCpPtMe3 has been reported to occur at 240 C, while the initial
7.2 Synthesis of Pt Nanoparticles on Carbon Nanowall Surface
a
b
149
top
20 µm
middle 5 µm
20 nm
c
d
100 nm
100 nm
e
f
100 nm
20 nm
Fig. 7.20 (a) TEM image of carbon nanotubes scraped away from the substrate before SCFMOCFD treatment. Inset: cross-sectional SEM image of a cleaved aligned carbon nanotube film grown using microwave plasma-enhanced chemical vapor deposition. (b) Low-resolution crosssectional TEM image of a Pt-supported carbon nanotube film after the SCF-MOCFD treatment at a sample temperature of 150 C, (c) TEM image of Pt-supported carbon nanotube film at the “top” position in the cross-sectional TEM image of (b), and (d) TEM image of Pt-supported carbon nanotube film at the “middle” position in the cross-sectional TEM image of (b). (e) TEM image of carbon nanotubes scraped from the substrate after the SCF-MOCFD treatment at a sample temperature of 150 C. (f) Magnified TEM image of double-walled carbon nanotubes scraped from the substrate after the SCF-MOCFD, indicating the presence of dispersed nanoparticles on the carbon nanotube surface [32] – reproduced with permission from American Scientific Publishers
crystal growth of platinum from the precursors on a highly oriented pyrolytic graphite was observed at 190 C [37]. In contrast, in our case using the SCFMOCFD, the formation of Pt nanoparticles on the surface of carbon nanostructures occurred at relatively low temperatures above 120 C as shown in Fig.7.19a. In an earlier study carried out by Watkins and McCarthy [26], PtMe2(cod) was converted
150
7 Using Carbon Nanowalls as Templates
to metallic platinum at 140 C, 26.5 MPa in sc-CO2, while the decomposition temperature of PtMe2(cod) is 208 C at atmospheric pressure, which is consistent with our result using the MeCpPtMe3 precursor. In the presence of a high-pressure SCF, the metal-organic precursor can be converted to elemental metal at a lower temperature than at atmospheric pressure. Erkey’s group investigated the particle formation mechanism for a variety of metals using sc-CO2 deposition [30, 38]. In their method, PtMe2(cod) was dissolved in sc-CO2 and impregnated into the supporting materials, and after depressurization the impregnated PtMe2(cod) molecules were then reduced to metallic Pt nanoparticles by heat treatment or by chemical reduction with hydrogen, resulting in the formation of uniformly dispersed nanoparticles with narrow size distributions. They proposed that the precursor molecules in the sc-CO2 phase are adsorbed on the surface, and after depressurization these adsorbed molecules are in turn reduced to elemental platinum and the resulting particles at the surface continue to grow until all the adsorbed precursor molecules are converted to the metal. When the reduction temperature of PtMe2(cod) molecules was increased, the resulting particle size increased due to the increase in mobility of the individual Pt particles, allowing them to coalesce and form larger particles. In this case, in contrast, the supporting carbon nanostructures were selectively heated in the sc-CO2 with Pt precursors during the process. Figure 7.21 depicts the model of Pt nanoparticle formation on the surface of carbon nanostructures using
Supercritical CO2 Carbon nanowalls
Pt compound Pt atom
Supercritical CO2
hydrocarbon group grain boundary or defect
Heated graphite Pt cluster
Fig. 7.21 Illustration of the formation model of Pt nanoparticles on the surface of carbon nanostructures using metal-organic chemical fluid deposition in the supercritical CO2
7.3 Pattern Transfer from Carbon Nanowall into SiO2 Film
151
metal-organic chemical fluid deposition in the supercritical CO2. At the heated surface of the carbon nanostructures during in situ thermal reduction under the SCF environment, decomposition of the adsorbed precursor molecules and growth of the particles would occur. Many defects exist on the surface of carbon nanotubes grown by plasma-enhanced chemical vapor deposition. Meanwhile, the carbon nanowalls have been reported to consist of nanodomains a few tens of nanometers in size [39], and individual carbon nanowalls were found to have many defects [40]. It is suggested that the surface-migrating Pt adatoms, produced by the decomposition of MeCpPtMe3 precursors, merge to form Pt clusters from several Pt atoms preferentially at chemically active sites such as defects and grain boundaries on the surface of the carbon nanostructures, resulting in the nucleation of Pt nanoparticles. The reaction temperature at the surface would be a significant factor influencing the particle number density and particle size. As pointed out by Erkey’s group [30], when the temperature is increased, both reduction of metal-organic precursors and surface migration of Pt atoms would be enhanced, which may lead to an increase in the particle number density and particle size. As can be seen from the TEM images in Fig. 7.19b–d, the average size of Pt nanoparticles increased from 1.5 to 3 nm with an increase in the sample temperature from 120 to 170 C, while the Pt particle number density increased drastically. The amount of Pt loading, supported on the carbon nanowall surface, has not been measured. Under the constant period of the SCF-MOCFD process, the amount of precursors arriving at the carbon nanowall surface is assumed to be always almost the same, in spite of differences in the sample temperatures. Assuming that sufficient amount of precursors arrive at the surface, the Pt nucleation density (nucleation sites/area) would be determined by the rate of reduction of precursor molecules on the surface, which would be enhanced by increasing the surface temperature. Therefore, the amount of Pt loading is considered to increase with an increase in the sample temperature, as can be expected from the TEM images shown in Fig. 7.19b–d. Furthermore, with regards to the growth experiment in our study, the processing period including impregnation and reduction was only 30 min, considerably shorter than the typical period of other groups and was not sufficient for aggregation of particles. This could explain the small particle size and size distribution in this study. The large surface area of carbon nanostructures to the relevant precursor amount in the system can also account for the small particle size. If the SCF-MOCFD process is carried out at high surface temperatures for a long period (h), the size of the Pt particles would increase and aggregation would likely occur.
7.3
Pattern Transfer from Carbon Nanowall into SiO2 Film
In Sect. 7.1, nanostructured materials were fabricated on the carbon nanowall templates. In contrast, by using carbon nanowall template as a mask of etching, the unique morphology of carbon nanowalls can be transferred to other materials, resulting in the fabrication of nanostructured materials with two-dimensional wall
152
7 Using Carbon Nanowalls as Templates (1) Preparation of carbon nanowalls
(3) Removal of carbon nanowalls O2 RIE
SiO2
carbon nanowalls
Si substrate
(2) Plasma etching of SiO2 C4F8/Ar/N2 RIE
(4) Fabrication of SiO2 2D-nanostructure
Fig. 7.22 Schematic diagram of the pattern transfer process for the fabrication of SiO2 twodimensional nanostructure
structure similar to carbon nanowalls. Figure 7.22 shows a schematic diagram of the pattern transfer process for the fabrication of two-dimensional SiO2 nanostructure. Firstly, carbon nanowall film is prepared on the SiO2 film. Then, carbon nanowall film is used as a mask, and SiO2 film is etched. After plasma etching of SiO2 film, remaining carbon nanowalls are removed by O2 reactive ion etching (RIE). Finally, the morphology of carbon nanowall template is transferred into SiO2 film, resulting in the formation of SiO2 two-dimensional (2D) nanostructure. Carbon nanowalls were fabricated by fluorocarbon RF plasma-enhanced CVD with H radical injection on a SiO2/Si substrate for 2 h. The thicknesses of SiO2 and carbon nanowall films were approximately 400 and 300 nm, respectively. These carbon nanowalls were used as a mask, and the etching of carbon nanowall/SiO2 sample was carried out using dual frequency (60 and 2 MHz) capacitively coupled plasma (CCP) etching system, as shown in Fig. 7.23. The power of 60 MHz was applied to the upper electrode. Ar, N2, and C4F8 gases were introduced through the shower head on the upper electrode. The gap distance between upper and bottom electrodes was 3.5 cm. Both upper electrode and bottom electrode were cooled at a temperature of 20 C. The sample was placed on the bottom electrode, and held by an electrostatic chuck. The etching experiment was carried out at CCP and bias powers of 1,200 W, a pressure of 10 pa, and gas flow rates of C4F8/Ar/N2 ¼ 4/850/ 150 sccm. The etching period was 90 s. After the etching, remaining carbon film was removed by O2 plasma etching at RF power of 300 W and pressure of 1.3 Pa. Figure 7.24a, b show top view and cross-sectional view SEM images of carbon nanowall film prepared on the SiO2/Si substrate for 2 h. Two-dimensional wallshaped morphology of carbon nanowalls is clearly observed. The height of carbon nanowall film was approximately 300 nm. Carbon nanowall/SiO2 sample was etched by dual-frequency CCP etching system employing Ar/N2/C4F8 mixture for 90 s. Figure 7.24c, d show top-view and cross-sectional view SEM images of SiO2
7.3 Pattern Transfer from Carbon Nanowall into SiO2 Film
153
40 cm VHF power (60 MHz)
Matching Box
Water (60°C)
Ar/N2/C4F8
3.5cm Substrate
Transfer To pump RF bias (2 MHz)
Coolant solvent
Fig. 7.23 Schematic of the dual-frequency (60 and 2 MHz) capacitively coupled plasma etching system
a
8 nm
b
carbon nanowall SiO2 1 µm
c
48 nm
500 nm
d
SiO2 1 µm
500 nm
Fig. 7.24 (a) Top view and (b) cross-sectional view SEM images of carbon nanowall film prepared on the SiO2/Si substrate for 2 h. (c) Top view and (d) cross-sectional view SEM images of SiO2 two-dimensional nanostrucure after the pattern transfer process
two-dimensional nanostrucure after the pattern transfer process. The SiO2 film was etched at the rate of 1.7 nm/s. In this experiment, the selectivity of SiO2 to carbon nanowalls in the etch rate was estimated to be approximately 0.54, indicating that
154
7 Using Carbon Nanowalls as Templates
the carbon nanowalls would be easily etched compared with SiO2. Accordingly, after the etching for 90 s, carbon nanowalls were totally removed. The carbon nanowall pattern was successfully transferred into SiO2 film. The width of the wall of SiO2, shown in the SEM image in the inset of Fig. 7.24c, ranged from 40 to 50 nm, while the average wall thickness of carbon nanowall was 8 nm before etching, as shown in the inset of Fig. 7.24a. The reason for the deviation of wall thicknesses between carbon nanowalls and pattern-transferred SiO2 nanostructure is speculated as follows. Individual carbon nanowalls are not straight, but are inclined and wavy, as illustrated in Fig. 7.25. Therefore, during the etching process, these inclined or wavy carbon nanowalls would act as a mask of which equivalent width is thicker than that of the actual carbon nanowalls. For obtaining SiO2 two-dimensional nanostrucure with thinner wall thickness, it is necessary to prepare carbon nanowalls with better vertical alignment and less branching structure. This pattern transfer process can be applied to fabricate other two-dimensional nanostructured materials including metals, semiconductors, and insulators. For this
8 nm
Fig. 7.25 Schematic illustration of SiO2 etching by using carbon nanowalls as a mask, showing the deviation of wall thicknesses between carbon nanowalls and patterntransferred SiO2 nanostructure
carbon nanowall 40–50 nm SiO2 Si substrate
mold (2D nanostructure) polymer
Fig. 7.26 Schematic diagram of the nanoimprint process to create nanometer-scale pattern of polymers, where two-dimensional nanostructure fabricated by the pattern transfer process shown in Fig. 7.22 is used as a mold
References
155
application, the selectivity of the etch rates of materials to be etched to carbon nanowalls is an important issue. The selectivity of SiO2 to carbon nanowalls in the etch rate was approximately 0.54. On condition that the selectivity of etch rates of the materials to be etched to carbon nanowall mask is low, preparation of higher carbon nanowall mask with better alignment is required. Alternatively, carbon nanowalls might be coated with other materials for reducing the etch rate of carbon nanowalls, resulting in the improvement of etch selectivity. Two-dimensional SiO2 or metal nanostructures fabricated using the pattern transfer process shown in Fig. 7.22 will be used as a mold of nanoimprint process. An example of nanoimprint process is illustrated in Fig. 7.26. In this case, a thin layer of thermoplastic polymer is spin coated onto the sample substrate. The mold is pressed onto the softened polymer film under a certain pressure. After being cooled down, the mold is detached from the sample and the patterned polymer is left on the substrate. By using the nanoimprint process, nanometer-scale patterned polymers can be fabricated with low cost and high throughput.
References 1. Wu YH, Yang BJ, Zong BY, Sun H, Shen ZX, Feng YP (2004) Carbon nanowalls and related materials. J Mater Chem 14: 469–477 2. Yang BJ, Wu YH, Zong BY, Shen ZX (2002) Electrochemical synthesis and characterization of magnetic nanoparticles on carbon nanowall templates. Nano Lett 2: 751–754 3. Wu YH, Yang BJ, Han GC, Zong BY, Ni HQ, Luo P, Chong TC, Low TS, Shen ZX (2002) Fabrication of a class of nanostructured materials using carbon nanowalls as the templates. Adv Funct Mater 12: 489–494 4. Hamaguchi S, Rossnagel SM (1996) Liner conformality in ionized magnetron sputter metal deposition processes. J Vac Sci Technol B 14: 2603–2608 5. Lee J, Yang HJ, Lee JH, Kim JY, Nam WJ, Shin HJ, Ko YK, Lee JG, Lee EG, Kim CS (2006) Highly conformal deposition of pure Co films by MOCVD using Co2(CO)8 as a precursor. J Electrochem Soc 153: G539–G542 6. Jin HJ, Shiratani M, Nakatake Y, Fukuzawa T, Kinoshita T, Watanabe Y, Toyofuku M (1999) Conformal deposition of high-purity copper using plasma reactor with H atom source. Jpn J Appl Phys 38: 4492–4495 7. George SM, Ott AW, Klaus JW (1996) Surface chemistry for atomic layer growth. J Phys Chem 100: 13121–13131 8. Ushizawa K, Sato Y, Mitsumori T, Machinami T, Ueda T, Ando T (2002) Covalent immobilization of DNA on diamond and its verification by diffuse reflectance infrared spectroscopy. Chem Phys Lett 351: 105–108 9. Yang W, Auciello O, Butler JE, Cai W, Carlisle JA, Gerbi JE, Gruen DM, Knickerbocker T, Lasseter TL, Russell JN, Smith LM, Hamers RJ (2002) DNA-modified nanocrystalline diamond thin-films as stable, biologically active substrates. Nat Mater 1: 253–257 10. Wenmackers S, Haenen K, Nesla´dek M, Wagner P, Michiels L, van de Ven M, Ameloot M (2003) Covalent immobilization of DNA on CVD diamond films. Phys Status Solidi 199: 44–48 11. Takahashi K, Tanga M, Takai O, Okamura H (2003) DNA preservation using diamond chips. Diam Relat Mater 12: 572–576 12. Hiramatsu M, Hori M (2006) Fabrication of carbon nanowalls using novel plasma processing. Jpn J Appl Phys 45: 5522–5527
156
7 Using Carbon Nanowalls as Templates
13. Chuang ATH, Robertson J, Boskovic BO, Koziol KKK (2007) Three-dimensional carbon nanowall structures. Appl Phys Lett 90: 123107-1–123107-3 14. Hou K, Outlaw RA, Wang S, Zhu MY, Quinlan RA, Manos DM, Kordesch ME, Arp U, Holloway BC (2008) Uniform and enhanced field emission from chromium oxide coated carbon nanosheets. Appl Phys Lett 92: 133112-1–133112-3 15. Lamy C, Leger JM, Srinivasan S (2001) Direct methanol fuel cells: from a twentieth century electrochemist’s dream to a twenty-first century emerging technology. In: Bockris JO’M, Conway BE, White RE (eds) Modern aspects of electrochemistry, Chapter 3, vol 34. Berlin, Springer, pp 53–118 16. Liu Z, Ling XY, Su X, Lee JY (2004) Carbon-supported Pt and PtRu nanoparticles as catalysts for a direct methanol fuel cell. J Phys Chem B 108: 8234–8240 17. Ebbesen TW, Lezec HJ, Hiura H, Bennett JW, Ghaemi HF, Thio T (1996) Electrical conductivity of individual carbon nanotubes. Nature 382: 54–56 18. Baughman RH, Zakhidov AA, de Heer WA (2002) Carbon nanotubes – the route toward applications. Science 297: 787–792 19. Matsumoto T, Komatsu T, Arai K, Yamazaki T, Kijima M, Shimizu H, Takasawa Y, Nakamura J (2004) Reduction of Pt usage in fuel cell electrocatalysts with carbon nanotube electrodes. Chem Commun 7: 840–841 20. Yoshitake T, Shimakawa Y, Kuroshima S, Kimura H, Ichihashi T, Kubo Y, Kasuya D, Takahashi K, Kokai F, Yudasaka M, Iijima S (2002) Preparation of fine platinum catalyst supported on single-wall carbon nanohorns for fuel cell application. Phys B 323: 124–126 21. Huang JE, Guo DJ, Yao YG, Li HL (2005) High dispersion and electrocatalytic properties of platinum nanoparticles on surface-oxidized single-walled carbon nanotubes. J Electroanal Chem 577: 93–97 22. Mu Y, Liang H, Hu J, Jiang L, Wan L (2005) Controllable Pt nanoparticle deposition on carbon nanotubes as an anode catalyst for direct methanol fuel cells. J Phys Chem B 109: 22212–22216 23. Machino T, Takeuchi W, Kano H, Hiramatsu M, Hori M (2009) Synthesis of platinum nanoparticles on two-dimensional carbon nanostructures with an ultrahigh aspect ratio employing supercritical fluid chemical vapor deposition process. Appl Phys Exp 2: 0250011–025001-3 24. Cansell F, Aymonier C (2009) Design of functional nanostructured materials using supercritical fluids. J Supercrit Fluids 47: 508–516 25. Liu ZM, Han BX (2009) Synthesis of carbon-nanotube composites using supercritical fluids and their potential applications. Adv Mater 21: 825–829 26. Watkins JJ, McCarthy TJ (1995) Polymer/metal nanocomposite synthesis in supercritical CO2. Chem Mater 7: 1991–1994 27. Lin Y, Cui X, Yen C, Wai CM (2005) Platinum/carbon nanotube nanocomposite synthesized in supercritical fluid as electrocatalysts for low-temperature fuel cells. J Phys Chem B 109: 14410–14415 28. Saquing CD, Kang D, Aindow M, Erkey C (2005) Investigation of the supercritical deposition of platinum nanoparticles into carbon aerogels. Microporous Mesoporous Mater 80: 11–23 29. Zhang Y, Kang D, Saquing C, Aindow M, Erkey C (2005) Supported platinum nanoparticles by supercritical deposition. Ind Eng Chem Res 44: 4161–4164 30. Zhang Y, Erkey C (2006) Preparation of supported metallic nanoparticles using supercritical fluids. J Supercrit Fluids 38: 252–267 31. Bayrakceken A, Kitkamthorn U, Aindow M, Erkey C (2007) Decoration of multi-wall carbon nanotubes with platinum nanoparticles using supercritical deposition with thermodynamic control of metal loading. Scr Mater 56: 101–103 32. Hiramatsu M, Machino T, Mase K, Hori M, Kano H (2010) Preparation of platinum nanoparticles on carbon nanostructures using metal-organic chemical fluid deposition employing supercritical carbon dioxide. J Nanosci Nanotechnol 10: 4023–4029
References
157
33. Kondo S, Hori M, Yamakawa K, Den S, Kano H, Hiramatsu M (2008) Highly reliable growth process of carbon nanowalls using radical injection plasma-enhanced chemical vapor deposition. J Vac Sci Technol B 26: 1294–1300 34. Pitchon V, Fritz A (1999) The relation between surface state and reactivity in the DeNOX mechanism on platinum-based catalysts. J Catal 186: 64–74 35. Hiramatsu M, Nagao H, Taniguchi M, Amano H, Ando Y, Hori M (2005) High-rate growth of films of dense, aligned double-walled carbon nanotubes using microwave plasma-enhanced chemical vapor deposition. Jpn J Appl Phys 44: L693–L695 36. Hiramatsu M, Deguchi T, Nagao H, Hori M (2007) Aligned growth of single-walled and double-walled carbon nanotube films by control of catalyst preparation. Jpn J Appl Phys 46: L303–L306 37. Ngo T, Brandt L, Williams RS, Kaesz HD (1993) Scanning tunneling microscopy study of platinum deposited on graphite by metalorganic chemical vapor deposition. Surf Sci 291: 411–417 38. Erkey C (2009) Preparation of metallic supported nanoparticles and films using supercritical fluid deposition. J Supercritical Fluids 47: 517–522 39. Kobayashi K, Tanimura M, Nakai H, Yoshimura A, Yoshimura H, Kojima K, Tachibana M (2007) Nanographite domains in carbon nanowalls. J Appl Phys 101: 094306-1–094306-4 40. Kurita S, Yoshimura A, Kawamoto H, Uchida T, Kojima K, Tachibana M, Molina-Morales P, Nakai H (2005) Raman spectra of carbon nanowalls grown by plasma-enhanced chemical vapor deposition. J Appl Phys 97: 104320–1–104320-5
Chapter 8
Future Perspective for Emerging Applications Using Carbon Nanowalls
Self-organized graphite nanostructures composed of graphene have been studied intensively. Carbon nanowalls and related sheet nanostructures are layered graphenes with open boundaries. The sheets form a self-supported network of wall structures with thicknesses in the range from a few nanometers to a few tens of nanometers, and with a high aspect ratio. The large surface area and sharp edges of carbon nanowalls could prove useful for a number of different applications. Electron field emitters and electrodes for fuel cell would be promising applications. To date, carbon nanowalls and related materials have been grown using various CVD methods. The morphology and structure of carbon nanowalls depend on the source gases, pressure, process temperature as well as the type of plasma used for the growth of carbon nanowalls. Isolated nanosheets, vertically standing nanowalls with a maze-like structure, highly branched type, and a kind of porous film have been fabricated. We can expect a wide variety of applications based on their structure or morphology, as illustrated in Fig. 8.1. Dense, highly branched carbon nanowall films with extremely large surface area are used for gas storage application. For this purpose, vertical alignment and crystallinity of carbon nanowalls can be less crucial, while low-temperature growth with relatively high growth rate may be important for the practical use. In the case of the application for membrane filter, honeycomb structure with controlled spacing is required. For this application, some methods for exfoliation of carbon nanowalls from the substrate to obtain freestanding membrane, or attachment of carbon nanowall membrane to the different materials should be developed. For the electron emitters, carbon nanowalls with atomically thin edges, moderate spacing, and excellent height uniformity are required. For the practical use of carbon nanowalls in the electron emitter application, evaluations of stability and lifetime of emitters are also important. Using carbon nanowalls as templates would be the most promising and important application. As described in Chap. 7, carbon nanowalls can be used as templates for fabricating a variety of nanostructured materials based on the surface morphology of the carbon nanowalls and nanocomposites of carbon and nanoparticles of other materials. These structures could prove useful in batteries, gas sensors, solar cells, electrodes, and biomedical devices. For this purpose, it is M. Hiramatsu and M. Hori, Carbon Nanowalls, DOI 10.1007/978-3-211-99718-5_8, # Springer-Verlag/Wien 2010
159
160
8 Future Perspective for Emerging Applications Using Carbon Nanowalls
Bulk application
Dense (film) Membrane application Filter Gas storage Emitter
Randomly oriented
Template application Vertically standing
Capacitor
Heat sink
Catalyst support Photovoltaic cell Electrochemistry Bio-sensor Isolated graphene device
Vertical or Horizontal
Isolated (nanosheet)
Graphene application
Fig. 8.1 Schematic illustration of expected applications of carbon nanowalls vs. morphologies
necessary to establish decorating methods of carbon nanowall surface with a variety of materials. Furthermore, it is important to evaluate electrochemical characteristics of nanocomposites of carbon and other materials systematically. In 2004, Novoselov et al. [1] found a way to extract a single graphene layer from graphite. Since then, graphene attracted the interest of many scientists, hence many of the predicted electronic properties of graphene were verified in experiments. Since the carbon nanowalls essentially consist of layered graphene sheets, they are expected to have high mobility for carriers and large, sustainable current densities. Therefore, carbon nanowalls are considered to be used for nanoscale electronic devices. In Chap. 4, electrical conduction properties of carbon nanowalls as a bulk film were described [2–4]. The current results demonstrate that carbon nanowalls consisting of vertical, monolithic self-sustaining nano-graphene sheets have great potential for application in next-generation electronic devices. However, the resistivity and carrier concentration derived from Hall measurement reflect the electrical property of the bulk carbon nanowall film comprising the web of nanographene sheets with interspaces. Since the electrical transport inside the complex network of carbon nanowalls has not been fully understood, the intrinsic property of carbon nanowall (a few to 30 layers of graphene sheets) cannot be derived from the result of Hall measurement for the carbon nanowall films, while single graphene sheet reportedly possesses semiconductor characteristics [5, 6]. These findings could be useful for the design and evaluation of electronic devices using bulk carbon nanowall films. On the other hand, the carrier concentration and mobility, as well as the band gap inside the individual monolithic carbon nanowall sheets, are of great interest for realizing nanographene devices. To this end, it is urgent to achieve the
References
161
growth control of carbon nanowalls based on the surface reaction control, e.g., by using the patterned substrates and nano-dot array of metal catalysts for obtaining aligned carbon nanowalls with controlled intervals and isolated monolithic carbon nanowall with a few layered graphenes. Research to exploit the potential of graphene and to develop next-generation electronic devices using carbon nanowalls has just begun.
References 1. Novoselov KS, Geim AK, Morozov SV, Jiang D, Zhang Y, Dubonos SV, Grigorieva IV, Firsov AA (2004) Electric field effect in atomically thin carbon films. Science 306: 666–669 2. Takeuchi W, Ura M, Hiramatsu M, Tokuda Y, Kano H, Hori M (2008) Electrical conduction control of carbon nanowalls. Appl Phys Lett 92: 213103-1–213103-3 3. Takeuchi W, Sasaki H, Kato S, Takashima S, Hiramatsu M, Hori M (2009) Development of measurement technique for carbon atoms employing vacuum ultraviolet absorption spectroscopy with a microdischarge hollow-cathode lamp and its application to diagnostics of nanographene sheet material formation plasmas. J Appl Phys 105: 113305-1–113305-6 4. Teii K, Shimada S, Nakashima M, Chuang ATH (2009) Synthesis and electrical characterization of n-type carbon nanowalls. J Appl Phys 106: 084303-1–084303-6 5. Nakada K, Fujita M, Dresselhaus G, Dresselhaus MS (1996) Edge state in graphene ribbons: Nanometer size effect and edge shape dependence. Phys Rev B 54: 17954–17962 6. Fang T, Konar A, Xing H, Jena D (2007) Carrier statistics and quantum capacitance of graphene sheets and ribbons. Appl Phys Lett 91: 092109-1–092109-3