М И Н И СТ Е РСТ В О О БРА ЗО В А Н И Я РО ССИ Й СК О Й Ф Е Д Е РА Ц И И В О РО Н Е Ж СК И Й ГО СУ Д А РСТ В Е Н Н Ы Й У...
56 downloads
459 Views
752KB Size
Report
This content was uploaded by our users and we assume good faith they have the permission to share this book. If you own the copyright to this book and it is wrongfully on our website, we offer a simple DMCA procedure to remove your content from our site. Start by pressing the button below!
Report copyright / DMCA form
М И Н И СТ Е РСТ В О О БРА ЗО В А Н И Я РО ССИ Й СК О Й Ф Е Д Е РА Ц И И В О РО Н Е Ж СК И Й ГО СУ Д А РСТ В Е Н Н Ы Й У Н И В Е РСИ Т Е Т
ПРО Е К Т И РО В А Н И Е Ц И Ф РО В Ы Х У СТ РО Й СТ В Н А Б А ЗЕ ПЛИ С Ф И РМ Ы XILINX В СРЕ Д Е WebPACK ISE Пособ и едлястудентов 4к. д/о по специ альности 013800 “ Ради оф и зи каи электр они ка”
В О РО Н Е Ж 2004
2 У твер ж дено науч но-м етоди чески м советом ф и зи ческого ф акультета Состави тели :
Боб р еш ов А .М ., Д ы б ой А .В .
Пособ и еподготовлено накаф едр еэлектр они ки ф и зи ческого ф акультета В ор онеж ского государ ственного уни вер си тета.
Реком ендуется для студентов 4к. д/о специ альности “ Ради оф и зи ка и электр они ка”.
3 Н астоящ ее пособ и е служ и т для и зучени я основ р аб оты с пр огр ам м и р уем ой логи кой ф и р м ы XILINX, освоени я пакета пр огр ам м XILINX WebPack 5.1 и получени я пр акти чески х навы ков р аб оты . Ф и р м а XILINX является в настоящ ее вр ем я ведущ и м м и р овы м пр ои зводи телем пр огр ам м и р уем ы х логи чески х и нтегр альны х сх ем , котор ы е пользую тся все б ольш ей популяр ностью ср еди р азр аб отчи ков ци ф р овой аппар атур ы . С др угой стор оны , уни вер сальность таки х сх ем и возм ож ность м ногокр атного пер епр огр ам м и р овани я делаю т и х незам ени м ы м и для получени я пр акти чески х навы ков в об ласти ци ф р овой электр они ки . К сож алени ю , и м ею щ и еся б и б ли огр аф и чески е и сточни ки р ассчи таны скор ее на специ али стов, и м ею щ и х опы т р аб оты , чем на студентов. Д анное пособ и е пр и звано части чно восполни ть этот пр об ел. В си лу огр ани ченного об ъем а оно не пр етендует на полноту и злож ени я, однако автор ы в пер вую очер едь пр еследовали др угую цель – пр едостави ть чи тателю необ х оди м ы й м и ни м ум знани й дляначаласам остоятельной р аб оты . Д анное пособ и е пр едназначено для студентов, об учаю щ и х ся на ф и зи ческом ф акультете по специ альности “ Ради оф и зи ка и электр они ка”.
4
С о дер ж а н и е В В Е Д Е НИ Е .......................................................................................................6 С Е М Е Й С Т В О П Р О Г Р А М М И Р У Е М О Й Л О Г И К И SPARTAN-II Ф И Р М Ы XILINX .......................................................................................................8 А РХИ Т Е К Т У РА СЕ М Е Й СТ В А SPARTAN-II.....................................................8 Б ЛО К И В В О Д А - В Ы В О Д А .................................................................................10 С т рук т ура БВ В .......................................................................................10 В в о д сигна ло в ...........................................................................................11 В ы в о д сигна ла ..........................................................................................12 К О Н Ф И ГУ РИ РУ Е М Ы Й ЛО ГИ Ч Е СК И Й БЛО К .....................................................13 С т рук т ура К Л Б......................................................................................13 Та блица Прео бра зо в а ния.......................................................................14 За по м ина ю щие элем ент ы ......................................................................14 Арифм ет ическ а я ло гик а ........................................................................14 Буферы с т рем я со ст о яниям и..............................................................15 Бло чна я па м ят ь(Block RAM)................................................................15 ПРО ГРА М М И РУ Е М А Я Т РА ССИ РО В О Ч Н А Я М А Т РИ Ц А .....................................16 Л о к а льны е св язи ......................................................................................16 Тра ссиро в о чны е ресурсы о бщего на зна чения.....................................17 Тра ссиро в о чны е ресурсы для бло к о в в в о да -в ы в о да ...........................17 С пециа льны е т ра ссиро в о чны е ресурсы . .............................................18 Гло ба льны е т ра ссиро в о чны е ресурсы .................................................18 Ра спределение сигна ло в синхро низа ции ..............................................18 М А Р Ш Р У Т П Р О Е К Т И Р О В А НИ Я ЦИ Ф Р О В Ы Х У С Т Р О Й С Т В НА БА ЗЕ П Л И С ..............................................................................................................20 СО ЗД А Н И Е Н О В О ГО ПРО Е К Т А ........................................................................22 РА БО Т А С РЕ Д А К Т О РО М ПРИ Н Ц И ПИ А ЛЬ Н Ы Х СХЕ М .....................................24 РА БО Т А С Т Е К СТ О В Ы М РЕ Д А К Т О РО М ...........................................................31 ЗА Д А Н И Е Т О ПО ЛО ГИ Ч Е СК И Х И В РЕ М Е Н Н Ы Х О ГРА Н И Ч Е Н И Й ПРО Е К Т А ......32 СИ Н Т Е З ПРО Е К Т А ...........................................................................................33 РА ЗМ Е Щ Е Н И Е И Т РА ССИ РО В К А ПРО Е К Т А .....................................................34 ПРО ГРА М М И РО В А Н И Е К РИ СТ А ЛЛО В ............................................................35 О П И С А НИ Е Л А БО Р А Т О Р НО Г О М А К Е Т А ........................................39 П Р И Л О Ж Е НИ Е 1. БИ БЛ И О Т Е К А В С Т Р О Е ННЫ Х К О М П О НЕ НТ О В WEBPACK ISE......................................................................40 А РИ Ф М Е Т И Ч Е СК И Е Ф У Н К Ц И И .......................................................................40 Б У Ф Е РЫ ..........................................................................................................41 К О М ПА РА Т О РЫ ..............................................................................................42
5 СЧ Е Т Ч И К И ......................................................................................................43 Д Е К О Д Е РЫ ......................................................................................................44 Т РИ ГГЕ РЫ .......................................................................................................45 Т РИ ГГЕ РЫ -ЗА Щ Е ЛК И .....................................................................................45 Ф У Н К Ц И И В В О Д А / В Ы В О Д А ...........................................................................45 ЛО ГИ Ч Е СК И Е ПРИ М И Т И В Ы ............................................................................46 Э ЛЕ М Е Н Т Ы ПА М Я Т И ......................................................................................47 М У ЛЬ Т И ПЛЕ К СО РЫ ........................................................................................47 СД В И ГО В Ы Е РЕ ГИ СТ РЫ ..................................................................................48 Д РУ ГИ Е К О М ПО Н Е Н Т Ы ..................................................................................49 Л И Т Е Р А Т У Р А ...............................................................................................50
6
В веден и е Т р ади ци онно счи талось, что пр оекти р овани е даж е неслож ной ци ф р овой аппар атур ы нельзя вы полни ть в сж аты е ср оки . Е сли пр и составлени и пр огр ам м ного об еспечени я для м и кр опр оцессор ов давно пр и м еняю тся ср едства ускор енного пр оекти р овани я, ци кл р азр аб отки ци ф р овой аппар атур ы оставался неи зм енны м и вклю чал несколько последовательны х этапов. Сначала нуж но р азр аб отать пр и нци пи альную сх ем у устр ой ства, затем спр оекти р овать и и зготови ть печатную плату, см онти р овать ее и пр овер и ть на р аб отоспособ ность. Поскольку допущ енны е ош и б ки вы являю тся, как пр ави ло, во вр ем я тести р овани я, возм ож но потр еб уется повтор ени е всего ци кла. Т о ж е сам ое потр еб уется и для об новлени я вер си и устр ой ства. Д о си х пор сам ы м р аспр остр аненны м вы х одом и з данной си туаци и б ы ло и спользовани е однокр и стальны х м и кр оком пью тер ов (м и кр оконтр оллер ов). Е сли б ольш и нство ф ункци й си стем ы возлож ено на м и кр оконтр оллер , об новлени е своди тся к его пер епр огр ам м и р овани ю . О днако м и кр оконтр оллер ы способ ны спр ави ться далеко не с лю б ой задачей . И х нельзя пр и м енять там , где тр еб уется б ы стр одей ствую щ ая об р аб отка данны х и ли м ногоканальная об р аб отка (напр и м ер , в ци ф р овы х ви деоси стем ах ). В таки х случаях еди нственны м р еш ени ем станови тся и спользовани е специ али зи р ованны х свер х б ольш и х и нтегр альны х сх ем (СБИ С). Пр и м енени е таки х сх ем дает х ор ош и й р езультат для р еш ени я стандар тны х , наи б олее ти пи ч ны х задач. В то ж е вр ем я пр оекти р овани е нер егуляр ны х узлов пр и х оди лось вы полнять на б азе сх ем м алой и ср едней степеней и нтегр аци и ли б о пр и м енять специ али зи р ованны е и ли полузаказны е м атр и чны е СБИ С (М аБИ С). В последнем случае тр еб уем ая ф ункци я заклады вается пр и создани и кр и сталла на заводеи зготови теле, и з-за чего р езко удор ож ается и удли няется ци кл пр оекти р овани я. Появлени е пр огр ам м и р уем ы х логи чески х и нтегр альны х м и кр осх ем (ПЛИ С) и зм ени ло си туаци ю . Э волю ци я ПЛИ С нач и налась со сх ем , котор ы е путем пр огр ам м и р овани я позволяли р еали зовы вать нер егуляр ны е части ци ф р овой аппар атур ы . С увели чени ем слож ности и ем кости ПЛИ С и х об ласть пр и м енени я значи тельно р асш и р и лась. И х стали и спользовать для пар аллельной об р аб отки данны х , постр оени я свер х б ы стр одей ствую щ и х вы ч и сли телей , атакж едляпр ям ой зам ены специ али зи р ованны х СБИ С. Т аки м об р азом , пр и м енени е ПЛИ С дает р азр аб отчи кам следую щ и е пр еи м ущ ества: • ум еньш ени е коли чества ди скр етны х элем ентов на плате, а значи т упр ощ ени е м онтаж а, а такж е повы ш ени е надёж ности сх ем и ком пактности ;
7 • повы ш ени е б ы стр одей стви я слож ны х сх ем , что дости гается за счет отсутстви ям онтаж ны х соеди нени й ; • что наи б олее важ но, р азви ти е теор ети чески х м етодов в электр они ке позволяет на совр ем енном этапе р ади кально упр ости ть и ускор и ть р азр аб отку пр и нци пи альной сх ем ы устр ой ства. В то ж е вр ем я, совер ш енствовани е ПЛИ С позволяет легко воплощ ать даж еочень слож ны есх ем ы ; • алгор и тм ы об р аб отки данны х , котор ы е допускаю т р аспар аллели вани е (а таки х б ольш и нство), м огут вы полняться на б азе ПЛИ С б ы стр ее, чем с пом ощ ью м и кр опр оцессор ов. По скор ости об р аб отки данны х некотор ы е совр ем енны е сем ей ства ПЛИ С м огут конкур и р овать см ульти пр оцессор ны м и си стем ам и ; • в си лу того что б ольш и нство совр ем енны х ПЛИ С м огут б ы ть пер епр огр ам м и р ованы непоср едственно в си стем е, то есть б ез и звлечени я м и кр осх ем ы и з готового устр ой ства, станови тся возм ож ны м и спр авлять ош и б ки в уж е готовы х пр оектах , вы пускать новы е вер си и об ор удовани я подоб но том у, как вы пускаю тсяновы евер си и ком пью тер ны х пр огр ам м ; • р азр аб отка специ али зи р ованны х СБИ С окупает себ я только для очень кр упны х пр оектов. В то ж е вр ем я не очень дор оги е совр ем енны е ПЛИ С по стои м ости сопостави м ы с заказны м и сх ем ам и . Т аки м об р азом , пр и м енени е ПЛИ С м ож ет сущ ественно сни зи ть стои м ость р азр аб отки , а следовательно и готового и здели я; • р азр аб отка пр оектов на б азе ПЛИ С тр еб ует м еньш его вр ем ени , чем р азр аб отка заказны х сх ем . В настоящ ее вр ем я р азр аб отчи ки СБИ С ш и р око и спользую т пр огр ам м и р уем ую логи ку для пер воначальной отладки пр оектов. Н а сегодняш ни й день б олее 80% и спользуем ы х ПЛИ С вы пускает несколько кр упны х пр ои зводи телей . А р х и тектур ы пр едлагаем ы х и м сем ей ств и м ею т м ного об щ его, поскольку пр едставлени я об опти м альной ар х и тектур е б ази р уется на сущ ествую щ и х м етодах пр оекти р овани я. К р ом е того, пр ои зводи тели стр ем ятся адапти р овать свою пр одукци ю к ш и р оком у классу пр акти чески р еш аем ы х задач. О станови м свой вы б ор на сем ей стве Spartan-II, вы пускаем ом ф и р м ой Xilinx. В настоящ ее вр ем я это сем ей ство пр едставляет б ольш ой и нтер ес для р азр аб отчи ков. О но вклю чает сх ем ы , логи ческая ем кость котор ы х леж и т в пр еделах от 15.000 до 200.000 экви валентны х венти лей . Э то позволяет р еали зовы вать достаточно слож ны е пр оекты на одном кр и сталле, напр и м ер , вы сокочастотны е ци ф р овы е ф и льтр ы , устр ой ства для р еали заци и б ы стр ого пр еоб р азовани я Ф ур ье и ли Wawelet-
8 пр еоб р азовани й , ци ф р овы е пр и ем ни ки и пер едатчи ки , даж е м и кр оконтр оллер ы (так назы ваем ы е Soft-пр оцессор ы ). Д ля пони м ани я пр и нци пов р аб оты ПЛИ С останови м ся на ар х и тектур е сем ей ства Spartan-II. Более подр об ную и нф ор м аци ю м ож но получи ть на сай те пр ои зводи теля http://www.xilinx.com
С ем ейство пр о гр а м м и р уем о й л о ги ки SPARTAN-II ф и р м ы XILINX Ар хи тектур а сем ейства SPARTAN-II Сем ей ство SPARTAN-II и м еет ар х и тектур у, х ар актер ную для FPGA ф и р м ы XILINX. Пр едстави тели этого сем ей ства м огут пр и м еняться в пр оектах как альтер нати вазаказны м и ли полузаказны м и нтегр альны м сх ем ам ем костью до 200.000 си стем ны х венти лей . Н екотор ы ех ар актер и сти ки сем ей ствапр и ведены ни ж е: • ем кость от 15 000 до 200 000 си стем ны х венти лей ; • си стем наяпр ои зводи тельность до 200 М Гц; • поддер ж ка 16 наи б олее р аспр остр аненны х стандар тов вводавы вода; • четы р е встр оенны х м одуля автоподстр ой ки задер ж ек (DLL delay-locked loop) для р асш и р енного упр авлени я тактовы м и си гналам и как внутр и ПЛИ С, так и в пр еделах печатной платы и ли устр ой ства; • четы р е глоб альны е сети р аспр еделени я тактовы х си гналов с м алы м и р азб егам и ф р онтов; • 24 локальны етактовы есети ; • встр оенная б лочная пам ять, каж ды й б лок конф и гур и р уется как си нх р оннаядвух пор товаяRAM ём костью 4 К б и т; • специ альная логи ка ускор енного пер еноса для вы сокоскор остны х ар и ф м ети чески х опер аци й ; • конф и гур аци якр и сталлах р ани тся во внеш нем ПЗУ и загр уж ается в ПЛИ С послевклю чени япи тани я. О сновны м и особ енностям и ар х и тектур ы кр и сталлов сем ей ства Spartan-II являю тся ги б кость и р егуляр ность. К р и сталлы состоят и з м атр и цы К ЛБ (К онф и гур и р уем ы й Логи чески й Блок), котор ая окр уж ена пр огр ам м и р уем ы м и б локам и ввода-вы вода (БВ В ). В се соеди нени я м еж ду основны м и элем ентам и (К ЛБ, БВ В ) осущ ествляю тся с пом ощ ью наб ор а и ер ар х и чески х вы сокоскор остны х пр огр ам м и р уем ы х тр асси р овочны х р есур сов. И зоб и ли е таки х р есур сов позволяет р еали зовы вать на ПЛИ С
9
Ри с.1. Стр уктур наясх ем аSpartan-II
сем ей ства Spartan-II даж есам ы е насы щ енны еи слож ны е пр оекты . К р и сталлы сем ей стваSpartan-II пр ои зводятсянаосновестати ческого О ЗУ (Static Random Access Memory – SRAM), поэтом у ф ункци они р овани е кр и сталлов опр еделяется загр уж аем ы м и во внутр енни е ячей ки пам яти конф и гур аци онны м и данны м и . Стр уктупнаясх ем аSpartan-II показананар и с.1. О сновны м и пр огр ам м и р уем ы м и элем ентам и м атр и цы являю тся: • К онф и гур и р уем ы й Логи чески й Блок - К ЛБ (в англи й ском вар и анте Configurable Logic Block –CLB). К ЛБ являю тся основны м и элем ентам и , на основе котор ы х р еали зуется вся логи ка • Блок В вода-В ы вода - БВ В (в англи й ском вар и анте Input/Output Blocks - IOB). БВ В осущ ествляю т и нтер ф ей с м еж ду контактам и м и кр осх ем ы и К ЛБ. • Соеди нени е м еж ду К ЛБ осущ ествляется с пом ощ ью тр асси р овочны х м атр и ц – Т М . Э то м атр и ца пр огр ам м и р уем ы х тр анзи стор ны х двунапр авленны х пер еклю чателей , р асполож енны х на пер есечени и гор и зонтальны х и вер ти кальны х ли ни й связи . К аж ды й К ЛБ окр уж ен локальны м и ли ни ям и связи (VersaBlockTM), котор ы е позволяю т осущ естви ть соеди нени я с м атр и цей Т М . • И нтер ф ей с ввода-вы вода VersaRing создает дополни тельны е тр асси р овочны е р есур сы по пер и ф ер и и кр и сталла. Э ти тр ассы улучш аю т об щ ую “ тр асси р уем ость” устр ой ства и возм ож ности
10 тр асси р овки после закр еплени я электр и чески х цепей к конкр етны м контактам . А р х и тектур а Spartan-II такж е вклю чает следую щ и е элем енты , котор ы е соеди няю тсясм атр и цей Т М : • Специ альны еб локи пам яти (BRAMs) р азм ер ом 4096 б и т каж ды й . • Ч еты р е м одуля автоподстр ой ки задер ж ек (DLL), пр едназначенны х для ком пенсаци и задер ж ек тактовы х си гналов, атакж еделени я, ум нож ени яи сдви гаф азы тактовы х частот. • Буф ер ы с тр ем я состояни ям и (BUFT), котор ы е р асполож ены вб ли зи каж дого К ЛБ и упр авляю т гор и зонтальны м и сегм енти р ованны м и тр ассам и . К оды , запи санны ев ячей ки стати ческой пам яти , упр авляю т настр ой кой логи чески х элем ентов и ком м утатор ам и тр асс, осущ ествляю щ и х м еж соеди нени я в сх ем е. Э ти коды загр уж аю тся в ячей ки после вклю чени я пи тани я и м огут пер езагр уж аться в пр оцессе р аб оты , если необ х оди м о и зм ени ть р еали зуем ы ем и кр осх ем ой ф ункци и . Бл о ки вво да -вы во да О тли чи тельны м свой ством БВ В сем ей ства Spartan-II является поддер ж ка ш и р окого спектр а стандар тов си гналов ввода-вы вода, что позволяет сопр ягать Spartan-II с б ольш и нством б ы стр одей ствую щ и х элем ентов пам яти и ш и нны х и нтер ф ей сов. С т рук т ура Б В В Н а р и с.2 пр едставлена стр уктур ная сх ем а Б В В . Блок содер ж и т тр и запом и наю щ и х элем ента, ф ункци они р ую щ и х ли б о как D-тр и ггер ы , ли б о как тр и ггер ы -защ елки . К аж ды й БВ В и м еет вх одной си гнал си нх р они заци и (CLK), р аспр еделенны й на тр и тр и ггер а и незави си м ы е для каж дого тр и ггер а си гналы р азр еш ени я такти р овани я (Clock Enable - CE). К р ом е того, на все тр и ггер ы заведен си гнал Сб р оса/У становки (Set/Reset - SR). Д ля каж дого тр и ггер а этот си гнал м ож ет б ы ть сконф и гур и р ован незави си м о как си нх р онная установка (Set), си нх р онны й сб р ос (Reset), аси нх р онная пр едустановка (Preset) и ли аси нх р онны й сб р ос (Clear). В х одны е и вы х одны е б уф ер ы , а такж е все упр авляю щ и е си гналы в Б В В допускаю т незави си м ы й вы б ор поляр ности . Д анное свой ство не отоб р аж ено на б лок-сх ем е Б В В , но контр оли р уется пр огр ам м ой пр оекти р овани я. По вы б ор у к каж дом у контакту м ож ет подклю чаться: • внутр енни й р ези стор , соеди ненны й сзем ляной ш и ной (pulldown);
11
Ри с.2 Стр уктур аб локаввода-вы водаSpartan-II
• внутр енни й р ези стор , соеди ненны й сш и ной пи тани я(pullup); • м алом ощ ная сх ем а удер ж ани я последнего состояни я (week keeper). В в о д сигна ло в В х одной си гнал БВ В м ож ет б ы ть пр отр асси р ован ли б о непоср едственно к б локам внутр енней логи ки , ли б о чер ез вх одной тр и ггер . К р ом е того, м еж ду вы х одом б уф ер а и D-вх одом тр и ггер а м ож ет б ы ть подклю чен элем ент задер ж ки , ком пенси р ую щ и й наб ег ф аз пр и р аспр остр анени и си гналов м еж ду контактам и . К аж ды й вх одной б уф ер м ож ет б ы ть сконф и гур и р ован таки м об р азом , чтоб ы удовлетвор ять одном у и з стандар тов ввода-вы вода, поддер ж и ваем ы х устр ой ством . К каж дом у вх оду после окончани я пр оцесса конф и гур и р овани я м огут б ы ть, по вы б ор у, подклю чены внутр енни е р ези стор ы (ли б о pull-up, ли б о pulldown). Н ом и нал эти х р ези стор ов леж и т в пр еделах 50 - 150 К О м .
12 В ы в о д сигна ла В ы х одной си гнал пр ох оди т чер ез б уф ер с тр ем я состояни ям и , вы х од котор ого соеди нен непоср едственно с контактом . Си гнал м ож ет б ы ть пр отр асси р ован на вх одб уф ер а с тр ем я состояни ям и ли б о непоср едственно от внутр енней логи ческой стр уктур ы , ли б о чер ез вы х одной тр и ггер б лока ввода-вы вода. У пр авлени е б уф ер ом с тр ем я состояни ям и такж е м ож ет осущ ествляться ли б о непоср едственно от внутр енней логи ческой стр уктур ы , ли б о чер ез специ альны й тр и ггер БВ В , котор ы й позволяет создать си нх р онное упр авлени е си гналом р азр еш ени я и запр ещ ени я для б уф ер а с тр ем я состояни ям и . К аж ды й такой вы х одной каскадр ассчи тан навтекаю щ и й ток до 48 м А и вы текаю щ и й ток до 24 м А . Пр огр ам м и р овани ем ощ ности и скор ости нар астани я си гнала вы х одного каскада позволяет м и ни м и зи р овать пер ех одны е пр оцессы в ш и нах . По вы б ор у к каж дом у вы х оду м ож ет б ы ть подклю чена сх ем а week-keeper. Е сли данная цепь акти ви р ована (задаётся пользователем на этапе создани я сх ем ы ), то она следи т за напр яж ени ем на контакте м и кр осх ем ы и создает слаб ую нагр узку для вх одного си гнала, подклю ченную ли б о к "зем ле" (если на вх оде ур овень логи ческого нуля), ли б о к и сточни ку пи тани я(если на вх одеур овень логи ческой еди ни цы ). Е сли контакт подклю чен к нескольки м и сточни кам си гнала, эта цепь удер ж и вает ур овень вх одного си гнала в его последнем состояни и , пр и услови и , что все и сточни ки б ы ли пер еведены в состояни е с вы соки м и м педансом . Поддер ж ани е таки м путем одного и з допусти м ы х логи чески х ур овней позволяет ли кви ди р овать неопр еделённость ур овняш и ны .
13 Ко н ф и гур и р уем ы й л о ги чески й бл о к С т рук т ура К Л Б Базовы м элем ентом К ЛБ является логи ческая ячей ка - ЛЯ (Logic Cell LC). ЛЯ состои т и з 4-х вх одового ф ункци онального генер атор а, логи ки ускор енного пер еноса и запом и наю щ его элем ента. В ы х од каж дого ф ункци онального генер атор а каж дой логи ческой ячей ки подсоеди нен к
Ри с. 3 Секци яК ЛБ сем ей стваSpartan-II
вы х оду К ЛБ и к D-вх оду тр и ггер а. К аж ды й К ЛБ сер и и Spartan-II содер ж и т четы р е логи чески е ячей ки , ор гани зованны е в ви де двух оди наковы х секци й (Slice), О дна секци я пока зана на р и с. 3. В дополнени е к четы р ем б азовы м логи чески м ячей кам , К ЛБ сер и и Spartan-II содер ж и т логи ку, котор ая
14 позволяет ком б и ни р овать р есур сы ф ункци ональны х генер атор ов для р еали заци и ф ункци й от пяти и ли ш ести пер ем енны х . Т аки м об р азом , пр и оценке чи сла экви валентны х си стем ны х венти лей для м и кр осх ем сем ей ства Spartan-II каж ды й К ЛБ пр и р авни ваетсяк4,5 ЛЯ . Та блица Прео бра зо в а ния Ф ункци ональны е генер атор ы р еали зованы в ви де 4-х вх одовы х таб ли ц пр еоб р азовани я (Look-Up Table -LUT). К р ом е и спользовани я в качестве ф ункци ональны х генер атор ов, каж ды й LUT-элем ент м ож ет б ы ть такж е и спользован как си нх р оннаяпам ять ти паRAM р азм ер ностью 16x1 б и т. Более того, и з двух LUT-элем ентов в р ам ках одной секци и м ож но р еали зовать си нх р онную RAM-пам ять р азм ер ностью 16х 2 б и та и ли 32х 1 б и т, ли б о двух пор товую си нх р онную RAM-пам ять р азм ер ностью 16х 1 б и т. Н а LUTэлем енте м и кр осх ем ы Spartan-II м ож ет б ы ть р еали зован 16-ти б и товы й сдви говы й р еги стр , котор ы й и деально подх оди т для зах вата вы сокоскор остны х и ли пакетны х потоков данны х . Э тот р еж и м м ож ет такж е и спользоватьсядлязапом и нани яданны х в пр и лож ени ях ци ф р овой об р аб отки си гналов. За по мина ю щ ие элемент ы Запом и наю щ и е элем енты в каж дой секци и К ЛБ Spartan-II м огут конф и гур и р оваться как ди нам и чески е тр и ггер ы (чувстви тельны е к ф р онту си гнала) D-ти па, ли б о как тр и ггер ы -защ елки , чувстви тельны е к ур овню си гнала. D-вх од тр и ггер а м ож ет упр авляться ли б о от ф ункци онального генер атор а в р ам ках той ж е секци и К ЛБ, ли б о непоср едственно от вх одов данной секци и К ЛБ, м и нуя ф ункци ональны е генер атор ы . К р ом е си гналов си нх р они заци и (Clock) и р азр еш ени я си нх р они заци и (Clock Enable - CE) в каж дой секци и К ЛБ есть си гналы си нх р онной установки (Set) и сб р оса (Reset). О б означени е эти х си гналов –SR и BY соответственно. Си гнал SR пер еводи т запом и наю щ и й элем ент в состояни е, опр еделённое для него в конф и гур аци онны х данны х , аси гнал BY –в пр оти вополож ноесостояни е. Э ти ж е си гналы м огут б ы ть и спользованы такж е в качестве аси нх р онной пр едустановки (Preset) и очи стки (Clear). В сеси гналы упр авлени ям огут б ы ть незави си м о пр ои нвер ти р ованы . О ни заведены на об а тр и ггер а в р ам ках конкр етной секци и К ЛБ. Арифмет ическ а я ло гик а К аж дая ЛЯ содер ж и т специ альную логи ку ускор енного пер еноса, котор ая об еспечи вает наи лучш ую р еали заци ю на ПЛИ С р азли чны х ар и ф м ети чески х ф ункци й . К ЛБ содер ж и т две отдельны е цепи пер еноса - по одной на каж дую секци ю . Разм ер ность цепи пер еноса - два б и та на К ЛБ.
15 А р и ф м ети ческая логи ка вклю чает в себ я элем ент, р еали зую щ и й ф ункци ю и склю чаю щ его И ЛИ (XOR), котор ы й позволяет р еали зовать одноб и тны й сум м атор в одной логи ческой ячей ке. В каж дой логи ческой ячей ке и м еется элем ент, р еали зую щ и й ф ункци ю И , котор ы й пр едназначен для постр оени я б ы стр одей ствую щ и х ум нож и телей . Специ альны е тр ассы логи ки ускор енного пер еноса м огут такж е и спользоваться для каскадного вклю чени я ф ункци ональны х генер атор ов пр и необ х оди м ости создани я ф ункци й с б ольш и м коли чеством вх одны х пер ем енны х . Б уферы с т ремя со ст о яниями К аж ды й К ЛБ Spartan-II содер ж и т два б уф ер а с тр ем я состояни ям и , котор ы е нагр уж ены на внутр енни е ш и ны . К аж ды й б уф ер BUFT и м еет незави си м ы й вх од упр авлени я тр етьи м состояни ем и незави си м ы й вх одной контакт. Б ло чна я па мят ь (Block RAM) В FPGA Spartan-II встр оена особ ая б лочная пам ять (Block SelectRAM) б ольш ой ём кости . О на создана в дополнени е к р аспр еделенной пам яти неб ольш ой ём кости (SelectRAM), р еали зованной натаб ли цах пр еоб р азовани я
Ри с. 4 Блок пам яти SelectRAM
(Look Up Table RAM). М и кр осх ем а XC2S50, установленная на м акетной плате, и м еет 8 таки х б локов, каж ды й и з котор ы х и м еет ем кость 4096 б и т. К аж ды й б лок пам яти , как показано на р и с. 4, - это полностью си нх р онная двух пор товая RAM с незави си м ы м упр авлени ем для каж дого пор та. Разм ер ность ш и ны данны х для об еи х пор тов м ож ет б ы ть сконф и гур и р ована незави си м о(1, 2, 4, 8 и ли 16 б и т), что позволяет создавать пр еоб р азователи р азм ер ности ш и ны . В кр и сталлах Spartan-II созданы специ альны е тр асси р овочны ер есур сы длясвязи б лочной пам яти сб локам и CLB и др уги м и б локам и б лочной пам яти .
16 Пр о гр а м м и р уем а я тр а сси р о во чн а я м а тр и ц а Т р асси р овочная м атр и ца сем ей ства Spartan-II и м еет слож ную и ер ар х и ческую стр уктур у. М ож но вы дели ть локальны е связ и , тр асси р овочны е р есур сы об щ его назначени я, глоб альны е тр асси р овочны е р есур сы , специ альны е тр асси р овочны е р есур сы и тр асси р овочны е р есур сы дляб локов ввода-вы вода. Л о к а льны е св язи Стр уктур а локальны х тр асси р овочны х р есур сов и зоб р аж ена на р и с. 5. Здесь пр и сутствует тр и ти пасоеди нени й : • Связи м еж ду таб ли цам и пр еоб р азовани я (LUT), тр и ггер ам и и главной тр асси р овочной м атр и цей • В нутр енни е об р атны е связ и К ЛБ (CLB), котор ы е создаю т вы сокоскор остны е связ и с таб ли цам и пр еоб р азовани я (LUT) в р ам ках одного К ЛБ, и позволяю т соеди нять и х в ви де цепочек с м и ни м альны м и задер ж кам и р аспр остр анени яси гналов • Пр ям ы е тр ассы , котор ы е создаю т вы сокоскор остны е соеди нени я
Ри с. 5. Локальны етр асси р овочны ер есур сы
с соседни м и по гор и зонтали К ЛБ, и зб егая пр и этом б ольш и х задер ж ек, пр и сущ и х тр ассам главной тр асси р овочной м атр и цы .
17 Тра ссиро в о чны е ресурсы о бщ его на зна чения Больш и нство связей в кр и сталлах Spartan-II р еали зую тся с пом ощ ью тр асси р овочны х р есур сов об щ его назначени я и , следовательно, б ольш ая часть р есур сов м еж соеди нени й связана с эти м ти пом тр асси р овочной и ер ар х и и . Т р асси р овочны е р есур сы об щ его назначени я р асполож ены в ви де гор и зонтальны х и вер ти кальны х тр асси р овочны х каналов и р азм ещ ены в непоср едственной б ли зости от стр ок и столб цов м атр и цы , об р азованной б локам и К ЛБ. Н и ж епер ечи слены эти р есур сы : • Пр и м ы каю щ аяк каж дом у К ЛБ главнаятр асси р овочнаям атр и ца– ГТ М . ГТ М – это м атр и ца пер еклю чателей , с пом ощ ью котор ы х ком м ути р ую тся гор и зонтальны е и вер ти кальны е тр ассы и поср едством котор ы х б локи К ЛБ получаю т доступ к тр асси р овочны м р есур сам об щ его назначени я • ГТ М связана в каж дом и з четы р ех напр авлени й с соседней ГТ М поср едством 24-х тр ассоди нар ной дли ны • 96 б уф ер и зованны х HEX-ли ни й тр асси р ую т ГТ М си гналы к ш ести др уги м ГТ М в каж дом и з четы р ех напр авлени й . HEXли ни и ор гани зованны в ви де зи гзагооб р азны х ли ни й . HEX-ли ни и м огут подклю чаться к и сточни кам си гнала только в свои х конечны х точках и ли сер еди нны х (тр и б лока от и сточни ка). О дна тр етья часть HEX-ли ни й является двунапр авленны м и , в то вр ем я какостальны е– однонапр авленны е. • 12 дли нны х ли ни й являю тся б уф ер и зи р ованны м и , двунапр авленны м и ли ни ям и , р аспр остр аняю щ и м и си гналы в м и кр осх ем е б ы стр о и эф ф екти вно. В ер ти кальны е дли нны е ли ни и и м ею т пр отяж енность р авную полной вы соте кр и сталла, а гор и зонтальны едли нны ели ни и – полной ш и р и не. Тра ссиро в о чны е ресурсы для бло к о в в в о да -в ы в о да К р и сталл Spartan-II и м еет дополни тельны е тр асси р овочны е р есур сы , р асполож енны е по пер и ф ер и и всей м и кр осх ем ы . Э ти тр асси р овочны е р есур сы ф ор м и р ую т доб авочны й и нтер ф ей с м еж ду б локам и К ЛБ и б локам и БВ В . Э ти дополни тельны е р есур сы , назы ваем ы е VersaRing, улучш аю т возм ож ности закр еплени я си гналов за контактам и и пер еназначени я уж е сделанного закр еплени я, если это тр еб овани е наклады вается р асполож ени ем пр оводни ков на печатной плате. Пр и этом сокр ащ ается вр ем я и зготовлени я всего пр оекта, т. к. и зготовлени е и пр оекти р овани е печатной платы м ож но вы полнять одновр ем енно спр оекти р овани ем FPGA.
18 С пециа льны е т ра ссиро в о чны е ресурсы . Н екотор ы е классы си гналов тр еб ую т нали чи я специ альны х тр асси р овочны х р есур сов для м акси м и заци и б ы стр одей стви я. В устр ой стве Spartan-II специ альны е тр асси р овочны е р есур сы создавали сь для двух классов си гналов: • Гор и зонтальны е тр асси р овочны е р есур сы создавали сь для р еали заци и в м и кр осх ем е ш и н с тр ем я состояни ям и . Ч еты р е р азделенны е ли ни и ш и н р еали зованы для каж дой стр оки К ЛБ, позволяя ор гани зовы вать ср азу несколько ш и н в пр еделах одной стр оки • Д ве специ альны е ли ни и для р аспр остр анени я си гналов б ы стр ого пер еносак пр и легаю щ ем у К ЛБ в вер ти кальном напр авлени и . Гло ба льны е т ра ссиро в о чны е ресурсы Глоб альны етр асси р овочны ер есур сы р аспр еделяю т тактовы еси гналы и др уги е си гналы с б ольш и м коэф ф и ци ентом р азветвлени я по вы х оду на всем пр остр анстве кр и сталла. К р и сталл Spartan-II и м еет два ти па глоб альны х тр асси р овочны х р есур сов, назы ваем ы х соответственно пер ви чны м и и втор и чны м и . Пер ви чны е глоб альны е тр асси р овочны е р есур сы пр едставляю т соб ой четы р еспеци альны еглоб альны е сети со специ ально вы деленны м и вх одны м и контактам и и связанны м и с ни м и глоб альны м и б уф ер ам и , спр оекти р ованны м и для р аспр еделени я си гналов си нх р они заци и с вы соки м коэф ф и ци ентом р азветвлени я и с м и ни м альны м и р азб егам и ф р онтов. К аж дая такая сеть м ож ет б ы ть нагр уж ена на вх оды си нх р они заци и всех К ЛБ, БВ В и BlockRAM – б локов м и кр осх ем ы . И сточни кам и си гналов для эти х сетей м огут б ы ть только глоб альны е б уф ер ы . В сего и м еется четы р е глоб альны х б уф ер а– по одном удлякаж дой глоб альной сети . В тор и чны е глоб альны е тр асси р овочны е р есур сы состоят и з 24 м аги стр альны х ли ни й , 12 вдоль вер х ней кр ом ки кр и сталла и 12 вдоль ни ж ней . По эти м связям м ож ет б ы ть р аспр остр анено до 12-ти уни кальны х си гналов на колонку по 12 дли нны м ли ни ям данной колонки . В тор и чны е р есур сы являю тся б олееги б ки м и , чем пер ви ч ны е, т.к. эти си гналы , в отли чи е от пер ви чны х , м огут тр асси р оватьсянетолько до вх одов си нх р они заци и . Ра спределение сигна ло в синхро низа ции К ак б ы ло сказано вы ш е, для р аспр еделени я си гналов си нх р они заци и и спользую тся четы р е специ альны е глоб альны е сети . В м и кр осх ем у встр оено четы р е глоб альны х б уф ер а. Э ти б уф ер ы чер ез пер ви чны е глоб альны е сети м огут подводи ть си гналы си нх р они заци и на лю б ой тактовы й вх од. Д ля каж дого глоб ального б уф ер а и м еется соответствую щ и й , пр и м ы каю щ и й к
19 нем у контакт м и кр осх ем ы . Си гнал на вх од глоб ального б уф ер а м ож ет подаваться как с эти х контактов, так и от си гналов, тр асси р уем ы х р есур сам и об щ его назначени я. Пр и р аспр еделени и тактовы х си гналов б ольш оезначени е и гр аю т задер ж ки р аспр остр анени я, котор ы е м огут пр и вести к сб оям в си нх р они заци и . О б щ епр и няты е м етоди ки пр оекти р овани я и сх одят и з пр едполож ени я, что задер ж ка р аспр остр анени я тактовы х си гналов м еньш е др уги х х ар актер ны х задер ж ек в пр оекте. И спользовани е глоб альны х ш и н позволяет удовлетвор и ть этом у услови ю . К р ом е того, с каж ды м глоб альны м б уф ер ом связан м одуль ци ф р овой автоподстр ой ки задер ж ки , котор ы й м ож ет устр анять пер екос задер ж ек м еж ду си нх р оси гналом на вх одном контакте м и кр осх ем ы и си гналам и на тактовы х вх одах внутр енни х сх ем устр ой ства. К аж дая DLL м ож ет б ы ть нагр уж ена на две глоб альны е цепи си нх р они заци и . Сх ем а DLL отслеж и вает си гнал си нх р они заци и на вх одном контакте м и кр осх ем ы и тактовы й си гнал, р аспр еделяем ы й внутр и кр и сталла, затем автом ати чески устанавли вает необ х оди м ую задер ж ку. Д ополни тельная задер ж ка вводи тся таки м об р азом , что ф р онты си гналов си нх р они заци и дости гаю т внутр енни х тр и ггер ов в точности на оди н пер и одси нх р они заци и позж е и х пр и х ода на вх одной контакт. Э та си стем а с об р атной связью эф ф екти вно устр аняет задер ж ку р аспр еделени я си гналов си нх р они заци и , гар анти р уя, что ф р онты си нх р оси гналов на вх оде м и кр осх ем ы и на внутр енни х тактовы х вх одах сб ольш ой точностью си нх р онны . В доб авок, для устр анени я задер ж ек, возни каю щ и х пр и р аспр еделени и тактовы х си гналов, DLL создает новы е возм ож ности упр авлени я ф ункци ям и си нх р они заци и . М одуль DLL м ож ет создавать четы р е квадр атур ны е ф азы и з и сх одного и сточни ка си нх р оси гнала; удваи вать частоту си нх р оси гнала и ли дели ть эту частотуна1.5, 2, 2.5, 3, 4, 5, 8 и ли 16.
20
М а р ш р ут пр о екти р о ва н и я ц и ф р о вы х устр о йств н а ба зеПЛИС Создани е пр оектов на б азе ПЛИ С невозм ож но б ез и спользовани я си стем автом ати зи р ованного пр оекти р овани я (СА ПР). Ср еди СА ПР, поддер ж и ваю щ и х сем ей ство Spartan-II, стои т вы дели ть пакет WebPack ISE ф и р м ы Xilinx. Э тот пр одукт является б есплатной вер си ей пр огр ам м ного об еспечени я ISE Foundation. WebPack об ладает пр акти чески всем и возм ож ностям и ком м ер ческой вер си и . В нем не поддер ж и ваю тся кр и сталлы б ольш ой ем кости (1.000.000 и б олее венти лей ), однако сем ей ство Spartan-II поддер ж и вается полностью . Д ля создани я ци ф р ового устр ой ства на б азе ПЛИ С Xilinx необ х оди м о вы полни ть следую щ ую последовательность опер аци й : • Создать новы й пр оект, указав сем ей ство, ти п ПЛИ С и ср едств си нтеза. • Разр аб отать опи сани е пр оекти р уем ого устр ой ства в сх ем отех ни ческой , алгор и тм и ческой и ли текстовой ф ор м е (то есть с пом ощ ью язы ков опи сани я ци ф р овы х сх ем VHDL, Verilog, ABEL). • В ы полни ть си нтез устр ой ства. • Пр овести пр овер ку пр оекта м етодом ф ункци онального м одели р овани я. Н а этом этапе пр ои зводи тся м одели р овани е б ез учета вр ем енны х задер ж ек, и м ею щ и х ся в р еальном пр оекте. Т акой подх од позволяет ускор и ть р аб оту пр огр ам м ы м одели р овани я. К р ом е того, достовер ная и нф ор м аци я о вр ем енны х задер ж ках появляется уж е после р азм ещ ени я всего пр оектав кр и сталле. О тладкаотдельны х ф ункци ональны х б локов об ы чно пр ои зводи тсянаф ункци ональном ур овне • В ы полни ть р азм ещ ени еи тр асси р овку пр оектав кр и сталл. • Пр овести окончательную вер и ф и каци ю пр оекта м етодом вр ем енного м одели р овани я, то есть м одели р овани я с учетом р еальны х вр ем енны х задер ж ек. • Загр узи ть конф и гур аци онны е данны е пр оекта в кр и сталл (вы полни ть пр огр ам м и р овани е ПЛИ С). О пер аци и ф ункци онального и вр ем енного м одели р овани я не являю тся об язательны м и , но позволяю т значи тельно сокр ати ть об щ еевр ем яр азр аб отки устр ой ства за счет р аннего об нар уж ени я возм ож ны х ош и б ок. Д ля освоени я опи санного м ар ш р ута пр оекти р овани е необ х оди м о и зуч и ть б азовы е пр и ем ы р аб оты спр огр ам м ны м об еспечени ем WebPack ISE.
21 Д ля акти ви заци и пакета необ х оди м о запусти ть пр огр ам м у WebPACK Project Navigator. Н а экр ане отоб р аж ается основное окно Н ави гатор а пр оекта (р и с. 6), котор ое содер ж и т кр ом е стандар тны х элем ентов четы р е встр оенны х окна: • окно и сх одны х м одулей пр оекта(Sources in Project); • окно необ х оди м ы х пр оцессов для вы б р анного и сх одного м одуля пр оекта(Processes) • окно консольны х сооб щ ени й пр огр ам м ны х м одулей (Console); • окно р едактор атекстовы х HDL-опи сани й пр оекта. В окне и сх одны х м одулей пр оекта отоб р аж ается и ер ар х и ческая стр уктур а, состоящ ая и з м одулей , в котор ы х содер ж и тся опи сани е пр оекти р уем ого устр ой ства и опи сани е тестовы х воздей стви й , и спользуем ы х в пр оцессе м одели р овани я. К аж ды й ти п м одуля и м еет соответствую щ ее гр аф и ческоеоб означени е- пи ктогр ам м у.
Ри с.6. О сновноеокно Н а в ига т о ра про ек т а пакетаWebPACK ISE
22 О кно пр оцессов показы вает м ар ш р ут об р аб отки вы деленного и сх одного м одуля в пр оцессе пр оекти р овани я устр ой ства. Т аки м об р азом , в данном окне подр об но отоб р аж аю тся все этапы пр оцесса р азр аб отки и пр огр ам м и р овани я ПЛИ С. В этом ж е окне м ож но вы з вать вы полнени е р азли чны х ути ли т и этапов пр оекти р овани я. Д ля этого нуж но дваж ды щ елкнуть м ы ш кой насоответствую щ ей стр окев окнепр оцессов. Содер ж и м ое окна пр оцессов является контекстно-зави си м ы м , то есть в нем отоб р аж аю тся только те пункты , котор ы е и м ею т отнош ени е к текущ ем у этапу р аб оты . В этом ж еокнеотоб р аж аю тсяи м енасозданны х в пр оцессер аб оты отчетов. Д ля пр осм отр аотчетаследует дваж ды щ елкнуть левой кнопкой м ы ш и настр окес названи ем отчета. О кно консольны х сооб щ ени й пр едназначено для вы вода и нф ор м аци и пр огр ам м ны х м одулей пакета, р аб отаю щ и х в консольном р еж и м е. Здесь отоб р аж аю тсясооб щ ени яоб ош и б ках и пр едупр еж дени я. О кно и нтегр и р ованного текстового р едактор а станови тся акти вны м , если для пр оекти р уем ого устр ой ства и ли и спольз уем ы х б и б ли отек вы б р ан способ опи сани янаязы кеHDL. В неш ни й ви дср еды и стр уктур а окон м ож ет отли чаться в зави си м ости от ти паи сх одны х м одулей и и спользуем ого сем ей ства ПЛИ С. С о зда н и ен о во го пр о екта Д ля создани я нового пр оекта следует вы полни ть ком анду File основного м еню Н ави гатор апр оекта, азатем во всплы ваю щ ем м еню вы б р ать стр окуNew Project, как показано нар и с. 7. В откр ы вш ей ся ди алоговой панели нуж но опр едели ть и сх одны е данны е, необ х оди м ы едлясоздани япр оекта: • названи епр оекта; • ди ски каталог, в котор ом пр едполагаетсяр асполож и ть пр оект; • сем ей ство ПЛИ С, наб азекотор ого р азр аб аты ваетсяустр ой ство; • ти п кр и сталла; • кор пус; • б ы стр одей стви е; • ср едство си нтезаПЛИ С. После успеш ного создани я пр оекта необ х оди м о создать м одули и сх одного опи сани я пр оекти р уем ого устр ой ства. Рассм отр и м сначала пр и м ер создани ясх ем отех ни ческого м одуля. Д ля создани я нового м одуля и сх одного опи сани я пр оекта следует наж ать кнопку на опер ати вной панели и ли вы б р ать ком анду New Source и з р аздела Project основного м еню . В откр ы вш ей ся ди алоговой панели ,
23
Ри с.7. Создани енового пр оектав СА ПР WebPACK ISE
показанной нар и с. 8, необ х оди м о вы б р ать ти п нового м одуля, задать его и м я и указать м есто р асполож ени яф ай ланади ске. Д ляр азр аб отки пр и нци пи альной сх ем ы следует в пр едлож енном спи ске ди алоговой панели вы б р ать ти п создаваем ого и сх одного м одуля (напр и м ер , Schematic), щ елкнув на соответствую щ ей стр оке левой кнопкой м ы ш и . Затем нуж но акти ви зи р овать поле р едакти р овани я названи я м одуля (ф ай ла) File Name и ввести текст и м ени спом ощ ью клави атур ы . Расш и р ени е и м ени ф ай ла устанавли вается автом ати чески в соответстви и с вы б р анны м ти пом м одуля. М есто р асполож ени я создаваем ого м одуля на ди ске указ ы вается в поле р едакти р овани я Location ди алоговой панели (р и с. 8). По ум олчани ю пр едлагается р аб очи й каталог текущ его пр оекта. Е сли ф лаг и нди катор а Add to project нах оди тся в установленном состояни и (поле и нди катор а пом ечено м ар кер ом ), то созданны й м одуль автом ати чески вклю чается в состав текущ его пр оекта. У становка значени й всех необ х оди м ы х пар ам етр ов создаваем ого м одуля завер ш ается наж ати ем клави ш и "Next"(Д алее), котор ая нах оди тся в ни ж ней части ди алоговой панели (р и с.8). Пр и успеш ном
24
Ри с.8. Д и алоговаяпанель установки пар ам етр ов нового и сх одного м одуляпр оекта
создани и м одуля откр ы вается и нф ор м аци онная панель, на котор ой отоб р аж аю тся пар ам етр ы созданного м одуля. Е сли пар ам етр ы кор р ектны , следует наж ать кнопку Finish, в пр оти вном случае наж ать кнопку Back и заново пр ои звести р едакти р овани е пар ам етр ов. После наж ати я кнопки Finish пр ои зводи тся запуск ути ли ты , котор ая отвечает за р едакти р овани е м одулей . Д лясх ем отех ни чески х м одулей это гр аф и чески й р едактор сх ем ECS. Ра бо та с р еда кто р о м пр и н ц и пи а л ьн ы х схем Д ля создани я пр и нци пи альной сх ем ы устр ой ства необ х оди м о вы полни ть следую щ ее: • Разм ещ ени еэлем ентов электр и ческой сх ем ы • Соеди нени еэлем ентов спом ощ ью пр оводни ков и ш и н • Пр и сваи вани еи м ен отдельны м пр оводни кам и ш и нам • Пр и вязкаси гналов к внеш ни м вы водам ПЛИ С
25 Пр и откр ы ти и окна сх ем отех ни ческого р едактор а (р и с. 9) акти ви зи р ован р еж и м вы б ор а об ъекта, установленны й по ум олчани ю . В этом р еж и м е осущ ествляется вы делени е, пер ем ещ ени е и удалени е элем ентов сх ем ы , атакж еи х пр осм отр и р едакти р овани е Д ля ввода си м волов ком понентов создаваем ой сх ем ы следует наж ать на и нстр ум ентальной панели и ли вы б р ать ком анду Symbol, кнопку котор ая нах оди тся во всплы ваю щ ем м еню Add. Реж и м ввода си м волов
Ри с.9. Располож ени е си м волов ком понентов на
ол р втом теж аати чески вклю чается пр и вы б ор е си м вола в панели ком понентовпта ке ж че еа б и б ли отек, котор ая нах оди тся в пр авой части окна ECS (р и с. 9). В начале следует вы б р ать нуж ную ф ункци ональную гр уппу си м волов б и б ли отеки ком понентов в поле Categories б и б ли отечной панели , пом ести в кур сор на стр оку с ее названи ем и щ елкнув левой кнопкой м ы ш и . Д алее тем ж е способ ом в поле Symbols вы б и р ается и ском ы й ком понент, после чего кур сор м ы ш и следует пер ем ести ть на поле чер теж а. Пр и этом к кур сор у м ы ш и пр и вязы вается контур ное и зоб р аж ени е вы б р анного ком понента, котор ое пер ем ещ ается вм есте с указателем . Д ля получени я повер нутого и /и ли зер кального и зоб р аж ени я си м вола на поле чер теж а м ож но воспользоваться контекстны м м еню , котор ое вы падает пр и наж ати и пр авой кнопки м ы ш и ,
26 ли б о следует наж ать соответственно кнопки и для повор ота и зер кального отр аж ени я ком понента. Д алее следует пом ести ть указатель с и зоб р аж ени ем элем ента в соответствую щ ее м есто стр ани цы сх ем ы и заф и кси р овать его, щ елкнув левой кнопкой м ы ш и . После ввода си м вола кур сор по-пр еж нем у сох р аняет контур ное и зоб р аж ени е элем ента, поэтом у, если в сх ем е и спользуется несколько экзем пляр ов текущ его вы б р анного ком понента, то нуж но пом ести ть указатель на м есто р асполож ени я следую щ его ком понента и вновь щ елкнуть левой кнопкой м ы ш и . А налоги ч ны м об р азом р азм ещ аю тся на поле чер теж а все ком поненты сх ем ы . Н аж ати е клави ш и ESC акти ви зи р ует р еж и м вы б ор а об ъекта (р еж и м по ум олчани ю , котор ы й б ы л акти вен пр и откр ы ти и р едактор а сх ем ).Д ля соеди нени я ком понентов сх ем ы с пом ощ ью пр оводни ков (Wire) и ш и н (Bus) на и нстр ум ентальной панели и ли вы б р ать следует наж ать кнопку ком анду Wire и з вы падаю щ его м еню Add. Ф ор м и р овани е цепи нач и нается с ф и ксаци и стар товой точки , котор ая м ож ет р асполагаться на своб одном м есте поля чер теж а и ли совпадать с контактом вы вода одного и з ком понентов. Д ля
Ри с.10. Ф ор м и р овани есоеди нени й и ш и н
27 этого следует пом ести ть кур сор м ы ш и в тр еб уем ую точку на поле чер теж а и щ елкнуть левой кнопкой м ы ш и . Затем следует пер ем ести ть указатель в пози ци ю , соответствую щ ую точке и зги б а, соеди нени я с др уги м пр оводни ком и ли контактом ком понента, а такж е конечной точке цепи . Пр и этом ф ор м и р уем ы й сегм ент цепи отоб р аж ается ш тр и х овой ли ни ей . Ф и ксаци я сегм ента осущ ествляется щ елчком левой кнопкой м ы ш и в конечной точке, послечего новы й ф р агм ент цепи отоб р аж аетсяосновной ли ни ей . Завер ш ени е ф ор м и р овани я цепи осущ ествляется щ елчком пр авой кнопкой м ы ш и после ф и ксаци и последнего сегм ента цепи . Ч тоб ы доб ави ть новы й сегм ент к созданной р анее цепи , следует р асполож и ть кур сор на лю б ом ее участке и щ елкнуть левой кнопкой м ы ш и , послечего в этой пози ци и отоб р ази тсяточка, отм ечаю щ аясоеди нени едвух цепей . Д алееследует повтор и ть р ассм отр енны е вы ш е дей стви я. Д ля ф ор м и р овани я соеди нени й элем ентов сх ем ы в ви де ш и н необ х оди м о вы полни ть следую щ ую последовательность дей стви й . В начале в р еж и м е ввода пр оводни ков создается гр аф и ческое и зоб р аж ени е ш и ны в ви де ф р агм ента оди ночной цепи в соответстви и с и нстр укци ям и , р ассм отр енны м и вы ш е. Д о тех пор , поканезадано названи еш и ны в соответствую щ ем ф ор м ате (с указани ем р азр ядности и ли пер ечи слени ем пр оводни ков), она отоб р аж ается сплош ной тонкой ли ни ей , как оди ночная цепь. Затем следует на панели пер ей ти в р еж и м ф ор м и р овани я отводов ш и ны , наж ав кнопку и нстр ум ентов и ли вы полни в ком анду Bus Tap и з вы падаю щ его м еню Add. Пр и этом к кур сор у пр и соеди няется и зоб р аж ени е отвода ш и ны (р и с. 10). Си м вол отвода ш и ны м ож ет б ы ть подклю чен к вер ти кальном у и ли гор и зонтальном у сегм енту ш и ны . Д ля получени я нуж ной ор и ентаци и и зоб р аж ени я отвода ш и ны следует воспользоваться кнопкой , пр и каж дом наж ати и котор ой осущ ествляется повор от и зоб р аж ени я на девяносто гр адусов по часовой стр елке. Д алее нуж но указать точку подклю чени я пр оводни ка к ш и не, р асполож и в на ней кур сор и щ елкнув левой кнопкой м ы ш и . Пр и этом си м вол отвода пр и соеди няется к и зоб р аж ени ю вы б р анной ш и ны . После ф ор м и р овани я всех отводов ш и ны пр ои зводи тся и х соеди нени е пр оводни кам и с соответствую щ и м и цепям и и элем ентам и сх ем ы . После подклю чени я пр оводни ков к отводам ш и ны пр ои зводи тся пр и своени е соответствую щ и х наз вани й эти х цепей . Пр и р азр аб отке сх ем ы в р едактор е ECS необ х оди м о установи ть названи я цепей , котор ы е вх одят в состав ш и н и ли и спользую тся для соеди нени й с "внеш ни м и " элем ентам и . В состав названи я цепи м огут вх оди ть пр опи сны е и стр очны е б уквы лати нского алф ави та (A -Z, a - z), ци ф р ы (0 - 9), а такж е си м вол подчер ки вани я ("_"). Н азвани е долж но начи наться с б уквы и ли ци ф р ы и м ож ет состоять только и з ци ф р . Д ли на названи я не долж на пр евы ш ать 255 си м волов. Ч тоб ы вклю чи ть
28 р еж и м ввода названи я цепей , следует наж ать кнопку и нстр ум ентов и ли вы б р ать пункт Add в основном м еню , а
на панели
Ри с.11 Задани епар ам етр ов в р еж и м евводаназвани й цепей
затем в соответствую щ ем всплы ваю щ ем м еню - стр оку Net Name. В этом р еж и м е панель дополни тельны х пар ам етр ов сх ем отех ни ческого р едактор аи м еет ви д, показанны й нар и с. 11. В этой панели следует акти ви зи р овать поле ввода и м ени цепи (Name), пом ести в на него кур сор и щ елкнув левой кнопкой м ы ш и . Н азвани е цепи наб и р ается в этом поле с пом ощ ью клави атур ы . В веденны й текст названи я пр и вязы вается к кур сор у м ы ш и пр и пер ем ещ ени и последнего на поле чер теж а сх ем ы . Д алее необ х оди м о пом ести ть указатель на и зоб р аж ени е соответствую щ ей цепи и щ елкнуть левой кнопкой м ы ш и . Е сли на сх ем е
29 пр и сутствую т два и ли б олее пр оводни ка с оди наковы м и названи ям и , но не и м ею щ и еточексоеди нени й , то они об ъеди няю тсяэлектр и чески в одну цепь. Н азвани я ш и н устанавли ваю тся аналоги чно в том ж е р еж и м е. Ф ор м ат названи й ш и н и м еет следую щ и й ви д <и м я ш и ны >(<конеч ны й ном ер пр оводни ка>:<начальны й ном ер пр оводни ка>), напр и м ер , OUT_Q(15:0). Н азвани япр оводни ков ш и ны указы ваю тсяв ф ор м ате <названи еш и ны >(<ном ер пр оводни кав ш и не>), напр и м ер , OUT_Q (5).
Ри с.12. У становкапор тов
Пр и вязка си гналов пр оекта к внеш ни м вы водам ПЛИ С пр ои зводи тся с пом ощ ью так назы ваем ы х пор тов (р и с. 12). Д ля вклю чени я р еж и м а ввода на панели и нстр ум ентов и ли вы полни ть пор тов следует наж ать кнопку ком анду I/O Marker и з вы падаю щ его м еню Add, после чего к кур сор у пр и вязы вается и зоб р аж ени е пор та. Е го ти п (вх одной Input, вы х одной Output и ли двунапр авленны й Bidirectional) указы вается с пом ощ ью гр уппы кнопок,
30 р асполож енны х на панели дополни тельны х пар ам етр ов. М ар кер пр и соеди няется к цепи , котор ая долж на соеди няться с одни м и з внеш ни х вы водов. В нутр и си м вола пор та отоб р аж ается названи е цепи , к котор ой он подсоеди нен. У становкапор тов дляш и н пр ои зводи тсяаналоги ч но. Д ля пр овер ки р азр аб отанной сх ем ы следует воспользоваться ком андой Check Schematic, котор ая р асполагается в вы падаю щ ем м еню Tools, и ли на и нстр ум ентальной панели сх ем отех ни ческого р едактор а. В кнопкой пр оцессе вер и ф и каци и осущ ествляется контр оль целостности сх ем ы и вы полнени я пр ави л электр и чески х соеди нени й . После вы полнени я пр овер ки откр ы вается окно отчета, в котор ом отоб р аж аю тся сооб щ ени я о возм ож ны х ош и б ках и пр едупр еж дени ясуказани ем цепи и ли ком понента, скотор ы м они связаны . Заклю чи тельны м ш агом в пр оцессе р азр аб отки сх ем ы является ее сох р анени е в ви де ф ай ла на ди ске. Д ля этого следует и спользовать ком анду , р асполож енную на Save и з всплы ваю щ его м еню File и ли кнопку опер ати вной панели упр авлени я. В пр оцессе р азр аб отки сх ем ы часто
Ри с.13. Пр и нци пи альная сх ем а устр ой ства последовательного вы б ор а.
31 и спользую тся опер аци и удалени я, пер ем ещ ени я и копи р овани я элем ентов сх ем ы . Э ти пр оцедур ы вы полняю тся в р еж и м е вы б ор а об ъекта сх ем отех ни ческого р едактор а ECS, котор ы й автом ати чески акти ви зи р уется пр и отм ене б ольш и нства опер аци й и ли вклю чается пр и наж ати и кнопки на панели и нстр ум ентов. В ы полнени е опер аци й р едакти р овани я начи нается с указани я соответствую щ его об ъекта. Д ля вы делени я элем ента сх ем ы нуж но пом ести ть кур сор на его и зоб р аж ени е и щ елкнуть левой кнопкой м ы ш и . Д ля отм ены вы делени я следует щ елкнуть левой клави ш ей м ы ш и насвоб одном полечер теж а. Н а р и с. 13 пр и ведена завер ш енная сх ем а, пр ом еж уточны е этапы р и совани якотор ой р ассм отр ены вы ш е. Ра бо та с тексто вы м р еда кто р о м К ак б ы ло сказано вы ш е, пакет WebPack ISE поддер ж и вает опи сани е
Ри с. 14. Редактор текстовы х опи сани й пр оекта
пр и нци пи альны х сх ем в ф ор м ате HDL (Hardware Description LAnguage). В одном пр оекте м огут пр и сутствовать как сх ем отех ни чески е, так и текстовы е м одули (на язы ке VHDL, Verilog, ABEL). Д ля создани я и подклю чени я к пр оекту текстового м одуля необ х оди м о вы б р ать ком анду New Source и з р аздела Project основного м еню . В откр ы вш ей ся ди алоговой панели ,
32 показанной на р и с. 8, необ х оди м о вы б р ать VHDL module. О ткр оется окно текстового р едактор а, внеш ни й ви дкотор ого и зоб р аж ен на р и с. 14. Пр овер ка си нтакси са, опи сани е вр ем енны х огр ани чени й , вы зов пр огр ам м ы м одели р овани е ModelSim и др уги е необ х оди м ы е дей стви я вы зы ваю тся и з окнапр оцессов. За да н и ето по л о ги чески х и вр ем ен н ы х о гр а н и чен и й пр о екта Пр огр ам м ы си нтеза, р азм ещ ени я и тр асси р овки и спользую т дополни тельную и нф ор м аци ю , котор ая не содер ж и тся в пр и нци пи альной сх ем е устр ой ства. К топологи чески м свой ствам пр оекта относи тся в пер вую очер едь р аспр еделени е внеш ни х вы водов м еж ду пор там и , опр еделенны м и в сх ем е. Совр ем енны е ПЛИ С даю т возм ож ность подклю чать лю б ой си гнал пр оекта к пр ои звольном у вы воду м и кр осх ем ы (кр ом е вы водов специ ального назначени я, ф ункци и котор ы х об ы чно ф и кси р ованы ). Под вр ем енны м и огр ани чени ям и подр азум еваю т в пер вую очер едь огр ани чени я на задер ж ки р аспр остр анени я отдельны х си гналов и ли и х гр упп. Д ля глоб альны х тактовы х вх одов, состояни е котор ы х упр авляется внеш ни м и генер атор ам и , опр еделяется частота эти х генер атор ов. Д ля задани я подоб ной и нф ор м аци и не сущ ествует стандар тны х сх ем отех ни чески х элем ентов, язы ки опи сани я аппар атур ы тож е не и м ею т таки х ср едств. Поэтом у топологи чески е и вр ем енны е огр ани чени я задаю тся в специ альном ф ай ле, и м я котор ого совпадает с и м енем пр оекта, а р асш и р ени е .ucf. (аб б р еви атур а от User Constraints File) Ч тоб ы пр и ступи ть к р едакти р овани ю ф ай ла UCF,
Ри с.16. Ф ай л вр ем енны х и топологи чески х огр ани чени й
Ри с.15.Раб очая об ласть основного окна
33 необ х оди м о в окне и сх одны х м одулей Н а в ига т о ра про ек т а щ елчком левой кнопки м ы ш и вы дели ть стр оку с названи ем м одуля вер х него ур овня и ер ар х и и , послечего в окнепр оцессов р азвер нуть "User Constraints". Д ля и зм енени я ф ай ла UCF в текстовом р едактор е, следует дваж ды щ елкнуть левой кнопкой м ы ш и на стр оке "Edit Constraints (Text)" (р и с. 15), в р езультате чего откр ы вается окно р едакти р овани я, в котор ом пр едставлен ф ай л огр ани чени й и ли его ш аб лон, создаваем ы й автом ати чески для нового пр оекта (р и с. 16). Ч тоб ы вы полненны е и зм енени я вступи ли в си лу, необ х оди м о сох р ани ть ф ай л UCF на ди ске ком андой Ф ай л/Сох р ани ть. В качестве пр и м ер ов р ассм отр и м ф ор м аты вы р аж ени й , опи сы ваю щ и х наи б олее часто и спользуем ы е огр ани чени я. Пар ам етр LOC позволяет осущ естви ть закр еплени е вы водов пер ед тр асси р овкой . Д ля пр и вязки "внеш ни х " цепей пр оекта(подклю чаем ы х к контактам кр и сталла) к тр еб уем ы м вы водам ПЛИ С и спользуетсяследую щ и й ф ор м ат вы р аж ени я NET <названи е_цепи > LOC=<ном ер _вы вода_ПЛИ С>; напр и м ер , NET clock LOC = P1; Значени е пер и ода си гнала си нх р они заци и для соответствую щ ей цепи пр оекта задается с пом ощ ью пар ам етр а PERIOD. Сокр ащ енны й ф ор м ат запи си вы р аж ени яогр ани чени яи м еет ви д NET <названи е_цепи _си нх р они заци и > PERIOD = <дли тельность_пер и ода> ; напр и м ер , NET clock PERIOD=20ns;. С и н тезпр о екта После подготовки пр и нци пи альной сх ем ы и ф ай ла огр ани чени й UCF м ож но пр и ступи ть к вы полнени ю си нтеза, в пр оцессе котор ого и з ф ай лов HDL-опи сани й пр оекти р уем ого устр ой ства ф ор м и р уется ф ай л спи ска соеди нени й в ф ор м ате EDIF (Electronic Data Interchange Format). Си нтези р ованны й ф ай л пр едставляет соб ой текстовое (ASCII) опи сани е пр оекта, но на б олее ни зком логи ческом ур овне в ф ор м ате, воспр и ни м аем ом пр огр ам м ам и тр асси р овки Xilinx. Пр и этом пр и нци пи альная сх ем а пр оекта автом ати чески пр еоб р азуется в HDL-ф ор м ат, соответствую щ и й вы б р анны м ср едствам си нтеза. Пр еж де чем непоср едственно акти ви зи р овать пр оцесс си нтеза, м ож но и зм ени ть значени я его пар ам етр ов. Д ля этого нуж но в окне пр оцессов щ елчком левой кнопки м ы ш и вы дели ть стр оку Synthesize, после чего наж ать , р асполож енную наопер ати вной панели Н ави гатор апр оекта, и ли кнопку воспользоваться ком андой Properties контекстно-зави си м ого всплы ваю щ его м еню , котор ое вы води тся пр и щ елчке пр авой кнопки м ы ш и . В р езультате вы полненны х дей стви й на экр ане м они тор а отоб р аж ается ди алоговая панель пар ам етр ов си нтеза, ви дкотор ой показан нар и с. 17.
34
Ри с.17. Д и алоговаяпанель пар ам етр ов си нтеза
Э тади алоговаяпанель содер ж и т тр и стр ани цы , снаб ж енны езакладкам и си х названи ям и : "Synthesis options", "HDL options" и "Xilinx Specific options". К аж дая и з эти х стр ани ц содер ж и т соответствую щ ую гр уппу пар ам етр ов, пр едставленны х в ви де таб ли цы . В пр оцессе об учени я р еком ендуется не и зм енять значени япар ам етр ов, установленны епо ум олчани ю . Пр оцессси нтезаакти ви зи р уетсядвой ны м щ елчком левой кнопки м ы ш и на стр оке "Synthesize" в окне пр оцессов Н а в ига т о ра про ек т а . И нф ор м аци я о х оде его вы полнени я отоб р аж ается в окне консольны х сооб щ ени й . После завер ш ени я этого пр оцесса, отм еченного соответствую щ ей пи ктогр ам м ой в стр оке Synthesize, м ож но откр ы ть отчет о р езультатах си нтеза, дваж ды щ елкнув левой кнопкой м ы ш и настр океView Synthesize Report. Ра зм ещ ен и еи тр а сси р о вка пр о екта Э тап р азм ещ ени я и тр асси р овки пр оектов вклю чает в себ я две ф азы : тр ансляци и и р аспр еделени я р есур сов кр и сталла для р еали заци и пр оекти р уем ого устр ой ства. В пр оцессе тр ансляци и вы полняется об ъеди нени е всех спи сков соеди нени й в ф ор м ате EDIF, вх одящ и х в состав пр оекта, и и нф ор м аци и об о всех огр ани чени ях , котор ая содер ж и тся в ф ай лах UCF. Результатом ф азы тр ансляци и является ф ор м и р овани е логи ческого опи сани я пр оекта в тер м и нах пр и м и ти вов Xilinx ни зкого ур овня с учетом вр ем енны х и топологи чески х огр ани чени й . Н а втор ой стади и р ассм атр и ваем ого этапа пр ои зводи тся р азб и ени е логи ческого опи сани я
35 пр оекта, полученного на пр еды дущ ем ш аге, на б локи в соответстви и с р есур сам и вы б р анного ти па ПЛИ С. Пр и этом вы полняется опти м и заци я с целью м и ни м и заци и и спользуем ы х р есур сов кр и сталла с учетом заданны х огр ани чени й . В р езультате вы полнени я этапа р азм ещ ени я и тр асси р овки создается двои чны й ф ай л, котор ы й опи сы вает и спользовани е ф и зи чески х р есур сов кр и сталла для р еали заци и ф ункци й пр оекти р уем ого устр ой ства. Пер ед вы полнени ем пр оцедур р ассм атр и ваем ого этапа м ож но установи ть значени я и х пар ам етр ов тем ж еспособ ом , что и дляпр оцессаси нтеза. О днако на пер вы х пор ах р еком ендуется остави ть значени я, установленны е по ум олчани ю . Д алее следует акти ви зи р овать пр оцесс р азм ещ ени я и тр асси р овки двой ны м щ елчком левой кнопки м ы ш и на стр оке Implement Design в окне пр оцедур Н а в ига т о ра про ек т а . И нф ор м аци я о х оде его вы полнени я отоб р аж ается в окне консольны х сооб щ ени й . Завер ш ени е вы полнени я каж дой ф азы этого пр оцесса отм ечается соответствую щ ей пи ктогр ам м ой в стр оке с ее названи ем и сопр овож дается отчетом о полученны х р езультатах . Д ля пр осм отр а отчета о вы полнени и тр ансляци и следует дваж ды щ елкнуть левой кнопкой м ы ш и на стр оке Translation Report. В качестве пр и м ер а ни ж е пр и веден отчет о вы полнени и тр ансляци и пр оекта, си нтез котор ого р ассм отр ен в пр еды дущ ем р азделе. О тчет содер ж и т и нф ор м аци ю о каж дом ш аге тр ансляци и (пр еоб р азовани и EDIF-опи сани й в ф ор м ат Xilinx NGD, пр овер ке вр ем енны х специ ф и каци й , вер и ф и каци и логи ческой стр уктур ы пр оекта), атакж еоб ош и б ках и пр едупр еж дени ях . Пр о гр а м м и р о ва н и екр и ста л л о в Пр еж де чем пр и ступи ть к пр огр ам м и р овани ю ПЛИ С, необ х оди м о пр еоб р азовать р езультаты , полученны е на этапе р азм ещ ени я и тр асси р овки пр оекта в кр и сталл, в ф ор м ат, воспр и ни м аем ы й ср едствам и пр огр ам м и р овани я. Д ля создани я конф и гур аци онной последовательности (ф ай ла пр огр ам м и р овани я) следует дваж ды щ елкнуть левой кнопкой м ы ш и на стр оке "Generate Programming File", р асполож енной в окне пр оцессов Н а в ига т о ра про ек т а (р и с. 9). И нф ор м аци я о х оде его вы полнени я отоб р аж ается в окне консольны х сооб щ ени й и стр оке состояни я. После успеш ного завер ш ени я этого пр оцесса, отм еченного соответствую щ ей пи ктогр ам м ой в стр оке "Generate Programming File", м ож но пр и ступать непоср едственно к пр огр ам м и р овани ю ПЛИ С. Д ляконф и гур и р овани яПЛИ С, вы пускаем ы х ф и р м ой Xilinx, тр еб уетсязагр узочны й каб ель.
36 Пр еж де чем пр и ступи ть непоср едственно к р аб оте с м одулем пр огр ам м и р овани я ПЛИ С iMPACT, котор ы й вх оди т в состав пакета WebPACK ISE, необ х оди м о пр и соеди ни ть загр узочны й каб ель к соответствую щ ем у пор ту ПК и м акетной плате. После этого следует подать напр яж ени е пи тани я на р азр аб отанное устр ой ство. Т акая последовательность об еспечи вает возм ож ность автом ати ческого об нар уж ени я и и ни ци али заци и загр узочного каб еля и кр и сталлов ПЛИ С пр и акти ви заци и пр огр ам м ы iMPACT. Е сли загр узочны й каб ель подклю чается после запуска м одуля пр огр ам м и р овани я, то в этом случае необ х оди м о вы полни ть опер аци и
Ри с. 18. О кно настр ой ки загр узочного каб еля.
установки ти паи пар ам етр ов и спользуем ого каб еля. Д алее следует акти ви зи р овать м одуль пр огр ам м и р овани я двой ны м щ елчком левой кнопки м ы ш и на стр оке "Configure Device (iMPACT)" в окне пр оцедур Н а в ига т о ра про ек т а . Раб ота пр огр ам м ы iMPACT в этом случае начи нается с об нар уж ени я загр узочного каб еля. Е сли пр огр ам м е не удается автом ати чески и денти ф и ци р овать загр узочны й каб ель, то после соответствую щ его пр едупр еж дени я вы води тся ди алоговая панель р уч ной установки его пар ам етр ов, ви дкотор ой пр едставлен нар и с. 18. В этой панели необ х оди м о последовательно установи ть следую щ и е пар ам етр ы : - Communication Mode - ви д и нтер ф ей са, и спользуем ого для ком м утаци и сПК (ти п загр узочного каб еля): Parallel; - Port - ном ер пор та, ккотор ом уподклю чен каб ель загр узки : LPT1. Пр и успеш ном об нар уж ени и пр и соеди ненного загр узочного каб еля пр ои зводи тся автом ати чески й пои ск и и ни ци али заци я устр ой ств, котор ы е м огут б ы ть запр огр ам м и р ованы . Н а м акетной плате и м еется два таки х
37 устр ой ства – ПЛИ С XC2S50 и конф и гур аци онная Flash-пам ять XCV01. Поскольку конф и гур аци онная пам ять ПЛИ С постр оена на стати ческой пам яти , содер ж и м ое котор ой не сох р аняется после вы клю чени я пи тани я, на плату установлена сх ем а энер гонезави си м ой Flash-пам яти . Э ти две сх ем ы соеди нены в последовательную цепочку и пр огр ам м и р ую тся оди наковы м об р азом . О б нар уж енны е устр ой ства отоб р аж аю тся в гр аф и ческом ф ор м ате в р аб очей об ласти основного окна и в текстовом ви де в окне р еги стр аци и сооб щ ени й пр огр ам м ы iMPACT (р и с.21).Д ля пр огр ам м и р овани я ПЛИ С необ х оди м о вы б р ать кр и сталл, пом ести в на него кур сор и щ елкнув левой кнопкой м ы ш и , после чего вы полни ть ком анду Program и з м еню Operations и ли контекстно-зави си м ого всплы ваю щ его м еню , после акти ви заци и котор ой
Ри с. 19. Панель настр ой ки пар ам етр ов загр узки ПЛИ С
наэкр ан вы води тсяди алоговаяпанель пар ам етр ов загр узки (р и с. 19). Э та панель содер ж и т гр уппу об щ и х пар ам етр ов пр огр ам м и р овани я кр и сталлов и гр уппы опци й , относящ и х ся к конкр етны м сем ей ствам ПЛИ С (последни еотносятсятолько к сх ем ам сер и и Virtex2). Пар ам етр Erase Before Programming позволяет р азр аб отчи ку установи ть р еж и м пр едвар и тельного "сти р ани я" конф и гур аци онны х данны х ,
38 нах одящ и х ся во внутр енней энер гонезави си м ой пам яти кр и сталла, пер едего пр огр ам м и р овани ем . Значени е пар ам етр а Verify опр еделяет и спользовани е опер аци и контр оляконф и гур аци онны х данны х в х одепр огр ам м и р овани я ПЛИ С. Пар ам етр Read Protect пр едназначен для установки защ и ты от несанкци они р ованного чтени я (копи р овани я) загр уж аем ы х конф и гур аци онны х данны х . Пр огр ам м и р овани е ПЛИ С с и спользовани ем защ и ты от чтени я устанавли вает код секр етности , котор ы й "сб р асы вается" только пр и вы полнени и опер аци и полного "сти р ани я". С пом ощ ью пар ам етр а Write Protect р азр аб отчи ку пр едоставляется возм ож ность установки защ и ты от случай ного пер епр огр ам м и р овани яПЛИ С. После установки всех необ х оди м ы х значени й пар ам етр ов следует подтвер ди ть и х наж ати ем кнопки "О К " в ни ж ней части ди алоговой панели (р и с. 19), что пр и води т к запуску опер аци и пр огр ам м и р овани я вы б р анного кр и сталла. Завер ш ени е пр оцесса конф и гур и р овани я отм ечается соответствую щ и м и сооб щ ени ям и в р аб очей об ласти и окне р еги стр аци и сооб щ ени й пр огр ам м ы iMPACT.
39
Опи са н и ел а бо р а то р н о го м а кета Лаб ор атор ны й м акет р азр аб отан для и спользовани я в учеб ном пр оцессе, однако м ож ет пр и м еняться и для р еш ени я и нж енер ны х задач. М акет содер ж и т ПЛИ С XC2S50, то есть сх ем у Spartan-II с экви валентной логи ческой ем костью 50 ты с. венти лей . Т акой ем кости вполнедостаточно для постр оени я слож ны х автом атов и ли ср едни х си стем об р аб отки данны х (ф и льтр ы , анали затор ы спектр а и т.д.). Д ля х р анени я конф и гур аци и кр и сталла пр едусм отр ена Flash-пам ять XC18V01. Д ля пр оекти р овани я си нх р онны х устр ой ств на плате и м еется генер атор , частота р аб оты котор ого составляет 40М Гц и ли 80М Гц. Больш и нство внеш ни х вы водов ПЛИ С соеди няю тся с уни вер сальны м и внеш ни м и р азъем ам и . К эти м р азъем ам м ож но подклю чать р азли чны е внеш ни е устр ой ства, осци ллогр аф ы , логи чески е анали затор ы . К р ом е того, м ож но и спользовать м акет в качестве м одуля, р аб отаю щ его в составе какого-ли б о др угого об ор удовани я. Связь с ком пью тер ом и ли с др уги м и м акетам и м ож ет осущ ествляться чер ез последовательны й пор т, совм ести м ы й с RS-232. Д ля удоб ства и нтер акти вной р аб оты на плате установлены 2 кнопки , назначени е котор ы х пр огр ам м и р уется пользователем , атакж е 4 светоди ода. Д ля р аб оты тр еб уется еди нственны й внеш ни й и сточни к пи тани я +5В . В се остальны е необ х оди м ы е напр яж ени я вы р аб аты ваю тся непоср едственно сам и м м одулем с пом ощ ью ли ней ны х стаб и ли затор ов. Пользовательски еI/O К нопки
Т актовы й генер атор
Светоди оды
ПЛИ С XILINX Spartan-II
К онф и г. Flash xc18v01
Пор т пр огр ам м и -р овани я JTAG Ри с.20 Стр уктур наясх ем ам акета
Н ар и с. 20 пр и веденастр уктур наясх ем алаб ор атор ного м акета.
40
Пр и л о ж ен и е 1. Би бл и о тека WebPack ISE
встр о ен н ы х ко м по н ен то в
Панель ком понентов сх ем отех ни ческого р едактор а ECS содер ж и т б ольш ое коли чество ком понентов, отсор ти р ованны х по ф ункци ональны м категор и ям . Н и ж е пр и води тся неполны й спи сок тех ком понентов, котор ы е опр еделены длясем ей стваSpartan-II. Ар и ф м ети чески еф ун кц и и Сущ ествует тр и ти па ар и ф м ети чески х ф ункци й : аккум улятор ы (ACC), сум м атор ы (ADD) и сум м атор ы /вы чи татели (ADSU). ACC4,8,16 – 4, 8, 16 б и т аккум улятор . И м еет вх одной б и т пер еноса (CI), вы х одной ф лаг пер еноса (CO), ф лаг пер еполнени я (OFL). Следую щ и й VHDL-коди ллю стр и р ует пр и нци п р аб оты ACC4. architecture Behavioral of acc4 is begin process(C) begin if (R = ’1’) then Q <= (others => ’0’); elsif (C’event and C = ’1’) then if (L = ’1’) then Q <= D; elsif (CE = ’1’) then if (ADD = ’1’) then Q <= Q + B; else Q <= Q - B; end if; end if; end if; end process; end Behavioral; ADD4,8,16 – 4, 8, 16 б и т полны й сум м атор . И м еет вх одной б и т пер еноса (CI), вы х одной ф лаг пер еноса (CO), ф лаг пер еполнени я (OFL). Следую щ и й VHDL-коди ллю стр и р ует пр и нци п р аб оты ADD4. architecture Behavioral of ADD is signal sum: std_logic_vector(WIDTH-1 downto 0); signal zeros: std_logic_vector(WIDTH-1 downto 0) := (others =>’0’); begin process (CI, A, B, sum)
41 begin sum <= (’0’ & A) + (’0’ & B) + (zeros & CI); S <= sum(WIDTH-1 downto 0); CO <= sum(WIDTH); end process; end Behavioral; ADSU4,8,16 – 4, 8, 16 б и т сум м атор /вы чи татель. И м еет вх одной б и т пер еноса (CI), вы х одной ф лаг пер еноса (CO), ф лаг пер еполнени я (OFL). Следую щ и й VHDL-коди ллю стр и р ует пр и нци п р аб оты ADSU4. architecture Behavioral of adsu4 is begin process (A,ADD,B) begin if (ADD=’1’) then S <= A + B; else S <= A - B; end if; end process; end Behavioral; Буф ер ы Буф ер ы служ ат для ввода си гналов в кр и сталл, для вы вода си гналов, для ор гани заци и внутр и кр и сталла ш и н с тр етьи м состояни ем , а такж е как ф ор м альны й элем ент на сх ем е, не вы полняю щ и й ни каки х пр еоб р азовани й си гналов. на BUF – б уф ер об щ его пр и м енени я. О б означается си м волом сх ем е. Н е вы полняет ни каки х дей стви й над вх одны м си гналом . М ож ет и спользоваться в сх ем отех ни ческом р едактор е, однако пр и тр асси р овке сх ем ы удаляется. BUFCF - связь на сх ем е, котор ая содер ж и т данны й элем ент, р еали зуется с пом ощ ью локальны х тр асси р овочны х р есур сов, соеди няю щ и х соседни е ф ункци ональны е генер атор ы . Э то ум еньш ает вр ем енную задер ж ку. Д анны й элем ент следует и спользовать остор ож но, поскольку не всякая сх ем а м ож ет б ы ть р еали зована с пом ощ ью локальны х связей (подр об ности содер ж атсяв тех ни ческом опи сани и ).
42 BUFE, BUFE4,8,16 -
б уф ер ы с тр етьи м состояни ем . О б означается
на сх ем е. К огда Е =1, б уф ер акти вен, когда Е =0, то вы х од си м волом б уф ер анах оди тся в тр етьем (вы сокои м педансном ) состояни и . BUFG – б уф ер глоб альной тактовой ш и ны , служ ащ ей для р аспр еделени я си гналов си нх р они заци и . О б ы чно упр авляется ком понентом IBUFG и ли CLKDLL, однако м ож ет такж е упр авляться ком понентом IBUF и ли встр оенной логи кой . BUFGDLL – б уф ер глоб альной тактовой ш и ны . Пр едставляет соб ой ком б и наци ю ком понентов IBUFG, CLKDLL и BUFG. В ы води т си гнал с соответствую щ его внеш него вы вода м и кр осх ем ы непоср едственно на глоб альную ш и ну. Пр и этом осущ ествляется вы р авни вани е ф р онтов, то есть си гнал на глоб альной ш и не отстает от внеш него си гнала р овно на пер и од. Э тот ком понент р еком ендуется и спользовать вм есто тр ех указанны х для упр ощ ени ячи таем ости сх ем ы . BUFGP – б уф ер глоб альной тактовой ш и ны . Пр едставляет соб ой ком б и наци ю ком понентов IBUFG и BUFG. Ф ункци онально р аб отает так ж е, как ком понент BUFGDLL, однако не пр ои зводи т вы р авни вани е ф р онтов. Соответственно, отсутствует дли тельны й пер ех одной пр оцесс, котор ы й вноси т ком понент CLKDLL. BUFT, BUFT4,8,16 -
б уф ер ы с тр етьи м состояни ем . О б означается
си м волом на сх ем е. К огда T=0, б уф ер акти вен, когда T=1, то вы х од б уф ер а нах оди тся в тр етьем (вы сокои м педансном ) состояни и . Д анны й элем ент отли чаетсяот BUFE только поляр ностью упр авляю щ его си гнала. Ко м па р а то р ы COMP2,4,8,16 – двои чны й ком пар атор . Т ести р ует ар гум енты на р авенство. В ы х одной си гнал EQ пр и ни м ает значени е 1 только если вх одны е ар гум енты A и B поб и тно совпадаю т. Следую щ и й VHDL-коди ллю стр и р ует пр и нци п р аб оты COMP2. architecture behavioral of comp2 is begin process (A, B) begin
43 If (A=B) then EQ <= ’1’; else EQ <= ’0’; end if; end process; end behavioral; как COMPM2,4,8,16 – ком пар атор . В оспр и ни м ает ар гум енты б еззнаковы е целы е. О пр еделяет, какой и з ар гум ентов б ольш е, а какой м еньш е. В ы х одGT соответствует 1 если A>B, вы х одLT=1 если AB) then GT <= ’1’; LT <= ’0’; elsif (A
44 C B 16 C L E D - си м волаб б р еви атур ы 12 34567 - № поля № поля О пи сани е 1 Пр и знаксчетчи ка 2 Т и п: B-двои чны й , D- двои чно-десяти чны й , С – двои ч ны й с пер еносом (для каскадного соеди нени я нескольки х счетчи ков), Jсчетчи кД ж онсона 3 Разр ядность (коли чество б и т) 4 С – аси нх р онны й сб р ос, R – си нх р онны й сб р ос 5 Счетчи кспр едвар и тельной загр узкой (Loadable) 6 Счетчи кср азр еш аю щ и м вх одом (Clock Enable) 7 Счетчи кси зм енени ем напр авлени ясчета(Directional) Деко дер ы D2_4E – ли ней ны й декодер 2x4 с р азр еш аю щ и м вх одом . В ы полняет пр еоб р азовани е двои чного кода в код 1 и з N. Поведени е ком понента опи сы ваетсяследую щ и м об р азом : architecture Behavioral of d2_4e is begin process (A, E) begin if (E=’0’) then D <= "0000"; else case A is when "00" => D <= "0001"; when "01" => D <= "0010"; when "10" => D <= "0100"; when "11" => D <= "1000"; when others => D <= "0000"; end case; end if; end process; end Behavioral;
45 D3_8E – ли ней ны й декодер 3x8 ср азр еш аю щ и м вх одом D4_16E – ли ней ны й декодер 4x16 ср азр еш аю щ и м вх одом Тр и ггер ы И з-за б ольш ого коли чества и спользуем ы х ти пов тр и ггер ов, пр и ведем только пр ави ла, по котор ы м ф ор м и р ую тсяназвани яком понентов. F D 8 P E 1 - си м волаб б р еви атур ы 1 2 3 4 5 6 - № поля № поля О пи сани е 1 О б щ еедлявсех тр и ггер ов поле 2 Т и п: D – D-тр и ггер , JK – JK-тр и ггер , T – счетны й тр и ггер 3 К ол-во тр и ггер ов(дляр еги стр ов) 4 P – аси нх р онная установка, С – аси нх р онны й сб р ос, S – си нх р оннаяустановка, R – аси нх р оннаяустановка 5 Разр еш аю щ и й вх од 6 И нвер ти р ованны й тактовы й вх од (ср аб аты вани е по заднем у ф р онту) Тр и ггер ы -за щ ел ки И м ена ком понентов следую щ ем у пр ави лу:
для тр и ггер ов-защ елок
ф ор м и р ую тся по
LD 8 P E 1 - си м волаб б р еви атур ы 1 2 3 4 5 - № поля № поля О пи сани е 1 О б щ еедлявсех тр и ггер ов поле 2 Ч и сло защ елок в р еги стр е 3 P – аси нх р оннаяустановка, С – аси нх р онны й сб р ос 4 Н али чи ер азр еш аю щ его вх ода 5 И нвер ти р ованны й тактовы й вх од (ср аб аты вани е по заднем у ф р онту) Ф ун кц и и вво да /вы во да IBUF, IBUF4,8,16 – вх одны е б уф ер ы , непоср едственно от внеш ни х вы водов.
котор ы е упр авляю тся
46
IOBUF – двунапр авленны й б уф ер , об означается си м волом и состои т и з двух б уф ер ов – вы х одного б уф ер астр етьи м состояни ем OBUFT и вх одного IBUF. Соеди няется с внеш ни м вы водом , котор ы й долж ен б ы ть сконф и гур и р ован какдвунапр авленны й . IBUFG – вх одной б уф ер для глоб альны х си гналов такти р овани я. М ож ет упр авляться только непоср едственно от внеш него вы вода и в свою очер едь упр авляет ком понентам и BUFG и ли CLKDLL. OBUF, OBUF4,8,16 – вы х одны е б уф ер ы , котор ы е долж ны упр авлять внеш ни м и вы водам и . OBUFE, OBUFE4,8,16 – вы х одной б уф ер с тр етьи м состояни ем . Ф ункци они р ует аналоги чно BUFE OBUFT, OBUFT4,8,16 - вы х одной б уф ер с тр етьи м состояни ем . Ф ункци они р ует аналоги чно BUFT. Ло ги чески епр и м и ти вы Логи чески е пр и м и ти вы содер ж ат б азовы й наб ор ком б и наци онны х ф ункци й . AND2-9 – логи ческое ум нож ени е. И м еет от 2 до 9 вх одов соответственно. Ч асть вх одов м огут б ы ть и нвер ти р ованны м и . Н апр и м ер , AND5B3 – 5-вх одовое логи ческое И , у котор ого 3 вх ода являю тся и нвер ти р ованны м и . AND12,16 – логи ческое ум нож ени е (соответственно 12 и 16-вх одов). В севх оды являю тсянеи нвер ти р ованны м и . INV, INV4,8,16 – логи чески еи нвер тор ы . NAND2-9 – данны й ком понент вы полняет логи ческую опер аци ю NAND, котор ая является ком б и наци ей логи ческого ум нож ени я и и нвер си и . Ч асть вх одов м огут б ы ть и нвер ти р ованны м и . Н апр и м ер , NAND5B3 – 5вх одовоелоги ческоеИ -НЕ , у котор ого 3 вх одаявляю тсяи нвер ти р ованны м и . NAND12,16 – логи ческое И -НЕ (соответственно 12 и 16 вх одов). В се вх оды являю тсянеи нвер ти р ованны м и . NOR2-9 – логи ческое И Л И -НЕ . Ч асто такой элем ент назы вается элем ентом Пи р са. Ч асть вх одов м огут б ы ть и нвер ти р ованны м и . Н апр и м ер , NOR5B3 – 5-вх одовое логи ческое И Л И -НЕ , у котор ого 3 вх ода являю тся и нвер ти р ованны м и . NOR12,16 – логи ческое И Л И -НЕ (соответственно 12 и 16 вх одов). В се вх оды являю тсянеи нвер ти р ованны м и . OR2-9 – логи ческое слож ени е. Ч асть вх одов м ож ет б ы ть и нвер ти р ованны м и . Н апр и м ер , OR5B3 – 5-вх одовое логи ческое И Л И -НЕ , у котор ого 3 вх одаявляю тсяи нвер ти р ованны м и .
47 OR12,16 – логи ческое слож ени е (соответственно 12 и 16 вх одов). В се вх оды являю тсянеи нвер ти р ованны м и . XNOR2-9 – иск лючающее И Л И си нвер ти р ованны м вы х одом и от 2 до 9 вх одам и . Н еи м еет вар и антов си нвер ти р ованны м и вх одам и . XOR2-9 – и склю чаю щ ее И ЛИ . И м еет от 2 до 9 вх одов, все вх оды неи нвер ти р ованны е. Э л ем ен ты па м яти А р х и тектур а Spartan-II пр едусм атр и вает возм ож ность ор гани заци и ф ункци ональны х генер атор ов (LUT) в ви де однопор тового и ли двух пор тового запом и наю щ его устр ой ства. К р ом е того, на пер и ф ер и и кр и сталлар асполож енастати ческаядвух пор товаяси нх р оннаятак назы ваем ая б лочная пам ять. Д ля каж дого пор та незави си м о задается ш и р и на ш и ны данны х (1, 2, 4, 8 и ли 16 б и т). Э лем енты пам яти , постр оенны е на б азе ф ункци ональны х генер атор ов, об означаю тсяследую щ и м об р азом : RAM 16X1 D_1 - си м волаб б р еви атур ы 1 2 3 4 - № поля № поля О пи сани е 1 RAM – опер ати внаяпам ять, ROM – ПЗУ 2 О р гани заци япам яти . 16X1 – 16 слов по 1 б и ту 3 S – однопор товая, D – двух пор товая 4 _1 – и нвер ти р ованны й тактовы й си гнал К ом поненты , и спользую щ и е б лочную пам ять (б локи об ъем ом 4096 б и т), об означаю тсяследую щ и м об р азом : RAMB4_Sn – однопор товая си нх р онная пам ять, постр оенная на основе б лочного ЗУ . n м ож ет пр и ни м ать значени я 1, 2, 4, 8 и ли 16 и об означает соостветственно ш и р и ну ш и ны данны х . RAMB4_Sm_Sn – двух пор товая си нх р онная пам ять, постр оенная на основеб лочного ЗУ . m и n м огут пр и ни м ать значени яи з р яда1, 2, 4, 8, 16. М ул ьти пл ексо р ы В б и б ли отеке ком понентов сущ ествую т как м ульти плексор ы об щ его назначени я, так и м ульти плексор ы специ ального назначени я, напр и м ер , и спользуем ы е логи кой ускор енного пер еноса. Д ля целей об учени я достаточно и спользовани я только м ульти плексор ов об щ его назначени я, котор ы еоб означаю тсяследую щ и м об р азом : M 2_1 B1 E - си м волаб б р еви атур ы 1 2 3 4 - № поля
48 № поля 1 2 3 4
О пи сани е M – об означени ем ульти плексор а О р гани заци ям ульти плексор а. 2_1 – м ульти плексор 2*1 К оли чество и нвер ти р ованны х вх одов Н али чи е р азр еш аю щ его вх ода. К огда на р азр еш аю щ ем вх оде 0, то навы х одетож е0 незави си м о от состояни й др уги х вх одов
С дви го вы ер еги стр ы О б означени я, и спользуем ы е для сдви говы х р еги стр ов, отоб р аж ены на следую щ ей сх ем е: - си м волаб б р еви атур ы SR 8 R L E D 1 2 3 4 5 6 - № поля № поля О пи сани е 1 SR – об означени есдви гового р еги стр а 2 Разр ядность (кол-во б и т в цепочке) 3 R – си нх р онны й сб р ос, C – аси нх р онны й сб р ос 4 L – возм ож ность пр едвар и тельной загр узки 5 E – р азр еш аю щ и й вх од (р азр еш ает р аб оту р еги стр а пр и поступлени и тактового си гнала) 6 D – возм ож ность и зм енени янапр авлени ясдви га Н и ж е для пр и м ер а пр и води тся ф ункци ональное опи сани е ком понента SR4RLED наязы кеVHDL architecture Behavioral of sr4rled is begin process(C) begin if (C’event and C=’1’) then if (R=’1’) then Q <= (others => ’0’); elsif (CE=’1’) then if (L=’1’) then Q <= D; else if (LEFT=’1’) then Q <= Q(WIDTH-2 downto 0) & SLI; else Q <= SRI & Q(WIDTH-1 downto 1) ; end if; end if;
49 end if; end if; end process; end Behavioral; Др уги еко м по н ен ты GND – си м вол си гнальной зем ли . И спользуется для задани я логи ческого 0. KEEPER – ком понент подклю чает к внеш нем у вы воду сх ем у удер ж ани япоследнего состояни я(см . ар х и тектур уб локаввода-вы вода) р ези стор , и спользуется для PULLDOWN – подтяги ваю щ и й подтяги вани я внеш него вы вода к состояни ю логи ческого 0 в отсутстви и акти вны х и сточни ков (см . ар х и тектур уб локаввода-вы вода). PULLUP – подтяги ваю щ и й р ези стор , и спольз уется для подтяги вани я внеш него вы вода к состояни ю логи ческой 1 в отсутстви и акти вны х и сточни ков (см . ар х и тектур у б локаввода-вы вода). VCC – си м вол, задаю щ и й значени елоги ческой 1.
50
Ли тер а тур а 1. Би б и ло П.Н . О сновы язы ка VHDL / П.Н . Би б и ло. – М .: Солон-Р, 1999. – 200 с. 2. Пр огр ам м и р уем ы е логи чески е И М С на К М О П-стр уктур ах и и х пр и м енени е / П.П. М альцев, Н .И .Гар б узов, А .П. Ш ар апов, А .А . К ны ш ев. – М .: Э нер гоатом и здат, 1998. – 158 с. 3. Стеш енко В .Б. ПЛИ С ф и р м ы ALTERA: пр оекти р овани е устр ой ств об р аб отки си гналов / В .Б. Стеш енко. – М .: Д одека, 2000. – 128 с. 4. Соловьев В .В . Пр оекти р овани е ци ф р овы х си стем на основе пр огр ам м и р уем ы х логи чески х и нтегр альны х сх ем / В .В . Соловьев. – М .: Гор ячаяли ни я– Т елеком , 2001. – 636 с. 5. Соловьев В .В . Пр огр ам м и р уем ы е логи чески е и нтегр альны е сх ем ы и и х пр и м енени е / В .В . Соловьев, А .Г. В аси льев. – М и нск.: Белор усскаянаука. – 270 с. 6. Ш алы то А .А . М етоды аппар атной и пр огр ам м ной р еали заци и алгор и тм ов / А .А . Ш алы то. – СПб .: Н аука, 2000. – 780 с. 7. У гр ю м ов Е .П. БИ С/СБИ С с р епр огр ам м и р уем ой стр уктур ой : У чеб . Пособ и е / Е .П. У гр ю м ов, Р.И . Гр уш ви цки й , А .Н . А льш евски й . – СПб .: ГЭ Т У , 1997. – 96 с. 8. Гр уш ви цки й Р.И . Пр оекти р овани е си стем на м и кр осх ем ах пр огр ам м и р уем ой логи ки / Р.И . Гр уш ви цки й , А .Х. М ур саев, Е .П. У гр ю м ов. – СПб .: Б ХВ -Петер б ур г, 2002. – 608 с.: и л. 9. VHDL для м одели р овани я, си нтеза и ф ор м альной вер и ф и каци и аппар атур ы : Cб . Статей / Под. р ед. Ж . М ер м ье; Пер . с англ. В .В . Т ор опковаи Т .С. Гр удовой . – М .: Ради о и связь, 1995. – 360 с. 10.VHDL’92. Н овы е свой ства язы ка опи сани я аппар атур ы VHDL / Ж .М . Бер ж е, А . Ф онкуа, С. М аж ено, Ж . Руй ар ; Пер . с англ. А .И . Т и х онова; Под. р ед. В .М . М и х ова. – М .: Ради о и связь, 1995. – 256 с. 11.А втом ати заци я пр оекти р овани я БИ С. В 6 кн.: Пр акт. Пособ и е/ Под. р ед. К азеннова. – М .:В ы сш . ш к., 1990. 12.У гр ю м ов Е .П. Ц и ф р овая сх ем отех ни ка / Е .П. У гр ю м ов. – СПб .: БХВ -Петер б ур г, 2000. – 528 с.
51 Состави тели : Боб р еш ов А натоли й М и х ай лови ч, Д ы б ой А лександр В ячеславови ч,
Редактор Т и х ом и р ова О .А .